CN1831192A - 半导体处理用成膜方法、成膜装置和存储介质 - Google Patents

半导体处理用成膜方法、成膜装置和存储介质 Download PDF

Info

Publication number
CN1831192A
CN1831192A CNA2006100581791A CN200610058179A CN1831192A CN 1831192 A CN1831192 A CN 1831192A CN A2006100581791 A CNA2006100581791 A CN A2006100581791A CN 200610058179 A CN200610058179 A CN 200610058179A CN 1831192 A CN1831192 A CN 1831192A
Authority
CN
China
Prior art keywords
gas
supply
handle
treatment zone
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2006100581791A
Other languages
English (en)
Other versions
CN1831192B (zh
Inventor
长谷部一秀
冈田充弘
金採虎
李丙勋
周保华
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1831192A publication Critical patent/CN1831192A/zh
Application granted granted Critical
Publication of CN1831192B publication Critical patent/CN1831192B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Abstract

本发明提供一种半导体处理用的成膜装置,其包含向处理区域内供给处理气体的处理气体供给系统。上述处理气体供给系统包括:将第一和第三处理气体混合、形成混合气体的气体混合罐;从气体混合罐向处理区域供给混合气体的混合气体供给管线;具有不经过气体混合罐而将第二处理气体供给到处理区域的第二处理气体供给管线的第二处理气体供给系统;分别设置在混合气体供给管线和第二处理气体供给管线上的第一和第二开关阀。控制部控制第一和第二开关阀,使得以脉冲状向处理区域交替供给混合气体和第二处理气体。

Description

半导体处理用成膜方法、成膜装置和存储介质
与相关申请的交叉参照
本申请基于2005年3月11日提交的在先日本专利申请第2005-070034号和2006年1月11日提交的先日本专利申请第2006-004192号,并要求它们的优先权,对它们的全部内容在此结合以作参照。
技术领域
本发明涉及在半导体晶片等被处理基板上形成薄膜的半导体处理用成膜装置和方法。在此,所谓半导体处理,是指按照规定的图案在晶片、LCD(Liquid Crystal Display:液晶显示器)、FPD(Flat PanelDisplay:平板显示器)用玻璃基板等被处理基板上形成半导体层、绝缘层、导电层等,由此,用于在该被处理基板上制造包括半导体器件、半导体器件上连接的配线、电极等结构物而实施的各种处理。
背景技术
在制造构成半导体集成电路的半导体器件时,要对被处理基板、例如半导体晶片实施成膜、刻蚀、氧化、扩散、改性、退火、除去自然氧化膜等各种处理。特开平6-34974号公报公开了在立式(所谓的间歇式)热处理装置中的此种半导体处理方法。在该方法中,首先将半导体晶片从晶片盒中移载到立式的晶舟上、支撑在多级中。晶片盒能够容纳例如25片晶片,晶舟能够载置30~150片晶片。接着,将晶舟从处理容器的下方装载到其内部,同时将处理容器密封地关闭。接着,在处理气体的流量、处理压力、处理温度等各种处理条件受到控制的状态下,进行规定的处理。
以往,作为半导体器件的绝缘膜,主要使用硅氧化膜(SiO2膜)。但是近年来,伴随着半导体集成电路更加高度集成化和高度微细化的要求,根据用途不同,已有使用氮化硅膜(Si3N4膜)代替硅氧化膜(特开平6-34974号公报)。例如设置氮化硅膜作为耐氧化膜、防止杂质扩散膜、栅电极结构的侧壁膜。由于氮化硅膜杂质的扩散系数低,而且氧化阻隔性高,所以非常适合作为如上所述的绝缘膜。另外,出于同样的目的,氮化硼膜(BN膜)也受到关注。
例如,在使用作为硅烷类气体的二氯硅烷(DCS)和作为氮化气体的NH3形成氮化硅膜(SiN)的情况下,进行如下所述的处理。即,在处理容器内,间隔着吹扫期间歇地交替供给DCS和NH3气体。通过在供给NH3气体时施加RF(高频),在处理容器内生成等离子体,氮化反应得到促进。在此,首先向处理容器内供给DCS,由此DCS在晶片表面上以分子水平吸附一层或几层。其余的DCS在吹扫期间被排除。接着,供给NH3生成等离子体,由此,通过低温下的氮化而形成氮化硅膜。重复进行上述一系列的工序,完成规定厚度的膜。
另一方面,近年来,半导体器件的动作速度的高速化也成为重要的因素。关于这一点,由于氮化硅膜的介电常数比较高,使寄生电容增大,将会产生问题。即,寄生电容变大时,电子的迁移率受到抑制,器件的动作速度降低。另外,在电荷蓄积型传感器中使用氮化硅膜的情况下,还存在由于寄生电容使背景(background)增大的问题。
由此观点出发,提出了通过在氮化硅膜中掺入杂质,在维持杂质的扩散系数或氧化阻隔性的同时使介电常数降低的方案。特开2004-6801公开了通过CVD(Chemical Vapor Deposition:化学气相沉积)形成掺入硼(B)作为杂质的氮化硅膜的方法。这种含有硼的氮化硅膜(SiBN),杂质的扩散系数低、氧化阻隔性高、而且介电常数非常小,所以作为绝缘膜是非常优异的。
但是,如后所述,本发明人发现,在使用像添加气体那样供给量较少的处理气体的情况下,在以往的立式(所谓间歇式)热处理装置中,堆积膜的组成的面内均匀性有恶化的趋势。
发明内容
本发明的目的是提供一种通过在处理容器的高度方向上均匀地供给添加气体的供给量较少的处理气体,提高堆积膜的组成的面内均匀性的半导体处理用的成膜装置和方法。
本发明的第一方面是一种半导体处理用的成膜装置,其特征在于,具有:
具有容纳以一定间隔堆积的多个被处理基板的处理区域的处理容器;
在上述处理区域内支撑上述被处理基板的支撑部件;
对上述处理区域内的上述被处理基板进行加热的加热器;
对上述处理区域内进行排气的排气系统;
向上述处理区域内供给用于在上述被处理基板上堆积薄膜的处理气体的处理气体供给系统,上述处理气体包括提供上述薄膜的主原料的第一处理气体、与上述第一处理气体发生反应的第二处理气体、和提供上述薄膜的副原料的第三处理气体;和
控制包含上述处理气体供给系统的上述装置的动作的控制部,
上述处理气体供给系统具有:
设置在上述处理容器外,用于将上述第一和第三处理气体混合、形成混合气体的气体混合罐;
从上述气体混合罐向上述处理区域供给上述混合气体的混合气体供给管线;
分别向上述气体混合罐供给上述第一和第三处理气体的第一和第三处理气体供给系统;
具有不经过上述气体混合罐而向上述处理区域供给上述第二处理气体的第二处理气体供给管线的第二处理气体供给系统;和
分别设置在上述混合气体供给管线和上述第二处理气体供给管线上的第一和第二开关阀,
上述控制部控制上述第一和第二开关阀的开关,使得以脉冲状向上述处理区域交替供给来自上述气体混合罐的上述混合气体和来自上述第二处理气体供给系统的上述第二处理气体。
本发明的第二方面是一种半导体处理用的成膜装置,其特征在于,具有:
具有容纳以一定间隔堆积的多个被处理基板的处理区域的处理容器;
在上述处理区域内支撑上述被处理基板的支撑部件;
对上述处理区域内的上述被处理基板进行加热的加热器;
对上述处理区域内进行排气的排气系统;
向上述处理区域内供给用于在上述被处理基板上堆积薄膜的处理气体的处理气体供给系统,上述处理气体包括提供上述薄膜的主原料的第一处理气体、与上述第一处理气体发生反应的第二处理气体、和提供上述薄膜的副原料的第三处理气体,向上述处理区域供给的第三处理气体的供给量小于上述第一处理气体的供给量;和
控制包含上述处理气体供给系统的上述装置的动作的控制部,
上述处理气体供给系统具有:
将上述第一和第三处理气体混合、形成混合气体并供给上述处理区域的混合气体供给管线;
分别具有向上述混合气体供给管线供给上述第一和第三处理气体的第一和第三处理气体供给管线的第一和第三处理气体供给系统;
具有不经过上述混合气体供给管线而向上述处理区域供给上述第二处理气体的第二处理气体供给管线的第二处理气体供给系统;
分别设置在上述第一、第二和第三处理气体供给管线上的第一、第二和第三开关阀;和
设置在上述第一处理气体供给管线上,用于在上述第一开关阀前暂时贮存上述第一处理气体的第一罐,
上述控制部控制上述第一、第二和第三开关阀的开关,使得以脉冲状向上述处理区域交替供给来自上述混合气体供给管线的上述混合气体和来自上述第二处理气体供给系统的上述第二处理气体。
本发明的第三方面是一种半导体处理用的成膜方法,其特征在于,具有:
对在处理容器的处理区域内以一定间隔堆积的多个被处理基板进行加热的工序;和
向上述处理区域内供给用于在上述被处理基板上堆积薄膜的处理气体的工序,上述处理气体包括提供上述薄膜的主原料的第一处理气体、与上述第一处理气体发生反应的第二处理气体、和提供上述薄膜的副原料的第三处理气体,
上述供给处理气体的工序具有:
向设置在上述处理容器外的气体混合罐中供给上述第一和第三处理气体,形成混合气体的工序;
从上述气体混合罐向上述处理区域供给上述混合气体的工序;和
不经过上述气体混合罐、向上述处理区域供给上述第二处理气体的工序,
以脉冲状向上述处理区域交替供给上述混合气体和上述第二处理气体。
本发明的第四方面是一种半导体处理用的成膜方法,其特征在于,具有:
对在处理容器的处理区域内以一定间隔堆积的多个被处理基板进行加热的工序;和
向上述处理区域内供给用于在上述被处理基板上堆积薄膜的处理气体的工序,上述处理气体包括提供上述薄膜的主原料的第一处理气体、与上述第一处理气体发生反应的第二处理气体、和提供上述薄膜的副原料的第三处理气体,向上述处理区域供给的上述第三处理气体的供给量小于上述第一处理气体的供给量,
上述供给处理气体的工序具有:
将上述第一和第三处理气体在混合气体供给管线中混合、形成混合气体并供给上述处理区域的工序,上述第一处理气体一边暂时贮存在设置在上述混合气体供给管线之前的第一罐中、一边进行供给;和
不经过上述混合气体供给管线、向上述处理区域供给上述第二处理气体的工序,
以脉冲状向上述处理区域交替供给上述混合气体和上述第二处理气体。
本发明的第五方面是计算机可读取的、含有用于在处理器上运行的程序指令的介质,其特征在于:
上述程序指令在处理器上运行时,半导体处理用的成膜装置中运行下述工序:
对在处理容器的处理区域内以一定间隔堆积的多个被处理基板进行加热的工序;和
向上述处理区域内供给用于在上述被处理基板上堆积薄膜的处理气体的工序,上述处理气体包括提供上述薄膜的主原料的第一处理气体、与上述第一处理气体发生反应的第二处理气体、和提供上述薄膜的副原料的第三处理气体,
上述供给处理气体的工序具有:
向设置在上述处理容器外的气体混合罐中供给上述第一和第三处理气体,形成混合气体的工序;
从上述气体混合罐向上述处理区域供给上述混合气体的工序;和
不经过上述气体混合罐、向上述处理区域供给上述第二处理气体的工序,
以脉冲状向上述处理区域交替供给上述混合气体和上述第二处理气体。
本发明的第六方面是计算机可读取的、含有用于在处理器上运行的程序指令的介质,其特征在于:
上述程序指令在处理器上运行时,半导体处理用的成膜装置中运行下述工序:
对在处理容器的处理区域内以一定间隔堆积的多个被处理基板进行加热的工序;和
向上述处理区域内供给用于在上述被处理基板上堆积薄膜的处理气体的工序,上述处理气体包括提供上述薄膜的主原料的第一处理气体、与上述第一处理气体发生反应的第二处理气体、和提供上述薄膜的副原料的第三处理气体,向上述处理区域供给的上述第三处理气体的供给量小于上述第一处理气体的供给量,
上述供给处理气体的工序具有:
将上述第一和第三处理气体在混合气体供给管线中混合、形成混合气体并供给上述处理区域的工序,上述第一处理气体一边暂时贮存在设置在上述混合气体供给管线之前的第一罐中、一边进行供给;和
不经过上述混合气体供给管线、向上述处理区域供给上述第二处理气体的工序,
以脉冲状向上述处理区域交替供给上述混合气体和上述第二处理气体。
本发明的其他目的和优点将在以下说明中阐明、通过以下说明部分地变得明显、或可通过对本发明的实施而获知。本发明的目的和优点可通过以下具体指出的手段及组合而实现和获得。
附图说明
结合在本说明书中且构成其一部分的附图,图解显示了本发明目前的优选实施例,与以上给出的总体说明和以下给出的优选实施例的详细说明一起,用于解释本发明的本质。
图1为表示本发明的第一实施方式的成膜装置(立式CVD装置)的截面图。
图2为表示图1所示装置的一部分的横截平面图。
图3为表示在第一实施方式的成膜方法中,供给气体和施加RF(高频)的方式的时间图(timing chart)。
图4为表示本发明的第二实施方式的成膜装置(立式CVD装置)的截面图。
图5为表示第二实施方式的变形例的成膜装置的气体供给系统的一部分的图。
图6为表示主控制部的大致结构的框图。
具体实施方式
在本发明的开发过程中,本发明人对在以往的半导体处理用成膜装置中使用多种原料气体进行成膜的情况下、例如在形成添加有杂质的薄膜的情况下产生的问题进行了研究。结果,本发明人得到了如下所述的认识。
即,在使用多种原料气体进行成膜的情况下,为了得到较高的膜质,将膜中组成元素的比例控制为规定值是很重要的。但是,例如在多种原料气体中包含含有堆积膜的主成分元素的主原料气体和添加气体的情况下,相对于主原料气体的供给量,添加气体的供给量通常非常小。这样,当向立式的处理室供给供给量较小的添加气体时,会产生进入晶片上的堆积膜中的来源于添加气体的元素量,因晶片的上下方向的位置不同而有很大差异的问题。因此,堆积膜的组成的面内均匀性有恶化的趋势。这是由于各原料气体相对于晶片表面的吸附力不同或者原料气体的流量不同所引起的。
因此,在向立式的处理室中供给的气体的供给量像添加气体那样非常少的情况下,必须有在上下方向以均匀的供给量供给该气体的对策。例如,沿着立式的处理室设置气体喷嘴,从以规定间隔设置在这些喷嘴中的多个气体喷射孔喷射添加气体。或者,缩短添加气体的供给时间,增大单位时间的气体流量。但是,即使是上述前者的对策,在气体供给量较小的情况下,也有上游侧气体喷射孔的流量大于下游侧气体喷射孔的流量的趋势。对此,在气体供给量较小的情况下,将各气体喷射孔的尺寸等最优化,以使各气体喷射孔的流量均匀是很不容易的。
再者,在气体供给量像添加气体那样非常少的情况下,可以将该气体混合在惰性的载气中以增大整体的流量。但是,在此情况下,由于载气的存在,添加气体的分压降低,从而添加气体的吸附速度降低。
下面,参照附图对基于上述认识而构成的本发明的实施方式进行说明。此外,在下面的说明中,对于具有大致相同的功能和结构的结构要素赋予相同的符号,只在必要的情况下进行重复说明。
<第一实施方式>
图1为表示本发明的第一实施方式的成膜装置(立式CVD装置)的截面图。图2为表示图1所示装置的一部分的横截平面图。该成膜装置2具有能够选择性地供给含有作为硅烷类气体的二氯硅烷(DCS)的第一处理气体、含有作为氮化气体的氨气(NH3)的第二处理气体、和含有作为含硼气体的BCl3气体的第三处理气体的处理区域。成膜装置2被构成为:在上述处理区内,通过CVD在被处理基板上形成SiBN(boron doped silicon nitride:掺硼氮化硅)膜。因此,可以含硼气体作为添加气体。此外,根据需要,处理区域还可以构成为能够选择性地供给含有作为烃气体的C2H4气体(乙烯气体)的第四处理气体。
成膜装置2在内部规划出容纳以一定间隔堆积的多片晶片(被处理基板)的处理区域5,具有下端开口、带顶的圆筒状的处理容器4。处理容器4的整体由例如石英制造。石英制的顶板6设置在处理容器4内的顶部并将其封闭。处理容器4的下端开口经过O形环等密封部件10与成形为圆筒体状的歧管(manifold)8连接。此外,也可以不另外设置歧管8,而将整体构成为圆筒体状的石英制的处理容器。
歧管8由例如不锈钢构成,支撑处理容器4的下端。石英制的晶舟12可通过歧管8下端的开口升降,由此晶舟12被装载到处理容器4中或从处理容器4中卸载。晶舟12中载置有多层作为被处理基板的多片半导体晶片。例如,在本实施方式中,晶舟12的支柱12A能够以大致相等的间隔在多层中支撑例如50~100片左右直径为300mm的晶片W。
晶舟12通过石英制的保温筒14被载置在台子16上。台子16被支撑在旋转轴20上,该旋转轴20贯穿对歧管8的下端开口进行开关的例如不锈钢制的盖体18。
在旋转轴20贯穿的部位设置有例如磁性流体密封22,气密地密封旋转轴20、同时能够旋转地支撑该旋转轴。盖体18的边缘部和歧管8的下端部设置有例如由O形环等构成的密封部件24。
旋转轴20安装在由例如晶舟升降机等升降机构25支撑的臂26的前端。晶舟12和盖体18等通过升降机构25整体升降。此外,也可以将台子16固定设置在盖体18一侧,在不使晶舟12旋转的情况下进行晶片W的处理。
歧管8的侧面连接有用于向处理容器4内的处理区域5供给规定的处理气体的气体供给部。该气体供给部包括第二处理气体供给系统28、第一处理气体供给系统30、第三处理气体供给系统32和吹扫气体供给系统36,以及根据需要设置的第四处理气体供给系统34。第一处理气体供给系统30供给含有作为硅烷类气体的DCS(二氯硅烷)气体的第一处理气体。第二处理气体供给系统28供给含有作为氮化气体的氨气(NH3)的第二处理气体。第三处理气体供给系统32供给含有作为含硼气体(掺杂气体)的BCl3气体的第三处理气体。第四处理气体供给系统34供给含有作为烃气体的C2H4气体(乙烯气体)的第四处理气体。吹扫气体供给系统36供给惰性气体例如N2气作为吹扫气体。第一、第二和第四处理气体中,根据需要可混合适当量的载气,但在下面为了简化说明,没有提及载气。
具体地说,第一、第三和第四处理气体供给系统30、32、34与共同的混合气体供给系统35连接。混合气体供给系统35具有气体混合罐,该气体混合罐用于混合第一和第三处理气体,或者根据需要再加入第四处理气体。气体混合罐42被设定为可将气体均匀地混合并能够暂时存储充分的供给量的混合气体的大小,例如4L左右的容量(根据气体流量而变化)。气体混合罐52通过设置有开关阀44A的混合气体供给管线44与由石英管构成的气体分散喷嘴40连接。另一方面,第二处理气体供给系统28也与由石英管构成的气体分散喷嘴38连接。
气体分散喷嘴38、40向内侧贯穿歧管8的侧壁,向上方弯曲并延伸。各气体分散喷嘴38、40沿着其长度的方向(上下方向)并且隔开规定的间隔形成多个气体喷射孔38A、40A,遍及晶舟12上的全体晶片W。气体喷射孔38A、40A各自在水平方向上大致均匀地供给对应的处理气体,使得形成与晶舟12上的多片晶片W平行的气流。另一方面,吹扫气体供给系统36具有贯穿歧管8的侧壁设置的较短的气体喷嘴46。
气体混合罐52经由第一、第三和第四处理气体供给系统30、32和34的气体供给管线(气体通路)50、52和54分别与DCS气体、BCl3气体和C2H4气体的气体源30S、32S和34S连接。第二处理气体供给系统28的气体分散喷嘴38,经由气体供给管线(气体通路)48与NH3气的气体源28S连接。吹扫气体供给系统36的喷嘴46,经由气体供给管线(气体通路)56与N2气的气体源36S连接。在气体供给管线48、50、52、54、56上设置有开关阀48A、50A、52A、54A、56A和质量流量控制器之类的流量控制器48B、50B、52B、54B和56B。由此能够对NH3气体、DCS气体、BCl3气体、C2H4气体和N2气体分别控制流量进行供给。
在处理容器4的一部分侧壁上,沿着其高度方向设置有气体激发部66。在与气体激发部66相对的处理容器4的相反侧,为了对其内部气氛进行真空排气,设置有通过例如在上下方向对处理容器4的侧壁进行切割而形成的细长的排气口68。
具体地说,气体激发部66具有通过沿着上下方向将处理容器4的侧壁切去规定宽度而形成的上下方向细长的开口70。开口70被与处理容器4的外壁气密地熔接接合的石英制的盖子(cover)72覆盖。盖子72向处理容器4的外侧突出,形成截面凹部形状,并具有上下方向细长的形状。
通过该结构,形成了从处理容器4的侧壁突出、并且一侧向处理容器4内开口的气体激发部66。即,气体激发部66的内部空间与处理容器4内的处理区域5连通。开口70在上下方向上形成得足够长,能够在高度方向上覆盖住保持在晶舟12内的所有晶片W。
在盖子72的两个侧壁的外侧表面,沿着其长度方向(上下方向)相对地设置有一对细长的电极74。电极74经由供电管线78与等离子体发生用的高频电源76连接。通过在电极74上施加例如13.56MHz的高频电压,在一对电极74之间形成用于激发等离子体的高频电场。此外,高频电压的频率并不限于13.56MHz,也可以使用其它的频率、例如400kHz等。
第二处理气体的气体分散喷嘴38,在比晶舟12上最下层的晶片W更低的位置,向处理容器4的半径方向的外部弯曲。其后,气体分散喷嘴38在气体激发部66内的最深处(距处理容器4的中心最远的部分)的位置,垂直立起。如图2所示,气体分散喷嘴38被设置在被一对相对的电极74所夹持的区域(高频电场最强的位置),即比实际产生主要等离子体的等离子体发生区域PS更向外的位置。从气体分散喷嘴38的气体喷射孔38A向等离子体发生区域PS喷射出含有NH3气体的第二处理气体,在此被激发(分解或活化),在此状态下被供给到晶舟12上的晶片W。
在盖子72的外侧安装有将其覆盖并由例如石英构成的绝缘保护盖80。在绝缘保护盖80的内侧与电极74相对的部分,设置有由制冷剂通路构成的冷却机构(未图示)。通过使作为制冷剂的被冷却的氮气在制冷剂通路中流动,使电极74冷却。此外,在绝缘保护盖80的外侧,设置有将其覆盖并用于防止高频泄漏的屏蔽物(未图示)。
在气体激发部66的开口70的外侧附近,即在开口70的外侧(处理容器4内),垂直立起地设置有混合气体供给系统35的气体分散喷嘴40。从气体分散喷嘴40上形成的气体喷射孔40A向处理容器4的中心方向喷射混合气体(第一和第三处理气体,或者根据需要再加入第四处理气体而形成的混合气体)。
另一方面,覆盖排气口、由石英构成的成形为截面呈コ字形的排气口覆盖部件82通过熔融焊接安装在与气体激发部66相对设置的排气口68上。排气口覆盖部件82沿处理容器4的侧壁向上方延伸,在处理容器4的上方形成气体出口84。气体出口84与配设有真空泵等的真空排气系统GE连接。
以包围处理容器4的方式设置有对处理容器4内的气氛和晶片W进行加热的加热器86。在处理容器4内的排气口86的附近,设置有用于控制加热器86的热电偶(未图示)。
成膜装置2具有由控制装置整体的动作的计算机等构成的主控制部60。主控制部60根据在其附属的存储部212中预先存储的成膜处理的处理方案、例如形成的膜的膜厚和组成等进行后述的成膜处理。该存储部212中预先存储处理气体流量、和膜厚、组成之间关系作为控制数据。因此,主控制部60能够根据这些存储的处理方案和控制数据,对升降机构25、气体供给系统28、30、32、34、35、36、排气系统GE、气体激发部66、加热器86等进行控制。
接着,说明使用图1所示的装置进行的成膜方法(所谓ALD(Atomic Layer Deposition:原子层沉积)成膜)。在该成膜方法中,通过CVD在半导体晶片W上形成由SiBN构成的绝缘膜。为此,向容纳晶片W的处理区域5内选择性地供给含有作为硅烷类气体的二氯硅烷(DCS)气体的第一处理气体、含有作为氮化气体的氨气(NH3)的第二处理气体和含有作为含硼气体的BCl3气体的第三处理气体。此时,将第一处理气体和第三处理气体在气体混合罐42中混合,作为混合气体供给到处理区域5中。在该成膜方法中,举例说明不供给含有作为烃气体的C2H4气体(乙烯气体)的第四处理气体的情况。
首先,将保持有多片例如50~100片的直径为300mm大小的晶片W的常温的晶舟12载入到设定为规定温度的处理容器4内,将处理容器4密闭。接着,对处理容器8内进行抽真空,并维持在规定的处理压力,同时,使晶片温度升高,待机一直到在成膜用的处理温度下稳定。接着,一边使晶舟12旋转,一边控制各自的流量,从气体分散喷嘴38、40间歇地供给第一至第三处理气体。
更具体地说,向气体混合罐42中供给含有DCS气体的第一处理气体和含有BCl3的第三处理气体,形成混合气体。从气体分散喷嘴40的气体喷射孔40A供给该混合气体,以形成与晶舟12上的多片晶片W平行的气流。在此期间,DCS气体和BCl3气体的分子或者由它们分解而产生的分解生成物的分子或原子被吸附在晶片上。
另一方面,从气体分散喷嘴38的气体喷射孔38A供给含有NH3气体的第二处理气体,使得形成与晶舟12上的多片晶片W平行的气流。第二处理气体在通过一对电极74之间的等离子体发生区域PS时,被选择性地激发,一部分被等离子体化。此时,生成例如N*、NH*、NH2*、NH3*等自由基(活性种)(符号“*”表示是自由基)。这些自由基从气体激发部66的开口70向处理容器4的中心流出,以层流的状态供给到晶片W之间。
上述自由基与晶片W表面吸附的DCS气体分子等发生反应,由此在晶片W上形成薄膜。另外,此时,由BCl3气体分解而产生的B原子进入薄膜中,形成含有硼作为杂质的SiBN膜。与此相反,在晶片W的表面上吸附自由基的部位,在流过DCS气体和BCl3气体的情况下,也会发生同样的反应,在晶片W上形成SiBN膜。
图3为表示在第一实施方式的成膜方法中,供给气体和施加RF(高频)的方式的时间图。如图3所示,在该实施方式的成膜方法中,交替重复第一至第四工序T1~T4。即重复进行多次由第一至第四工序T1~T4构成的循环,将每次循环形成的SiBN薄膜进行叠层,从而得到最终厚度的SiBN膜。
具体地说,在第一工序T1中,向处理区域5供给第一处理气体(在图3中表示为DCS)和第三处理气体(在图3中表示为BCl3)的混合气体,同时停止向处理区域5供给第二处理气体(在图3中表示为NH3)。在第二工序T2中,停止向处理区域5供给第一和第三处理气体的混合气体以及第二处理气体。在第三工序T3中,向处理区域5供给第二处理气体,同时停止向处理区域5供给第一和第三处理气体的混合气体。另外,在第三工序T3中,在中途接通RF电源76,由气体激发部66将第二处理气体等离子体化,由此,只在子工序T3b中以激发状态向处理区域5供给第二处理气体。在第四工序T4中,停止向处理区域5供给第一和第三处理气体的混合气体以及第二处理气体。
在第三工序T3中,在经过规定的时间Δt后,接通RF电源76,由气体激发部66将第二处理气体等离子体化,由此,只在子工序T3b中以激发状态向处理区域5供给第二处理气体。该规定时间Δt是直到NH3气体的流量达到稳定的时间,例如为5秒左右。但是,也可以在第二处理气体的整个供给期间都由气体激发部66将第二处理气体等离子体化。这样,通过在第二处理气体的流量稳定化之后接通RF电源、产生等离子体,能够提高晶片W的面间方向(高度方向)的活性种的浓度均匀性。
第二和第四工序T2、T4,作为排出处理容器4内残留的气体的吹扫工序使用。在此,所谓吹扫,是指一边流过N2气体等惰性气体一边对处理容器4内进行真空排气、或者停止所有气体的供给后对处理容器4内进行真空排气,由此,除去处理容器4中的残留气体。另外,也可以在第二和第四工序T2、T4的前一半只进行真空排气,在后一半同时进行真空排气和惰性气体的供给。此外,在第一和第三工序T1、T3中,供给第一至第三处理气体时,可以停止处理容器4内的真空排气。但是,在供给第一至第三处理气体、同时对处理容器4内进行真空排气的情况下,可以在全部第一至第四工序T1~T4中,连续对处理容器4内进行真空排气。
在图3中,第一工序T1设定为大约1~20秒,例如大约10秒;第二工序T2设定为大约5~15秒,例如大约10秒;第三工序T3设定为大约1~30秒,例如大约20秒,子工序T3b设定为大约1~25秒,例如大约10秒;第四工序T4设定为大约5~15秒,例如大约10秒。另外,通常通过1个第一至第四工序T1~T4的循环,形成的膜厚为0.11~0.13nm左右。因此,当目标膜厚为例如70nm时,要重复进行该循环600次左右。但是,这些时间或厚度只不过是表示的一个例子,并不限定于这个数值。
上述成膜处理的处理条件如下。DCS气体的流量为50~2000sccm的范围内,例如为1000sccm(1slm)。NH3气体的流量为500~5000sccm的范围内,例如为1000sccm。BCl3气体的流量为1~15sccm的范围内,例如为2sccm。这样,BCl3气体的流量与DCS气体的流量相比是非常小的。
处理温度为比通常的CVD处理低,具体地说,在300~700℃的范围内,优选在550~630℃的范围内。处理温度低于300℃时,不发生反应,几乎没有膜堆积。处理温度高于700℃时,膜质劣化,利用CVD形成堆积膜时,还会使已经形成的金属膜等受到热损害。
处理压力在13Pa(0.1Torr)~1330Pa(10Torr)的范围内,优选在40Pa(0.3Torr)~266Pa(2Torr)的范围内。例如,处理压力在第一工序(吸附工序)T1中为1Torr,在第三工序(使用等离子体进行氮化的工序)T3中为0.3Torr。在处理压力小于13Pa的情况下,成膜速度在实用水平之下。处理压力大于1330Pa时,不能充分产生等离子体。
这样,预先在气体混合罐42中将含有提供薄膜的主原料的DCS气体的第一处理气体、和含有提供薄膜的副原料的BCl3气体的第三处理气体均匀地混合,形成混合气体。然后,将该混合气体从在高度方向上以一定隔配置的多个气体喷射孔40A,间歇地供给到处理区域5。由此,即使不使用载气,也能够使供给量很小的BCl3气体在处理容器4的高度方向上大致均匀地分散。从而能够使形成的SiBN薄膜中的组成元素比与晶片W的位置无关而达到均匀化。
换句话说,第三处理气体的供给量远小于第一处理气体的供给量。在此情况下,通过使供给量小的第三处理气体伴随着供给量大的第一处理气体,能够使其在处理容器4内的高度方向上均匀地分散。特别地,当一种原料气体的供给量为另一种原料气体供给量的1/100以下时,能够更加发挥出如上所述的改善膜中的组成元素比的均匀性的效果。
当BCl3气体被吸附在晶片表面上时,吸附量随BCl3气体的分压而改变。在本实施方式中,BCl3气体不使用载气就能够均匀地在容器内的高度方向上供给。因此,与使用载气的情况相比,能够将BCl3气体的分压维持较高从而促进在晶片表面的吸附。其结果,可以在维持每一个循环的成膜速度的条件下,缩短一个循环所需的时间,从而能够提高其产量。
例如,在实验中,在以往的成膜方法中,为了使BCl3气体充分吸附在晶片表面上所需要的时间为15秒左右。与此相对,根据本实施方式,该时间能够缩短至2~3秒。其结果,在以往的方法中,一个循环需要30秒左右,而在本实施方式中可缩短至8秒。
作为混合气体的形成和供给的方式,有如下的两种代表性的方式。在第一种方式中,一方面,从第一和第三处理气体供给系统30、32连续地向气体混合罐42供给第一和第三处理气体;另一方面,从气体混合罐42以脉冲状向处理区域5供给混合气体。在第二种方式中,一方面,在第一相位、从第一和第三处理气体供给系统30、32以脉冲状向气体混合罐42中同时供给第一和第三处理气体;另一方面,在与第一相位相反的第二相位,从气体混合罐42向处理区域5供给混合气体。
为了实现上述方式,第一和第三处理气体供给系统30、32的开关阀50A、52A和混合气体供给系统35的开关阀44A的开关,根据来自主控制部60的指令,如下进行操作。在上述第一方式的情况下,在从成膜处理开始到结束的多个循环过程中,开关阀50A、52A同时维持开启的状态,而开关阀44A是以脉冲状进行开关。在上述第二方式的情况下,从成膜处理开始到结束的多个循环过程中,开关阀50A、52A以脉冲状进行开关,而开关阀44A在相反的相位以脉冲状进行开关。
此外,在上述成膜方法中,举出的例子是不供给含有作为烃气体的C2H4气体(乙烯气体)的第四处理气体的情况,但根据需要,也可以供给第四处理气体。在此情况下,第四处理气体,与第一和第三处理气体同时进行流量控制并供给到气体混合罐42中。在这样使用第一、第三和第四处理气体的混合气体的情况下,形成的薄膜是由含碳的SiBCN(boron doped silicon carbon nitride:掺硼碳氮化硅)构成的绝缘膜。
<第二实施方式>
图4为表示本发明的第二实施方式的成膜装置(立式CVD装置)的截面图。该成膜装置2X除了第一、第三和第四处理气体供给系统30、32、34和混合气体供给系统35以外,具有与图1所示的成膜装置2相同的结构。因此,下面以与成膜装置2的不同点为中心对成膜装置2X进行说明。
在成膜装置2X中,第一、第三和第四处理气体供给系统30、32、34与共同的混合气体供给系统35X连接。混合气体供给系统35X具有混合气体供给管线40X,用于混合第一和第三处理气体,或者根据需要再加入第四处理气体。混合气体供给管线40X由石英管构成,由气体分散喷嘴40的根部构成。
第一、第三和第四处理气体供给系统30、32、34,具有用于将对应的处理气体暂时贮存在混合气体供给管线40X之前的位置的罐102、104、106。为了处理较大的气体流量,罐102、106要比罐104大。例如,罐102和106设定为4升左右的容量,而罐104设定为0.05升左右的容量(根据气体流量而改变)。罐102、104和106经由各自设置有开关阀103A、105A和107A的气体供给管线103、105和107与混合气体供给管线40X连接。
第一、第三和第四处理气体供给系统30、32和34的罐102、104和106,分别经由气体供给管线(气体通路)50、52和54,与DCS气体、BCl3气体和C2H4气体的气体源30S、32S和34S连接。在气体供给管线50、52和54上设置有开关阀50A、52A、54A和质量流量控制器之类的流量控制器50B、52B和54B。由此,能够在控制各自流量的同时供给DCS气体、BCl3气体和C2H4气体。
接着,对使用图4所示的装置进行的成膜方法(所谓ALD(AtomicLayer Deposition:原子层沉积)成膜)进行说明。此外,在此也以不供给含有作为烃气体的C2H4气体(乙烯气体)的第四处理气体的情况为例进行说明。该成膜方法中的气体的供给和RF(高频)的施加可以按照图3所示的时间图进行。此时,为了形成和供给混合气体(在图3中用DCS+BCl3表示),开关阀103A、105A同步开关,将在罐102、104内暂时贮存的第一和第三处理气体供给到混合气体供给管线40X中并混合。该混合气体从气体分散喷嘴40的气体喷射孔40A供给,形成与晶舟12上的多片晶片W平行的气流。结果能够得到与图1所示的装置同样的效果。
作为混合气体的形成和供给的方式,有如下二种方式为代表(在此说明中,不供给第四处理气体)。在第一方式中,一方面,向第一和第三处理气体供给系统30、32的各罐102、104中连续供给第一和第三处理气体;另一方面,从罐102、104以脉冲状向混合气体供给管线40X供给各种气体。在第二方式中,一方面,在第一相位、以脉冲状向第一和第三处理气体供给系统30、32的各罐102、104同时供给第一和第三处理气体;另一方面,在与第一相位相反的第二相位,从各罐102、104向混合气体供给管线40X供给各种气体。
为了实现上述方式,第一和第三处理气体供给系统30、32的开关阀50A、52A以及罐下游的开关阀103A、105A的开关,根据来自主控制部60的指令进行如下的操作。在上述第一方式的情况下,从成膜处理开始到结束的多个循环过程中,开关阀50A、52A同时维持开的状态,而开关阀103A、105A以脉冲状进行开关。在上述第二方式的情况下,从成膜处理开始到结束的多个循环过程中,开关阀50A、52A以脉冲状进行开关,而开关阀103A、105A在相反的相位以脉冲状进行开关。
图5为表示第二实施方式的变形例的成膜装置的气体供给系统的一部分的图(未图示第四处理气体供给系统)。在该变形例中,由于含有BCl3气体的第三处理气体的流量远小于含有DCS气体的第一处理气体的流量,所以第三处理气体供给系统32的罐104予以省略。在此情况下,可以将少量的第三处理气体均匀地混合在大量的第一处理气体中并供给到混合气体供给管线40X中。
<第一和第二实施方式的共同点>
如上所述,第一和第二实施方式的方法,根据处理程序,在主控制部60的控制下实施。图6为表示主控制部60的大致结构的框图。主控制部60具有CPU210,存储部212、输入部214和输出部216等与其连接。存储部212中存储有处理程序或处理方案。输入部214包括用于与用户对话的输入装置,例如键盘或定点设备(pointing device)、以及存储介质的驱动器等。输出部216输出用于控制处理装置的各设备的控制信号。图6也一并表示出能够装入计算机或从中取出的存储介质218。
上述实施方式的方法,可以适用于将用于在处理器上运行的程序指令写入计算机可读取的存储介质上的各种半导体处理装置。或者,可以适用于这种程序指令通过通讯介质传送的各种半导体处理装置。存储介质是例如磁盘(软盘、硬盘(一个例子是存储部212中含有的硬盘)等)、光盘(CD、DVD等)、磁光盘(MO等)、半导体存储器等。控制半导体处理装置的动作的计算机,读取存储在存储介质上的程序指令,通过将其在处理器上运行而实施上述方法。
在上述实施方式中,作为成膜装置2,是以将形成等离子体的激发部66与处理容器4组成一体的结构为例的。取而代之,也可以将激发部66和处理容器4分开设置,在处理容器4之外对NH3气体进行预激发(所谓的远程等离子体),再将此激发的NH3气体供给处理容器4内。另外,也可以在不将NH3气体活化的情况下进行供给,在此情况下就不需要有关气体激发部66的部件。但是,在此情况下,为了补偿由于不使用等离子体而引起的能量降低,需要稍微提高处理温度。
在上述实施方式中,作为供给混合气体的喷嘴,举出了形成有多个气体喷射孔40A的气体分散喷嘴40。取而代之,也可以使用与气体喷嘴46同样在一条直线上的所谓直管、或弯曲成L字形的所谓L字管等。在使用这样的直管或L字管的情况下,混合气体从处理区域5的下方或上方供给。因此,排气口68设置在处理容器4的上部或下部,以使混合气体在处理区域5内沿着上下方向充分地流动。
在上述实施方式中,作为第一处理气体中的硅烷类气体,举出了DCS气体为例进行说明。关于这一点,作为硅烷类气体,可以使用选自二氯硅烷(DCS)、六氯乙硅烷(HCD)、甲硅烷(SiH4)、乙硅烷(Si2H6)、六甲基二硅氮烷(HMDS)、四氯硅烷(TCS)、二甲硅烷基胺(disilylamine)(DSA)、三甲硅烷基胺(TSA)、双叔丁基氨基硅烷(BTBAS)中的一种以上的气体。
在上述实施方式中,作为第二处理气体中的氮化气体,可以使用NH3气体、N2气体。另外,将本发明用于形成硅氧氮化物类的膜时,可以使用例如一氧化二氮(N2O)、一氧化氮(NO)等氮氧化气体来代替氮化气体。在此情况下,形成的膜是含有氧(O)的硅氧氮化物类的膜。
在上述实施方式中,作为第三处理气体中的含硼气体,举出了BCl3气体为例进行说明。关于这一点,作为含硼气体,可以包括选自BCl3、B2H6、BF3、(BCH3)3中的一种以上的气体。
在上述实施方式中,作为第四处理气体中的烃气体,举出了乙烯气体为例进行说明。关于这一点,作为烃气体,可以使用选自乙炔、乙烯、甲烷、乙烷、丙烷、丁烷中的一种或两种以上的气体。
在上述实施方式中,举出了形成SiBN膜或SiBCN膜的情况作为例子。取而代之,也可以将本发明应用于形成例如BCN膜(含碳氮化硼膜)的情况。在此情况下,将含硼气体和烃气体作为原料气体,使两种气体混合。本发明在使用即使在导入处理容器内之前混合也不会产生问题的多种原料气体进行成膜处理的情况下,可以普遍地适用。例如,本发明也可以适合于使用氧化气体、例如氧气作为反应性气体,进行成膜处理的情况。
作为被处理基板并不限于晶片,也可以是LCD基板、玻璃基板等其它基板。
其他优点和改型对于本领域技术人员将是显而易见的。因此,本发明的更广泛的实施方式不局限于在此显示和说明的具体细节和代表性的实施例。因此,可进行不同的改型,而不脱离由所附权利要求及其等效物所确定的总体发明构思的实质和范围。

Claims (24)

1.一种半导体处理用的成膜装置,其特征在于,具有:
具有容纳以一定间隔堆积的多个被处理基板的处理区域的处理容器;
在所述处理区域内支撑所述被处理基板的支撑部件;
对所述处理区域内的所述被处理基板进行加热的加热器;
对所述处理区域内进行排气的排气系统;
向所述处理区域内供给用于在所述被处理基板上堆积薄膜的处理气体的处理气体供给系统,所述处理气体包括提供所述薄膜的主原料的第一处理气体、与所述第一处理气体发生反应的第二处理气体、和提供所述薄膜的副原料的第三处理气体;和
控制包含所述处理气体供给系统的所述装置的动作的控制部,
所述处理气体供给系统具有:
设置在所述处理容器外,用于将所述第一和第三处理气体混合、形成混合气体的气体混合罐;
从所述气体混合罐向所述处理区域供给所述混合气体的混合气体供给管线;
分别向所述气体混合罐供给所述第一和第三处理气体的第一和第三处理气体供给系统;
具有不经过所述气体混合罐而向所述处理区域供给所述第二处理气体的第二处理气体供给管线的第二处理气体供给系统;和
分别设置在所述混合气体供给管线和所述第二处理气体供给管线上的第一和第二开关阀,
所述控制部控制所述第一和第二开关阀的开关,使得以脉冲状向所述处理区域交替供给来自所述气体混合罐的所述混合气体和来自所述第二处理气体供给系统的所述第二处理气体。
2.如权利要求1所述的装置,其特征在于:
所述控制部进行下述控制:一方面从所述第一和第三处理气体供给系统连续地向所述气体混合罐供给所述第一和第三处理气体,另一方面从所述气体混合罐以脉冲状向所述处理区域供给所述混合气体。
3.如权利要求1所述的装置,其特征在于:
所述控制部进行下述控制:一方面,在第一相位、从所述第一和第三处理气体供给系统以脉冲状向所述气体混合罐同时供给所述第一和第三处理气体;另一方面,在与所述第一相位相反的第二相位、从所述气体混合罐以脉冲状向所述处理区供给所述混合气体。
4.如权利要求1所述的装置,其特征在于:
所述混合气体供给管线和所述第二处理气体供给管线分别具有第一和第二供给口,所述第一和第二供给口分别具有沿着所述多个被处理基板在上下方向排列的多个气体喷射孔,以形成与所述多个被处理基板平行的气流。
5.如权利要求1所述的装置,其特征在于:
向所述处理区域供给的所述第三处理气体的供给量为所述第一处理气体的供给量的1/100以下。
6.如权利要求1所述的装置,其特征在于:
所述第一处理气体含有硅烷类气体,所述第二处理气体含有氮化气体或氮氧化气体,所述第三处理气体含有掺杂气体。
7.如权利要求6所述的装置,其特征在于:
所述处理气体供给系统还具有向所述气体混合罐中供给含有烃气体的第四处理气体的第四处理气体供给系统。
8.如权利要求6所述的装置,其特征在于:
所述第一处理气体含有选自二氯硅烷、六氯乙硅烷、甲硅烷、乙硅烷、六甲基二硅氮烷、四氯硅烷、二甲硅烷基胺、三甲硅烷基胺、双叔丁基氨基硅烷中的一种以上的气体,所述第二处理气体含有选自氨气、氮气、一氧化二氮、一氧化氮中的一种以上的气体,所述第三处理气体含有选自BCl3、B2H6、BF3、(BCH3)3中的一种以上的气体。
9.如权利要求1所述的装置,其特征在于:
还具有将所述第二处理气体等离子体化从而活化的激发装置。
10.如权利要求9所述的装置,其特征在于:
所述激发装置在与所述处理区域连通的空间内具有配置在所述第二处理气体供给口和所述基板之间的等离子体发生区。
11.一种半导体处理用的成膜装置,其特征在于,具有:
具有容纳以一定间隔堆积的多个被处理基板的处理区域的处理容器;
在所述处理区域内支撑所述被处理基板的支撑部件;
对所述处理区域内的所述被处理基板进行加热的加热器;
对所述处理区域内进行排气的排气系统;
向所述处理区域内供给用于在所述被处理基板上堆积薄膜的处理气体的处理气体供给系统,所述处理气体包括提供所述薄膜的主原料的第一处理气体、与所述第一处理气体发生反应的第二处理气体、和提供所述薄膜的副原料的第三处理气体,向所述处理区域供给的第三处理气体的供给量小于所述第一处理气体的供给量;和
控制包含所述处理气体供给系统的所述装置的动作的控制部,
所述处理气体供给系统具有:
将所述第一和第三处理气体混合、形成混合气体并供给所述处理区域的混合气体供给管线;
分别具有向所述混合气体供给管线供给所述第一和第三处理气体的第一和第三处理气体供给管线的第一和第三处理气体供给系统;
具有不经过所述混合气体供给管线而向所述处理区域供给所述第二处理气体的第二处理气体供给管线的第二处理气体供给系统;
分别设置在所述第一、第二和第三处理气体供给管线上的第一、第二和第三开关阀;和
设置在所述第一处理气体供给管线上,用于在所述第一开关阀前暂时贮存所述第一处理气体的第一罐,
所述控制部控制所述第一、第二和第三开关阀的开关,使得以脉冲状向所述处理区域交替供给来自所述混合气体供给管线的所述混合气体和来自所述第二处理气体供给系统的所述第二处理气体。
12.如权利要求11所述的装置,其特征在于:
所述控制部同步进行所述第一和第三开关阀的开关。
13.如权利要求11所述的装置,其特征在于:
所述处理气体供给系统还具有设置在所述第三处理气体供给管线上,用于在所述第三开关阀前暂时贮存所述第三处理气体的第二罐。
14.如权利要求11所述的装置,其特征在于:
所述混合气体供给管线和所述第二处理气体供给管线分别具有第一和第二供给口,所述第一和第二供给口分别具有沿着所述多个被处理基板在上下方向排列的多个气体喷射孔,以形成与所述多个被处理基板平行的气流。
15.如权利要求11所述的装置,其特征在于:
向所述处理区域供给的所述第三处理气体的供给量为所述第一处理气体供给量的1/100以下。
16.如权利要求11所述的装置,其特征在于:
所述第一处理气体含有硅烷类气体,所述第二处理气体含有氮化气体或氮氧化气体,所述第三处理气体含有掺杂气体。
17.如权利要求16所述的装置,其特征在于:
所述处理气体供给系统还具有向所述混合气体供给管线中供给含有烃气体的第四处理气体的第四处理气体供给系统。
18.如权利要求16所述的装置,其特征在于:
所述第一处理气体含有选自二氯硅烷、六氯乙硅烷、甲硅烷、乙硅烷、六甲基二硅氮烷、四氯硅烷、二甲硅烷基胺、三甲硅烷基胺、双叔丁基氨基硅烷中的一种以上的气体,所述第二处理气体含有选自氨气、氮气、一氧化二氮、一氧化氮中的一种以上的气体,所述第三处理气体含有选自BCl3、B2H6、BF3、(BCH3)3中的一种以上的气体。
19.如权利要求11所述的装置,其特征在于:
还具有将所述第二处理气体等离子体化从而活化的激发装置。
20.如权利要求19所述的装置,其特征在于:
所述激发装置在与所述处理区域连通的空间内具有设置在所述第二处理气体供给口和所述基板之间的等离子体发生区域。
21.一种半导体处理用的成膜方法,其特征在于,具有:
对在处理容器的处理区域内以一定间隔堆积的多个被处理基板进行加热的工序;和
向所述处理区域内供给用于在所述被处理基板上堆积薄膜的处理气体的工序,所述处理气体包括提供所述薄膜的主原料的第一处理气体、与所述第一处理气体发生反应的第二处理气体、和提供所述薄膜的副原料的第三处理气体,
所述供给处理气体的工序具有:
向设置在所述处理容器外的气体混合罐中供给所述第一和第三处理气体,形成混合气体的工序;
从所述气体混合罐向所述处理区域供给所述混合气体的工序;和
不经过所述气体混合罐、向所述处理区域供给所述第二处理气体的工序,
以脉冲状向所述处理区域交替供给所述混合气体和所述第二处理气体。
22.一种半导体处理用的成膜方法,其特征在于,具有:
对在处理容器的处理区域内以一定间隔堆积的多个被处理基板进行加热的工序;和
向所述处理区域内供给用于在所述被处理基板上堆积薄膜的处理气体的工序,所述处理气体包括提供所述薄膜的主原料的第一处理气体、与所述第一处理气体发生反应的第二处理气体、和提供所述薄膜的副原料的第三处理气体,向所述处理区域供给的所述第三处理气体的供给量小于所述第一处理气体的供给量,
所述供给处理气体的工序具有:
将所述第一和第三处理气体在混合气体供给管线中混合、形成混合气体并供给所述处理区域的工序,所述第一处理气体一边暂时贮存在设置在所述混合气体供给管线之前的第一罐中、一边进行供给;和
不经过所述混合气体供给管线、向所述处理区域供给所述第二处理气体的工序,
以脉冲状向所述处理区域交替供给所述混合气体和所述第二处理气体。
23.一种含有用于在处理器上运行的程序指令的计算机可读取的介质,其特征在于:
所述程序指令在处理器上运行时,半导体处理用的成膜装置中运行下述工序:
对在处理容器的处理区域内以一定间隔堆积的多个被处理基板进行加热的工序;和
向所述处理区域内供给用于在所述被处理基板上堆积薄膜的处理气体的工序,所述处理气体包括提供所述薄膜的主原料的第一处理气体、与所述第一处理气体发生反应的第二处理气体、和提供所述薄膜的副原料的第三处理气体,
所述供给处理气体的工序具有:
向设置在所述处理容器外的气体混合罐中供给所述第一和第三处理气体,形成混合气体的工序;
从所述气体混合罐向所述处理区域供给所述混合气体的工序;和
不经过所述气体混合罐、向所述处理区域供给所述第二处理气体的工序,
以脉冲状向所述处理区域交替供给所述混合气体和所述第二处理气体。
24.一种含有用于在处理器上运行的程序指令的计算机可读取的介质,其特征在于:
所述程序指令在处理器上运行时,半导体处理用的成膜装置中运行下述工序:
对在处理容器的处理区域内以一定间隔堆积的多个被处理基板进行加热的工序;和
向所述处理区域内供给用于在所述被处理基板上堆积薄膜的处理气体的工序,所述处理气体包括提供所述薄膜的主原料的第一处理气体、与所述第一处理气体发生反应的第二处理气体、和提供所述薄膜的副原料的第三处理气体,向所述处理区域供给的所述第三处理气体的供给量小于所述第一处理气体的供给量,
所述供给处理气体的工序具有:
将所述第一和第三处理气体在混合气体供给管线中混合、形成混合气体并供给所述处理区域的工序,所述第一处理气体一边暂时贮存在设置在所述混合气体供给管线之前的第一罐中、一边进行供给;和
不经过所述混合气体供给管线、向所述处理区域供给所述第二处理气体的工序,
以脉冲状向所述处理区域交替供给所述混合气体和所述第二处理气体。
CN2006100581791A 2005-03-11 2006-03-10 半导体处理用成膜方法和成膜装置 Expired - Fee Related CN1831192B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP2005-070034 2005-03-11
JP2005070034 2005-03-11
JP2005070034 2005-03-11
JP2006-004192 2006-01-11
JP2006004192 2006-01-11
JP2006004192A JP4506677B2 (ja) 2005-03-11 2006-01-11 成膜方法、成膜装置及び記憶媒体

Publications (2)

Publication Number Publication Date
CN1831192A true CN1831192A (zh) 2006-09-13
CN1831192B CN1831192B (zh) 2011-06-29

Family

ID=37008987

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2006100581791A Expired - Fee Related CN1831192B (zh) 2005-03-11 2006-03-10 半导体处理用成膜方法和成膜装置

Country Status (5)

Country Link
US (2) US20060207504A1 (zh)
JP (1) JP4506677B2 (zh)
KR (1) KR100967238B1 (zh)
CN (1) CN1831192B (zh)
TW (1) TWI352380B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101325160B (zh) * 2007-06-11 2012-04-25 东京毅力科创株式会社 半导体处理用的成膜方法和装置
CN102677021A (zh) * 2011-02-24 2012-09-19 东京毅力科创株式会社 成膜方法及成膜装置
CN109234703A (zh) * 2018-11-27 2019-01-18 湖南顶立科技有限公司 一种气相沉积系统

Families Citing this family (224)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4279176B2 (ja) * 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
JP4258518B2 (ja) * 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4464949B2 (ja) * 2006-11-10 2010-05-19 株式会社日立国際電気 基板処理装置及び選択エピタキシャル膜成長方法
JP4924437B2 (ja) * 2007-02-16 2012-04-25 東京エレクトロン株式会社 成膜方法及び成膜装置
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US8084105B2 (en) * 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
US8337950B2 (en) * 2007-06-19 2012-12-25 Applied Materials, Inc. Method for depositing boron-rich films for lithographic mask applications
JP5090097B2 (ja) * 2007-07-26 2012-12-05 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び基板処理方法
US20090093100A1 (en) * 2007-10-09 2009-04-09 Li-Qun Xia Method for forming an air gap in multilevel interconnect structure
KR20100069629A (ko) * 2007-10-22 2010-06-24 나노마테리얼 레버러토리 코., 엘티디. 반도체 제조 장치, 반도체 제조 방법 및 전자 기기
US20090159958A1 (en) * 2007-12-20 2009-06-25 Spansion Llc Electronic device including a silicon nitride layer and a process of forming the same
JP4611414B2 (ja) * 2007-12-26 2011-01-12 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP4935684B2 (ja) * 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) * 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US8148269B2 (en) * 2008-04-04 2012-04-03 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
JP5233562B2 (ja) * 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US8563090B2 (en) * 2008-10-16 2013-10-22 Applied Materials, Inc. Boron film interface engineering
US7910491B2 (en) * 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
JP5730496B2 (ja) * 2009-05-01 2015-06-10 株式会社日立国際電気 熱処理装置、半導体デバイスの製造方法および基板処理方法
JP5655429B2 (ja) * 2009-08-28 2015-01-21 三菱マテリアル株式会社 多結晶シリコンの製造方法、製造装置及び多結晶シリコン
US8409352B2 (en) * 2010-03-01 2013-04-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of manufacturing substrate and substrate processing apparatus
JP5462671B2 (ja) * 2010-03-15 2014-04-02 株式会社豊田中央研究所 気相成長方法
WO2011125395A1 (ja) * 2010-04-09 2011-10-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
KR101277966B1 (ko) * 2010-10-27 2013-06-27 현대제철 주식회사 일렉트로 가스 용접의 용접부 이면 냉각 장치
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5920242B2 (ja) * 2012-06-02 2016-05-18 東京エレクトロン株式会社 成膜方法及び成膜装置
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
JP5839606B2 (ja) * 2013-02-26 2016-01-06 東京エレクトロン株式会社 窒化膜を形成する方法
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
JP6267080B2 (ja) * 2013-10-07 2018-01-24 東京エレクトロン株式会社 シリコン窒化物膜の成膜方法および成膜装置
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
KR102350399B1 (ko) 2015-05-08 2022-01-18 다우 글로벌 테크놀로지스 엘엘씨 핵제로서 아조디카본아미드/시트레이트 혼합물을 사용하는 폴리올레핀 조성물을 발포시키는 방법
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
GB201514542D0 (en) 2015-08-14 2015-09-30 Thomas Simon C S A method of producing graphene
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
KR20220086713A (ko) 2016-12-09 2022-06-23 에이에스엠 아이피 홀딩 비.브이. 열적 원자층 식각 공정
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
KR102551237B1 (ko) * 2016-12-22 2023-07-03 어플라이드 머티어리얼스, 인코포레이티드 기저 구조 재료에 대한 직접적인 rf 노출 없이 등각성의 밀폐 유전체 캡슐화를 위한 sibn 필름
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2021019201A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
WO2023175740A1 (ja) * 2022-03-15 2023-09-21 株式会社Kokusai Electric 基板処理装置、基板処理方法、半導体装置の製造方法、プログラム及びガス供給ユニット

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3721583A (en) * 1970-12-08 1973-03-20 Ibm Vapor phase epitaxial deposition process for forming superlattice structure
JPS6262529A (ja) * 1985-09-12 1987-03-19 Toppan Printing Co Ltd 窒化シリコン膜の作成方法
JPS62156822A (ja) * 1985-12-27 1987-07-11 Nippon Telegr & Teleph Corp <Ntt> 絶縁薄膜とその形成方法及び形成装置
JPH0642474B2 (ja) * 1988-03-31 1994-06-01 株式会社東芝 半導体製造装置
US5040046A (en) * 1990-10-09 1991-08-13 Micron Technology, Inc. Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced thereby
US5225378A (en) * 1990-11-16 1993-07-06 Tokyo Electron Limited Method of forming a phosphorus doped silicon film
JP2912059B2 (ja) * 1991-08-27 1999-06-28 山形日本電気株式会社 常圧cvd装置
JP2833946B2 (ja) * 1992-12-08 1998-12-09 日本電気株式会社 エッチング方法および装置
JPH06314660A (ja) * 1993-03-04 1994-11-08 Mitsubishi Electric Corp 薄膜形成法及びその装置
JP3590451B2 (ja) 1995-05-30 2004-11-17 アネルバ株式会社 絶縁膜の作成方法
JPH10306377A (ja) * 1997-05-02 1998-11-17 Tokyo Electron Ltd 微量ガス供給方法及びその装置
JP2000058527A (ja) * 1998-08-05 2000-02-25 Toshiba Mach Co Ltd 回転型気相成長装置及び気相成長方法
ATE420454T1 (de) * 1999-08-17 2009-01-15 Tokyo Electron Ltd Gepulstes plasmabehandlungsverfahren und vorrichtung
JP2002134497A (ja) 2000-10-23 2002-05-10 Sony Corp 半導体装置の製造方法
JP3403181B2 (ja) * 2001-03-30 2003-05-06 東京エレクトロン株式会社 熱処理装置及び熱処理方法
CN100462475C (zh) * 2001-08-29 2009-02-18 东京电子株式会社 用于等离子处理的装置和方法
WO2003060978A1 (en) * 2002-01-15 2003-07-24 Tokyo Electron Limited Cvd method and device for forming silicon-containing insulation film
KR100449028B1 (ko) * 2002-03-05 2004-09-16 삼성전자주식회사 원자층 증착법을 이용한 박막 형성방법
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
KR20030081144A (ko) 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
JP3947126B2 (ja) * 2002-04-11 2007-07-18 株式会社日立国際電気 半導体製造装置
JP4204840B2 (ja) * 2002-10-08 2009-01-07 株式会社日立国際電気 基板処埋装置
JP4329403B2 (ja) * 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
CN1670244B (zh) * 2004-03-20 2010-05-05 鸿富锦精密工业(深圳)有限公司 气体配制系统及其气体配制方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101325160B (zh) * 2007-06-11 2012-04-25 东京毅力科创株式会社 半导体处理用的成膜方法和装置
US8178448B2 (en) 2007-06-11 2012-05-15 Tokyo Electron Limited Film formation method and apparatus for semiconductor process
TWI478238B (zh) * 2007-06-11 2015-03-21 Tokyo Electron Ltd 成膜方法及半導體製程用裝置
CN102677021A (zh) * 2011-02-24 2012-09-19 东京毅力科创株式会社 成膜方法及成膜装置
CN102677021B (zh) * 2011-02-24 2016-01-20 东京毅力科创株式会社 成膜方法及成膜装置
CN109234703A (zh) * 2018-11-27 2019-01-18 湖南顶立科技有限公司 一种气相沉积系统

Also Published As

Publication number Publication date
CN1831192B (zh) 2011-06-29
US20080274302A1 (en) 2008-11-06
TWI352380B (en) 2011-11-11
US20060207504A1 (en) 2006-09-21
KR100967238B1 (ko) 2010-06-30
TW200710952A (en) 2007-03-16
JP2006287195A (ja) 2006-10-19
KR20060097672A (ko) 2006-09-14
JP4506677B2 (ja) 2010-07-21
US8343594B2 (en) 2013-01-01

Similar Documents

Publication Publication Date Title
CN1831192A (zh) 半导体处理用成膜方法、成膜装置和存储介质
CN1908228A (zh) 形成含硅的绝缘膜的方法和装置
TWI518780B (zh) 半導體製程用薄膜形成方法與裝置
CN100350574C (zh) 在被处理基板上形成硅氮化膜的cvd方法
CN101325160B (zh) 半导体处理用的成膜方法和装置
CN1831191A (zh) 半导体处理用的成膜方法及装置
CN101962756B (zh) 半导体处理用的成批化学气相沉积方法及装置
US7351668B2 (en) Film formation method and apparatus for semiconductor process
KR100954243B1 (ko) 반도체 처리용 성막 장치 및 방법과 컴퓨터로 판독 가능한 매체
CN101051606A (zh) 立式等离子体处理装置和半导体处理方法
CN1712560A (zh) 垂直cvd装置和使用它的cvd方法
CN101713067B (zh) 成膜方法及成膜装置
CN1881543A (zh) 硅氧化膜的形成方法、硅氧化膜的形成装置和程序
CN1891859A (zh) 氮氧化硅膜的形成方法、形成装置以及程序
CN1881541A (zh) 半导体工艺的成膜方法和装置
KR101676558B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
US20070167028A1 (en) Film formation method and apparatus for semiconductor process
CN1692480A (zh) 形成含硅绝缘膜的cvd方法和装置
KR101726946B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
CN101042992A (zh) 半导体处理用的立式等离子体处理装置
CN100594588C (zh) 氮化硅膜形成方法及装置
CN1716538A (zh) 成膜方法和成膜装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
CI02 Correction of invention patent application

Correction item: Priority

Correct: 2006.01.11 JP 2006-004192

False: Lack of priority second

Number: 37

Page: The title page

Volume: 22

COR Change of bibliographic data

Free format text: CORRECT: PRIORITY; FROM: MISSING THE SECOND ARTICLE OF PRIORITY TO: 2006.1.11 JP 2006-004192

C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110629

Termination date: 20210310

CF01 Termination of patent right due to non-payment of annual fee