CN1855390A - 具有圆形形状的纳米线晶体管沟道的半导体器件及其制造方法 - Google Patents

具有圆形形状的纳米线晶体管沟道的半导体器件及其制造方法 Download PDF

Info

Publication number
CN1855390A
CN1855390A CNA200610071765XA CN200610071765A CN1855390A CN 1855390 A CN1855390 A CN 1855390A CN A200610071765X A CNA200610071765X A CN A200610071765XA CN 200610071765 A CN200610071765 A CN 200610071765A CN 1855390 A CN1855390 A CN 1855390A
Authority
CN
China
Prior art keywords
layer
region
channel
fet
channel region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA200610071765XA
Other languages
English (en)
Other versions
CN1855390B (zh
Inventor
李成泳
申东石
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN1855390A publication Critical patent/CN1855390A/zh
Application granted granted Critical
Publication of CN1855390B publication Critical patent/CN1855390B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • H01L29/7854Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection with rounded corners
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures

Abstract

提供一种具有圆形形状的纳米线沟道的场效应晶体管(FET)及制造该FET的方法。根据该方法,在半导体衬底上形成源区和漏区。在该源区和漏区之间耦合多个初步沟道区。该初步沟道区被刻蚀,以及该刻蚀的初步沟道区被退火,以形成FET沟道区,该FET沟道区具有基本上圆形的截面形状。

Description

具有圆形形状的纳米线晶体管沟道的半导体器件及其制造方法
相关申请
本申请依据2005年3月24日在韩国知识产权局申请的韩国专利申请号2005-0024543的优先权,在此将其内容全部引入作为参考。
技术领域
本发明涉及半导体衬底上的场效应晶体管(FET)及其制造方法,更具体,涉及具有圆形形状的,即,圆形的纳米线沟道的FET及其制造方法。
背景技术
随着半导体器件的应用扩大,越来越需要高度地集成的和/或高速的半导体器件。随着半导体器件的集成度增加,设计规则变得更小。由于减小的设计规则,场效应晶体管(FET)的沟道长度和沟道宽度相应地减小。沟道长度的减小可能导致短沟道效应。沟道宽度的减小可能导致窄沟道效应。短沟道效应可能显著地影响沟道区上的源/漏区中的电势。窄沟道效应通常可能增加阈值电压。但是,就使用STI(浅沟槽隔离)的器件而言,沟道宽度太窄可能减小阈值电压。这被称为反向窄宽度效应。为了防止短沟道效应和/或狭窄沟道效应的产生,已提出了具有新结构的各种FET。
最近,在半导体领域中,特别在纳米尺寸技术的领域中,尽力增加晶体管的驱动电流和减小短沟道效应。通常,致力于使用几种方法来获得这些结果。这些努力的例子包括凹陷的沟道阵列晶体管(RCAT)、鳍型FET(FinFET)和全栅环绕晶体管(GAT)技术。
这些常规器件的每一种以及制造这些器件的相应方法具有多个缺点。例如,该常规器件执行快速操作的能力受到限制。而且,由于制造限制,例如,相对于在干法刻蚀过程中可以获得的刻蚀深度,这些常规器件中的分开沟道层的数目受到限制。
发明内容
因此,本发明涉及一种具有一个或多个纳米线沟道的FET及其制造方法,该FET基本上克服由于现有技术的限制和缺点所引起的一个或多个缺点。
根据第一方面,本发明涉及一种制造场效应晶体管(FET)的方法。根据该方法,在半导体衬底上形成源区和漏区。形成在源区和漏区之间耦合的多个初步(preliminary)沟道区。刻蚀该初步沟道区,以及退火该刻蚀的初步沟道区,以形成FET沟道区,该FET沟道区具有基本上圆形的截面形状。
在一个实施例中,该初步沟道区具有基本上矩形的截面形状。在一个实施例中,该初步沟道区的截面中具有拐角。
在一个实施例,该刻蚀在包含HCl和H2的一种或两种的气氛中执行。在一个实施例中,HCl的流速与H2的流速的比率为3∶7至1∶1。在一个特定的实施例中,HCl与H2的流速比率是3∶5。
在一个实施例中,该刻蚀在600至900℃的温度下执行。该刻蚀可以执行1至120秒的时间。该刻蚀可以在10至100Torr的气压下进行。
在一个实施例中,该退火在包含H2的气氛中进行。该退火可以用以1至500sccm的流速引入的H2来执行。该退火可以在600到900℃的温度下执行,更具体,在810℃的温度下执行。该退火可以执行10到800秒的时间,更具体,执行500秒。
在一个实施例中,该方法还包括,在形成该初步沟道区以后,清洗该结构,以从该结构中去除氧化物。该清洗可以在包含H2、Ar和He的至少一种的气氛中执行。该清洗可以在600到900℃的温度下执行。该清洗可以在1至500sccm的气体流速下执行。该清洗可以执行1至5分钟的时间。该清洗可以在0.1至10Torr的气压下执行。在一个实施例中,形成多个初步沟道区包括形成沟道层和形成垂直地邻接于该沟道层的牺牲层。在一个实施例中,该沟道层和该牺牲层被外延地形成。在一个实施例中,该沟道层是硅层。在一个实施例中,该牺牲层是SiGe层。
在一个实施例中,形成多个初步沟道区还包括,修整沟道层为希望的尺寸,以便在垂直于源区和漏区的前表面的方向上,至少一个初步沟道区的前表面相对于源区和漏区的前表面偏移。该修整可以包括刻蚀沟道层。刻蚀该沟道层可以包括化学干法刻蚀。
在一个实施例中,形成多个初步沟道区还包括,在沟道层和牺牲层上形成掩模层,该掩模层限定将FET沟道区分开的区域。在一个实施例中,形成多个初步沟道区包括形成多个垂直地邻接于沟道层的牺牲层。该牺牲层可以包括SiGe。上牺牲层可以具有比下牺牲层更低的锗浓度。
在一个实施例中,该方法还包括在刻蚀该初步沟道区和退火该刻蚀的初步沟道区之间净化处理室。
在一个实施例中,刻蚀和退火步骤被执行至少两次。在一个实施例中,该方法还包括在先的刻蚀步骤和下一个退火步骤之间的净化步骤。
在一个实施例中,该方法还包括在FET沟道区上形成栅介质层。
在一个实施例中,该方法还包括形成围绕FET沟道区的栅极,该栅极可以包括多晶硅,也包括金属。
根据另一方面,本发明涉及一种制造场效应晶体管(FET)的方法。根据该方法,在衬底上交替地层叠至少一个沟道层和至少一个牺牲层。在耦合到交替地层叠的至少一个沟道层和至少一个牺牲层的衬底上形成源区和漏区。该交替地层叠的至少一个沟道层和至少一个牺牲层被构图,以形成在源区和漏区之间耦合的多个初步沟道区。至少一个牺牲层的剩余部分被除去。该初步沟道区被刻蚀,该刻蚀的初步沟道区被退火,以形成FET沟道区,该FET沟道区具有基本上圆形的截面形状。
在一个实施例中,该初步沟道区具有基本上矩形的截面形状。在一个实施例中,该初步沟道区的截面中具有拐角。
在一个实施例中,至少一个沟道层和至少一个牺牲层被外延地形成。
在一个实施例中,该至少一个沟道层是硅层。在一个实施例中,该至少一个牺牲层是SiGe层。
在一个实施例中,形成多个初步沟道区还包括,将该至少一个沟道层修整为希望的尺寸,以便在垂直于源区和漏区的前表面的方向上,该至少一个初步沟道区的前表面相对于源区和漏区的前表面偏移。在一个实施例中,该修整包括刻蚀该至少一个沟道层。该刻蚀至少一个沟道层可以包括化学干法刻蚀。
在一个实施例中,形成多个初步沟道区还包括在该至少一个沟道层和该至少一个牺牲层上形成掩模层,该掩模层限定将FET沟道区分开的区域。
在一个实施例中,形成多个初步沟道区包括形成垂直地邻接于沟道层的多个牺牲层。该牺牲层可以包括SiGe。在一个实施例中,上牺牲层具有比下牺牲层更低的锗浓度。
在一个实施例中,该方法还包括在刻蚀初步沟道区和退火该刻蚀初步沟道区之间净化处理室。
在一个实施例中,该方法还包括在FET沟道区上形成栅介质层。
在一个实施例中,该方法还包括形成围绕FET沟道区的栅极。该栅极可以包括多晶硅。该栅极也可以包括金属。
根据另一方面,本发明涉及一种制造场效应晶体管(FET)的方法。根据该方法,在半导体衬底上形成源区和漏区。形成在源区和漏区之间耦合的多个初步沟道区。形成多个初步沟道区包括:(i)形成沟道层和形成垂直地邻接于该沟道层的牺牲层,以及(ii)将沟道层修整为希望的尺寸,以便在垂直于源区和漏区的前表面的方向上,至少一个初步沟道区的前表面相对于源区和漏区的前表面偏移。初步沟道区被刻蚀,以及该刻蚀的初步沟道区被退火,以形成FET沟道区,该FET沟道区具有基本上圆形的截面形状。
在一个实施例中,该初步沟道区具有基本上矩形的截面形状。在一个实施例中,该初步沟道区的截面中具有拐角。
在一个实施例中,该方法还包括,在形成初步沟道区以后,清洗该结构,以从该结构中除去氧化物。
在一个实施例中,该沟道层和该牺牲层被外延地形成。
该沟道层可以是硅层,该牺牲层可以是SiGe层。
在一个实施例中,该修整包括刻蚀沟道层。刻蚀该沟道层可以包括化学干法刻蚀。
在一个实施例中,形成多个初步沟道区包括形成垂直地邻接于沟道层的多个牺牲层。该牺牲层可以包括SiGe。上牺牲层可以具有比下牺牲层更低的锗浓度。
在一个实施例中,该方法还包括在刻蚀该初步沟道区和退火该刻蚀的初步沟道区之间净化处理室。
在一个实施例中,该方法还包括在FET沟道区上形成栅介质层。
在一个实施例中,该方法还包括形成围绕FET沟道区的栅极。在一个实施例中,栅极包括多晶硅。在一个实施例中,栅极包括金属。
根据另一方面,本发明涉及一种制造场效应晶体管(FET)的方法。根据该方法,在半导体衬底上形成源区和漏区。形成在源区和漏区间耦合的初步沟道区,形成初步沟道区包括:(i)形成沟道层和形成垂直地邻近于该沟道层的牺牲层,以及(ii)将沟道层修整为希望的尺寸,以便在垂直于源区和漏区的前表面的方向上,初步沟道区的前表面相对于源区和漏区的前表面偏移。牺牲层的剩余部分被除去。该修整的沟道层被刻蚀,以及该刻蚀的沟道层被退火,以形成FET沟道层,该FET沟道层具有基本上圆形的截面形状。
根据另一方面,本发明涉及一种制造场效应晶体管(FET)的方法。根据该方法,在半导体衬底上形成源区和漏区。形成在源区和漏区间耦合的初步沟道区,形成该初步沟道区包括形成沟道层和形成垂直地邻近于该沟道层的牺牲层。牺牲层的剩余部分被除去。该初步沟道层被刻蚀,以及该刻蚀的初步沟道层被退火,以形成FET沟道层,该FET沟道层具有基本上圆形的截面形状。
根据另一方面,该发明涉及一种制造场效应晶体管(FET)的方法。根据该方法,在半导体衬底上形成源区和漏区。形成在源区和漏区之间耦合的多个初步沟道区。初步沟道区被刻蚀,以及该刻蚀的初步沟道区被退火,以形成FET沟道区,该FET沟道区具有基本上圆形的截面形状。
根据另一方面,本发明涉及一种具有半导体衬底和半导体衬底上的源区和漏区的场效应晶体管(FET)。在源区和漏区之间耦合多个FET沟道区,该FET沟道区具有基本上圆形的截面形状,该FET沟道区被修整为希望的尺寸,以便在垂直于源区和漏区的前表面的方向上,至少一个FET沟道区的前表面相对于源区和漏区的前表面偏移。
在一个实施例中,该FET还包括在该FET沟道区上的栅介质层。
在一个实施例中,该FET还包括围绕FET沟道区的栅极。
在一个实施例中,该FET还包括栅极,该栅极多晶硅。在一个实施例中,该FET包括栅极,该栅极包括金属。
根据另一方面,本发明涉及一种包括半导体衬底和半导体衬底上的源区和漏区的场效应晶体管(FET)。在源区和漏区之间耦合FET沟道区,该FET沟道区具有基本上圆形的截面形状,该FET沟道区被修整为希望的尺寸,以便在垂直于源区和漏区的前表面的方向上,至少一个FET沟道区的前表面相对于源区和漏区的前表面偏移。
根据本发明,使用刻蚀工艺和用H2的退火工艺在FET中产生圆形形状(圆形的)布线沟道。该制造FET的工艺减小在具有正方形形状的纳米线沟道的常规FET的拐角处发生的电场集中现象。在制造圆形形状的纳米线沟道中,在较低的温度下执行的H2退火。在高温下执行的退火导致纳米线的形状由于硅迁移效果可能导致FET沟道被切割或中断。此外,用作牺牲层的最上的锗化硅层可以具有比其他层更高的锗的百分数。这些导致在刻蚀期间防止最上的硅沟道层被消耗。金属镶嵌工艺可以用来形成自对准的晶体管栅极。
附图说明
由本发明的优选方面的更多特定的描述将明白本发明的上述及其他特点和优点,如图所示,其中在不同的视图中,相同的参考标记始终指相同的部分。这些图不必按比例,重点是说明本发明的原理。在图中,为了清楚,放大了层的厚度和区域。
图1是根据本发明的实施例,具有圆的或圆形形状的纳米线沟道的FET的示意性顶部平面图。
图2A是图1的FET的一个实施例的示意性剖面图,沿图1的线A-A′。
图2B是图2A的FET的实施例的示意性剖面图,沿图1的线B-B′。
图3是图1的FET的另一实施例的示意性剖面图,对应于图1的线A-A′。
图4A是根据本发明的FET的另一实施例的示意性剖面图,对应于图1的线A-A′。
图4B是图4A的FET的实施例的示意性剖面图,对应于图1的线B-B′。
图5是图1的FET的另一实施例的示意性剖面图,对应于图1的线A-A′。
图6A是根据本发明的FET的另一实施例的示意性剖面图,对应于图1的线A-A′。
图6B是图6A的FET的示意性剖面图,对应于图1的线B-B′。
图7是图1的FET的另一实施例的示意性剖面图,对应于图1的线A-A′。
图8是说明根据本发明形成FET的圆形形状的纳米线沟道和栅极的工序的逻辑流程图。
图9A至9D是说明形成本发明的纳米线沟道和栅极的工序中的步骤的示意性透视图。
图10是说明根据本发明的实施例,由正方形纳米线沟道形成圆形纳米线沟道的工序的详细流程图。
图11A至11M是说明根据本发明的实施例制造本发明的FET的工序中的步骤的示意性透视图。
图12A至12K是说明根据本发明的另一实施例制造本发明的FET的工序中的步骤示图。
具体实施方式
在下面的详细描述中,当一个层描述为形成在另一层上或形成在衬底上时,该层可以形成在另一层上或衬底上,或在该层和另一层或衬底之间可以插入第三层。
图1是根据该发明的实施例,具有圆的或圆形形状的纳米线沟道的FET的示意性顶部平面图。图2A是图1的FET的一个实施例的示意性剖面图,沿图1的线A-A′。图2B是图2A的FET的实施例的示意性剖面图,沿图1的线B-B′。
参考图1、2A和2B,本发明的FET结构包括半导体衬底110。在衬底110上形成源区/漏区14。源区/漏区14包括如图所示顺序地层叠的第一锗化硅(SiGe)层、硅层14b和第二SiGe层14c的层叠结构。第一SiGe层、第二SiGe层和硅层可以是外延层。硅层14b包括沿源/漏区14之间的横线X延伸穿过该结构的圆形形状的纳米线沟道区。在纳米线沟道区12底下区域中,衬底110的一部分在衬底的表面上突出。如图2B所示,纳米线沟道12和衬底110的突出部分相隔距离d。隔离区116将FET与其他器件隔离。由诸如硅氧化物材料构成的栅介质层30围绕纳米线沟道区12。栅介质层30还围绕衬底的突出部分。由诸如多晶硅、金属或多晶硅和金属的化合物的导电材料制成的栅极20围绕纳米线沟道区12。栅介质层30使栅极20与纳米线沟道12绝缘。
图3是图1的FET的另一实施例的示意性剖面图,对应于图1的线A-A′。图3的器件100B与图2A和2B的不同之处在于代替具有第一和第二SiGe层14a和14c和硅层14b的多层叠层,图3的器件仅仅具有一个硅层14d,该层用作器件的源/漏区和提供器件的圆形形状的纳米线沟道12。
图4A是根据本发明的FET的另一实施例的示意性剖面图,对应于图1的线A-A′。图4B是图4A的FET的实施例的示意性剖面图,对应于图1的线B-B′。
参考图4A和4B,该结构与上述实施例的不同之处在于,FET 100C包括多个,例如,两个,圆形形状的纳米线沟道112a和112b,代替单个纳米线沟道12。该结构100c包括半导体衬底110。在衬底110上形成源/漏区114。源/漏区114由如图所示顺序地层叠的第一SiGe层114a、第一硅层114b、第二SiGe层114c、第二硅层114d和第三SiGe层114e形成。第一、第二和第三SiGe层114a、114c和114e以及第一和第二硅层114b和114d可以是外延层。第一硅层114b包括沿横线X1穿过源/漏区114之间的结构延伸的第一圆形形状的纳米线沟道区。第二硅层114d包括沿横线X2穿过源/漏区114之间的结构延伸的第二圆形形状的纳米线沟道112b。在纳米线沟道区112a和112b底下的区域中,衬底110的一部分在衬底的表面上突出。如图4B所示,纳米线沟道112a和衬底110的突出部分相距d1。此外,第二纳米线沟道区112b和衬底110的突出部分相距d2。隔离区116将FET 100C与其他器件隔离。由诸如硅氧化物的材料制成的栅介质层30围绕第一和第二纳米线沟道区112a和112b。栅介质层30也围绕衬底的突出部分。由诸如多晶硅、金属或多晶硅和金属的化合物的导电材料构成的栅极20围绕纳米线沟道区112a和112b。栅介质层30使栅极20与纳米线沟道112a和112b绝缘。
图5是图1的FET的另一实施例的示意性剖面图,对应于图1的线A-A′。图5的器件100D与图4a和4b的不同之处在于,代替具有第一、第二和第三SiGe层114a、114c和114e以及第一和第二硅层114b和114d的多层叠层,图5的器件仅仅具有单个硅层114f,该层用作器件的源/漏区和提供器件的圆形形状的纳米线沟道112a和112b。
图6A是根据本发明的FET的另一实施例的示意性剖面图,对应于图1的线A-A′。图6B是图6A的FET的示意性剖面图,对应于图1的线B-B′。
参考图6A和6B,该结构与上述实施例的不同之处在于,FET 100E包括多个,例如,三个圆形形状的纳米线沟道212a、212b和212c,代替单个的纳米线沟道12或两个纳米线沟道112a和112b。结构100E包括半导体衬底110。在衬底110上形成源/漏区214。源/漏区214由如图所示顺序地层叠的第一SiGe层214a、第一硅层214b、第二SiGe层214c、第二硅层214d、第三SiGe层214e、第三硅层214f以及第四SiGe层214g的层叠结构形成。第一、第二、第三以及第四SiGe层214a、214c、214e、214g以及第一、第二、第三硅层214b、214d以及214f可以是外延层。第一Si层214b包括沿穿过源/漏区214之间的结构的横线X3延伸的第一圆形形状的纳米线沟道区212a。第二硅层214d包括穿过源/漏区214之间的结构的横线X4延伸的第二圆形的纳米线沟道212b。第三硅层214f包括沿穿过源/漏区214之间的结构的横线X5延伸的第三圆形形状的纳米线沟道212c。在纳米线沟道区212a,212b和212c底下的区域中,衬底110的一部分在衬底的表面上突出。如图6B所示,第一纳米线沟道212a和衬底110的突出部分相距d1。此外,第二纳米线沟道区212b和衬底110的突出部分相距d2。此外,第三纳米线沟道212c和衬底110的突出部分相距d3。隔离区116将FET 100E与其他器件隔离。由诸如硅氧化物材料制成的栅介质层30围绕第一、第二以及第三纳米线沟道区212a、212b以及212c。栅介质层30还围绕衬底的突出部分。由诸如多晶硅、金属或多晶硅和金属的化合物的导电材料制成的栅极20围绕纳米线沟道区212a、212b和212c。栅介质层30使栅极20与纳米线沟道212a,212b和212c绝缘。
图7是图1的FET的另一实施例的示意性剖面图,对应于图1的线A-A′。图7的器件100F与图6A和6B的不同之处在于,代替具有第一、第二、第三以及第四SiGe层214a、214c、214e和214g以及第一、第二和第三硅层214b、214d以及214f的多层叠层,图7的器件仅仅具有单个硅层214h,该层用作器件的源/漏区和提供器件的圆形形状的纳米线沟道212a、212b以及212c。
图8是说明根据本发明形成FET的圆形形状的纳米线沟道和栅极的工序的逻辑流程图。图9A至9D是说明形成本发明的纳米线沟道和栅极的工序中的步骤的示意性透视图。在此描述的形成本发明的纳米线沟道、栅极和FET的工序可应用于在此描述的FET的任意实施例。具体地,该形成工序可应用于具有大量圆形形状的纳米线沟道的FET。
参考图8、图9A至9D,在步骤S50中,形成具有多边形截面形状的有源Si图形。例如,特别参考图9A,该有源Si图形402的截面可以具有平坦的外表面402b和基本上正方的形状402a。
接下来,在步骤S60中,执行清洗工序,以除去可能形成在有源Si图形402上的任意氧化物。
接下来,在步骤S70中,执行刻蚀,以从有源图形402除去方形拐角。执行退火步骤,以完成圆形形状的Si纳米线沟道404。如图9B所示,沟道404的截面具有基本上圆形的形状404a。
接下来,在步骤S80中,如图9C所示,围绕圆形形状的纳米线沟道区形成栅介质层406。
接下来,在步骤S90中,围绕栅介质层406和圆形形状或柱形的Si纳米线沟道404形成栅电极408,如图9D所示。栅电极408由诸如多晶硅、金属或多晶硅和金属的化合物的导电材料制成。
图10是说明由正方形纳米线沟道402形成圆形纳米线沟道404的工序的详细流程图。下面将详细描述图10。
图11A至11M是说明根据本发明的实施例,制造本发明的FET的工序中的步骤的示意性透视图。参考图11A,提供硅衬底500。在衬底500的顶上形成第一锗化硅(SiGe)层512。第一SiGe层512可以具有5-50nm的厚度,并且可以包含15-20%的锗。在第一SiGe层上形成有源硅层514。硅层514是最终将形成FET的圆形纳米线沟道区的层。在硅层514上形成第二SiGe层516。第二SiGe层516可以形成为5-50nm的厚度,以及可以包含5-10%的锗。第二SiGe层516可以具有较低的锗浓度,以便在后续刻蚀工序过程中,SiGe的上层被消耗速率被降低,以防止损坏硅层514,保证良好的纳米线沟道。在一个实施例中,第一和第二SiGe层512和516以及硅层514被外延地生长至5-50nm的厚度。
然后在第二SiGe层516上形成帽盖层518。帽盖层可以是由相对于氮化硅(SiN)如用于后续刻蚀工序的硅氧化物具有高刻蚀选择率的材料制成的缓冲氧化物层。接下来,在缓冲氧化物层518上形成由诸如SiN的材料制成的硬掩模层。硬掩模层通过光刻和刻蚀工序构图,以在缓冲氧化物层518上形成硬掩模图形520。
参考图11B,使用硬掩模图形520作为刻蚀掩模刻蚀该结构,以形成STI沟槽522。在一个实施例中,沟槽深度是150-350nm。
接下来,参考图11C,在沟槽522中形成浅沟槽隔离(STI)524。STI通过高密度等离子体(HDP)工序来形成,以淀积氧化物。在HDP工序之后,进行化学机械抛光(CMP)工序,以露出硬掩模图形520的顶表面。如图所示,CMP工序过程中使用的浆料在HDP氧化物524上比它在硬掩模图形520上具有更高的抛光速率,以便在HDP氧化物524和硬掩模图形520之间产生台阶。
接下来,参考图11D,使用磷酸除去硬掩模图形520和部分HDP氧化物,留下缓冲氧化物层518和在该结构的顶上露出的HDP氧化物524的顶表面。
接下来,参考图11E,通过形成由SiN制成的硬掩模层然后应用光刻和刻蚀工序来构图该硬掩模层,在该结构的顶表面上形成第二硬掩模图形530。
接下来,参考图11F,使用第二硬掩模图形530作为刻蚀掩模,刻蚀该结构,以形成STI凹部532。凹部532的深度被控制为比第一和第二SiGe层512、516和Si层514的总厚度更深。亦即,凹部532向下延伸到该结构中,比外延SiGe和Si层的底部更深。剩余的外延SiGe和Si层的宽度,以及外延层底下的部分衬底在图中表示为W1
接下来,参考图11G,通过刻蚀,选择性地修整SiGe外延层512和516的剩余部分、有源Si层514a的剩余部分和具有宽度Wi的外延层底下的衬底的拱起部分,以便外延层的剩余部分和外延层底下的衬底的拱起部分具有宽度W2。该刻蚀优选是化学干法刻蚀(CDE),例如,可以在400W,225mTorr,250℃下,进行20秒,在包含CF4和O2的气氛中,分别以60和150sccm的流速。宽度W2基于将形成的最终纳米线沟道的希望尺寸来选择。为了减小沟道宽度至W2和使沟道的截面为正方形,执行CDE。图11H是旋转90度的图11G的结构,以清楚地图示所得的结构。
接下来,参考图11I,沟道区514a的顶上和底上的牺牲SiGe层512和516被除去,以完全露出沟道区514a。还应当注意,在该步骤之后,在沟道区514a底下还剩余衬底500的矩形部分。该步骤通过化学湿法刻蚀来执行,使用包括CH3COOOH(或CH3COOH)+HF+DIW(去离子水)(+H2O2+表面活性剂,等)的化学制剂。
接下来,参考图11J,正方形截面沟道区514a形成为具有圆的或圆形截面的纳米线沟道514b。这些通过刻蚀和退火正方形截面沟道区514a,直到它变为圆的纳米线沟道514b来执行。
图12是说明由正方形纳米线沟道514a形成圆形纳米线沟道514b的工序的流程图。首先,在H2的气氛中执行可选择的清洗步骤S100。在一个实施例中,该清洗在100%H2的气氛中执行。另外,该气氛也可以包含有或没有H2的Ar和/或He。在一个实施例中,该清洗在0.1至10Torr的压力下和在600-900℃的温度下执行。在一个特定的实施例中,该清洗在700-800℃的温度下执行。清洗步骤过程中的气体流速可以是1-500sccm,以及处理时间可以是1-5分钟。
在该清洗步骤之后,在步骤S200中,刻蚀正方形纳米线沟道514a的四个拐角。通过将HCl和H2气体的组合引入处理室中,进行该刻蚀。在一个特定的实施例中,在该刻蚀过程中,HCl的气体流速是100-2000sccm,以及H2的气体流速是100-2000sccm。HCl∶H2的流速比可以处于5∶5至3∶7的范围内。在一个特定的实施例中,HCl∶H2的流速比是300sccm∶500sccm。刻蚀温度可以处于600-900℃的范围内,以及压力可以是10-100Torr。刻蚀时间可以在1-120秒的范围内。
步骤S200的刻蚀可以在至少三种可能的设置条件的一种下执行。对于较长的持续时间,低温刻蚀,刻蚀温度可以处于600-700℃的范围内。对于较短的持续时间,高温刻蚀,刻蚀温度可以处于850-900℃的范围内。在上面的两种工艺条件之间,可以在750和820℃之间的温度下进行中度持续时间、中温的刻蚀。
在刻蚀工序之后,在步骤S300中进行低温退火,以形成圆形形状的纳米线沟道区514b。该退火在H2气体的气氛中执行。在一个实施例中,该退火在0.1-10Torr的压力下执行。如果压力减小,那么处理时间也可能被减少。在一个实施例中,该退火在600-900℃的温度下执行10-800秒的时间。在一个实施例中,H2气体流速是1-500sccm。在一个特定的实施例中,该退火在约810℃的温度下、在5Torr的压力下进行500秒的时间。
该刻蚀和退火步骤按需要可以被重复多次,以形成最终的圆形形状的纳米线沟道514b。在退火步骤S300和下一个重复的刻蚀步骤S200之间,可以执行净化步骤S400,以从处理室除去剩余的退火H2气体。该净化可以使用Ar、He和H2气体的至少一种来执行。
在净化步骤S400之后,在步骤S500中决定是否沟道514b是希望的尺寸和/或形状。如果沟道514b具有适当的形状和尺寸,该工序结束。如果不是,该工序返回步骤S200,开始刻蚀、退火(S300)和可选择的净化(S400)的另一循环。
参考图11K,在形成圆形形状的纳米线沟道514b之后,在该结构上形成栅介质层,包括围绕纳米线沟道514b。通过使用O2气体在该结构上生长SiO2,可以形成栅介质。接下来,围绕纳米线沟道514b形成栅材料,如多晶硅或具有多晶硅的金属层。然后,通过化学机械抛光(CMP)平整栅材料,以围绕纳米线沟道514b形成栅极540。
接下来,参考图11L,第二硬掩模图形530被除去。图11M说明具有以阴影所示的栅图形540的最终结构。图11M示出了圆形形状的纳米线沟道514b和衬底500的拱起部分,纳米线沟道514b和衬底500的拱起部分通过本发明的刻蚀和退火步骤都形成为圆形形状。
应当注意制造FET的这些实施例可应用于任意数目的沟道区的形成。在将形成更多沟道区的地方,最初形成SiGe和Si的更多交替层。
应当注意图2A对应于图11L的剖面图,沿图11L的线IIa-IIa′。同样,图2B对应于图11L的剖面图,沿图11L的线IIb-IIb′。
图12A至12K是说明根据本发明的另一个实施例,制造本发明的FET的工序中的步骤的示图。图12A至12K的实施例中使用的初始步骤是与用于步骤11A至11D的先前描述的实施例中所说明的相同。对于剩余的步骤,类似于结合图11A至11M的实施例描述的步骤,以类似的方式进行该步骤。因此,那些步骤的描述将不被重复。图12A-12F是图11D的结构的剖面图,沿图11D的线XII-XII′,说明根据本发明的实施例制造FET的工序中的步骤。图12G-12K是说明根据本发明的实施例制造FET的工序中的步骤的示意性透视图。
参考图12A,图示了执行步骤11A至11D之后所得的结构。
接下来,参考图12B,在该结构上形成硬掩模图形630。硬掩模图形630可以通过构图SiN层来形成。
接下来,参考图12C,在该结构中形成凹陷区632。这些可以通过使用硬掩模图形630作为刻蚀掩模,刻蚀沟槽至比第一外延SiGe层512更深的深度来执行。
接下来,参考图12D,通过在该凹部中外延地生长Si层640,部分地填充该凹部632。在一个实施例中,外延Si层640生长至比第二外延SiGe层更高的深度。
接下来,参考图12E,在外延Si层640上并邻近于硬掩模图形630形成,例如,由SiN制成的另一硬掩模图形。
参考图12F和12G,然后硬掩模图形630被除去,露出顶部或第二外延SiGe层516和STI介质层524的部分顶表面。
接下来,参考图12H,该结构被刻蚀,以除去部分STI介质层524,形成金属镶嵌的凹陷区532,露出第一和第二SiGe层512和516、Si层514和层叠的外延层512,514和516底下的部分衬底500的叠层的侧边。
接下来,参考图12I,优选通过化学干法刻蚀来刻蚀该结构,以修整第一和第二牺牲SiGe层512和516、Si层514和衬底500的突出部分。该修整还露出部分外延Si层640,如图所示。
接下来,参考图12J,沟道区514a的顶上和底上的牺牲SiGe层512和516被除去,以完全露出沟道区514a。应当注意,在该步骤之后,在沟道区514a底下还剩下衬底500的矩形突出部分。该步骤通过化学湿法刻蚀来执行,使用包括CH3COOOH(或CH3COOH)+HF+DIW(去离子水)(+H2O2+表面活性剂,等)的化学制剂。
接下来,参考图12K,根据图10的描述,该沟道区514a被清洗、刻蚀和退火,以形成圆形形状的纳米线沟道区514b。应当注意,在该实施例中,FET的源/漏区由单个外延Si层640制成,与外延SiGe和Si层的层叠结构相反。还应当注意,该实施例,如先前描述的实施例,可应用于任意数目的沟道区的形成。在将形成更多沟道区的地方,最初形成更多的SiGe和Si的交替层。
尽管已参考其示例性实施例具体展示和描述了本发明,但是本领域的普通技术人员应当明白,在不脱离以下权利要求所限定的本发明的精神和范围的条件下,可以进行形式上和细节上的各种改变。

Claims (83)

1.一种制造场效应晶体管(FET)的方法,包括:
在半导体衬底上形成源区和漏区;
形成在源区和漏区之间耦合的多个初步沟道区;
刻蚀该初步沟道区;以及
退火该刻蚀的初步沟道区,以形成FET沟道区,该FET沟道区具有基本上圆形的截面形状。
2.根据权利要求1的方法,其中该初步沟道区具有基本上矩形的截面形状。
3.根据权利要求1的方法,其中该初步沟道区的截面具有拐角。
4.根据权利要求1的方法,其中该刻蚀在包含HCl的气氛中执行。
5.根据权利要求1的方法,其中该刻蚀在包含H2的气氛中执行。
6.根据权利要求1的方法,其中该刻蚀在包含HCl和H2的气氛中执行。
7.根据权利要求6的方法,其中HCl的流速与H2的流速比为3∶7至1∶1。
8.根据权利要求6的方法,其中HCl的流速与H2的流速比为3∶5。
9.根据权利要求1的方法,其中该刻蚀在600至900℃的温度下执行。
10.根据权利要求1的方法,其中该刻蚀执行1至120秒的时间。
11.根据权利要求1的方法,其中该刻蚀在10至100Torr的气压下执行。
12.根据权利要求1的方法,其中该退火在包含H2的气氛中执行。
13.根据权利要求1的方法,其中用以1至500sccm的流速引入的H2执行该退火。
14.根据权利要求1的方法,其中该退火在600至900℃的温度下执行。
15.根据权利要求1的方法,其中该退火执行10至800秒的时间。
16.根据权利要求1的方法,还包括,在形成初步沟道区之后,清洗该结构,以从该结构除去氧化物。
17.根据权利要求16的方法,其中该清洗在包含H2、Ar和He的至少一种的气氛中执行。
18.根据权利要求16的方法,其中该清洗在600至900℃的温度下执行。
19.根据权利要求16的方法,其中该清洗在1至500sccm的气体流速下执行。
20.根据权利要求16的方法,其中该清洗执行1至5分钟的时间。
21.根据权利要求16的方法,其中该清洗在0.1至10Torr的气压下执行。
22.根据权利要求1的方法,其中形成多个初步沟道区包括形成沟道层和垂直地邻近于该沟道层的牺牲层。
23.根据权利要求22的方法,其中该沟道层和牺牲层被外延地形成。
24.根据权利要求22的方法,其中沟道层是硅层。
25.根据权利要求22的方法,其中牺牲层是SiGe层。
26.根据权利要求22的方法,其中形成多个初步沟道区还包括将沟道层修整为希望的尺寸,以便至少一个初步沟道区的前表面相对于源区和漏区的前表面在垂直于该源区和漏区前表面的方向上偏移。
27.根据权利要求26的方法,其中该修整包括刻蚀沟道层。
28.根据权利要求27的方法,其中刻蚀沟道层包括化学干法刻蚀。
29.根据权利要求22的方法,其中形成多个初步沟道区还包括在该沟道层和牺牲层上形成掩模层,该掩模层限定将FET沟道区分开的区域。
30.根据权利要求1的方法,其中形成该多个初步沟道区包括形成垂直地邻接于沟道层的多个牺牲层。
31.根据权利要求30的方法,其中牺牲层包括SiGe。
32.根据权利要求31的方法,其中上牺牲层具有比下牺牲层更低的锗浓度。
33.根据权利要求1的方法,还包括在刻蚀该初步沟道区和退火该刻蚀的初步沟道区之间净化处理室。
34.根据权利要求1的方法,其中刻蚀和退火步骤被执行至少两次。
35.根据权利要求34的方法,还包括在先的刻蚀步骤和下一个退火步骤之间的净化步骤。
36.根据权利要求1的方法,还包括在FET沟道区上形成栅介质层。
37.根据权利要求1的方法,还包括围绕FET沟道区形成栅极。
38.根据权利要求37的方法,其中栅极包括多晶硅。
39.根据权利要求37的方法,其中栅极包括金属。
40.一种制造场效应晶体管(FET)的方法,包括:
在衬底上交替地层叠至少一个沟道层和至少一个牺牲层;
在耦合到交替地层叠的至少一个沟道层和至少一个牺牲层的衬底上形成源区和漏区;
构图该交替地层叠的至少一个沟道层和至少一个牺牲层,以形成在源区和漏区之间耦合的多个初步沟道区;
除去该至少一个牺牲层的剩余部分;
刻蚀该初步沟道区;以及
退火该刻蚀的初步沟道区,以形成FET沟道区,该FET沟道区具有基本上圆形的截面形状。
41.根据权利要求40的方法,其中该初步沟道区具有基本上矩形的截面形状。
42.根据权利要求40的方法,其中该初步沟道区的截面具有拐角。
43.根据权利要求40的方法,其中该至少一个沟道层和至少一个牺牲层被外延地形成。
44.根据权利要求40的方法,其中该至少一个沟道层是硅层。
45.根据权利要求40的方法,其中该至少一个牺牲层是SiGe层。
46.根据权利要求40的方法,其中形成多个初步沟道区还包括将至少一个沟道层修整为希望的尺寸,以便至少一个初步沟道区的前表面相对于源区和漏区的前表面在垂直于该源区和漏区前表面的方向上偏移。
47.根据权利要求46的方法,其中该修整包括刻蚀该至少一个沟道层。
48.根据权利要求47的方法,其中刻蚀该至少一个沟道层包括化学干法刻蚀。
49.根据权利要求40的方法,其中形成多个初步沟道区还包括在该至少一个该沟道层和至少一个牺牲层上形成掩模层,该掩模层限定将FET沟道区隔开的区域。
50.根据权利要求40的方法,其中形成多个初步沟道区包括形成垂直地邻接于沟道层的多个牺牲层。
51.根据权利要求50的方法,其中牺牲层包括SiGe。
52.根据权利要求51的方法,其中上牺牲层具有比下牺牲层更低的锗浓度。
53.根据权利要求40的方法,还包括在刻蚀该初步沟道区和退火该刻蚀初步沟道区之间净化处理室。
54.根据权利要求40的方法,还包括在FET沟道区上形成栅介质层。
55.根据权利要求40的方法,还包括围绕FET沟道区形成栅极。
56.根据权利要求55的方法,其中该栅极包括多晶硅。
57.根据权利要求55的方法,其中该栅极包括金属。
58.一种制造场效应晶体管(FET)的方法,包括:
在半导体衬底上形成源区和漏区;
形成在源区和漏区之间耦合的多个初步沟道区,所述形成多个初步沟道区包括:(i)形成沟道层和形成垂直地邻近于该沟道层的牺牲层,以及(ii)将该至少一个沟道层修整为希望的尺寸,以便至少一个初步沟道区的前表面相对于源区和漏区的前表面在垂直于该源区和漏区前表面的方向上偏移;
刻蚀该初步沟道区;以及
退火该刻蚀的初步沟道区,以形成FET沟道区,该FET沟道区具有基本上圆形的截面形状。
59.根据权利要求58的方法,其中该初步沟道区具有基本上矩形的截面形状。
60.根据权利要求58的方法,其中该初步沟道区的截面具有拐角。
61.根据权利要求58的方法,还包括在形成该初步沟道区之后,清洗该结构,以从该结构除去氧化物。
62.根据权利要求58的方法,其中该沟道层和牺牲层被外延地形成。
63.根据权利要求58的方法,其中该沟道层是硅层。
64.根据权利要求58的方法,其中该牺牲层是SiGe层。
65.根据权利要求58的方法,其中该修整包括刻蚀沟道层。
66.根据权利要求65的方法,其中刻蚀该沟道层包括化学干法刻蚀。
67.根据权利要求58的方法,其中形成该多个初步沟道区包括形成垂直地邻接于沟道层的多个牺牲层。
68.根据权利要求67的方法,其中牺牲层包括SiGe。
69.根据权利要求68的方法,其中上牺牲层具有比下牺牲层更低的锗浓度。
70.根据权利要求58的方法,还包括在刻蚀该初步沟道区和退火该刻蚀的初步沟道区之间净化处理室。
71.根据权利要求58的方法,还包括在FET沟道区上形成栅介质层。
72.根据权利要求58的方法,还包括围绕FET沟道区形成栅极。
73.根据权利要求72的方法,其中该栅极包括多晶硅。
74.根据权利要求72的方法,其中该栅极包括金属。
75.一种制造场效应晶体管(FET)的方法,包括:
在半导体衬底上形成源区和漏区;
形成在源区和漏区之间耦合的初步沟道区,所述形成初步沟道区包括:(i)形成沟道层和形成垂直地邻近于该沟道层的牺牲层,以及(ii)将沟道层修整为希望的尺寸,以便初步沟道区的前表面相对于源区和漏区的前表面在垂直于该源区和漏区前表面的方向上偏移;
除去该牺牲层的剩余部分;
刻蚀该修整的沟道层;以及
退火该刻蚀的沟道层,以形成FET沟道区,该FET沟道区具有基本上圆形的截面形状。
76.一种制造场效应晶体管(FET)的方法,包括:
在半导体衬底上形成源区和漏区;
形成在源区和漏区之间耦合的初步沟道区,所述形成初步沟道区包括形成沟道层和形成垂直地邻近于沟道层的牺牲层;
除去该牺牲层的剩余部分;
刻蚀该初步沟道区;以及
退火该刻蚀的初步沟道区,以形成FET沟道区,该FET沟道区具有基本上圆形的截面形状。
77.一种制造场效应晶体管(FET)的方法,包括:
在半导体衬底上形成源区和漏区;
形成在源区和漏区之间耦合的多个初步沟道区;
刻蚀该初步沟道区;以及
退火该刻蚀的初步沟道区,以形成FET沟道区,该FET沟道区具有基本上圆形的截面形状。
78.一种场效应晶体管(FET),包括:
半导体衬底;
半导体衬底上的源区和漏区;
在源区和漏区间耦合的多个FET沟道区,该FET沟道区具有基本上圆形的截面形状,该FET沟道区被修整为希望的尺寸,以便至少一个FET沟道区的前表面相对于源区和漏区的前表面在垂直于该源区和漏区的前表面的方向上偏移。
79.根据权利要求78的场效应晶体管,还包括FET沟道区上的栅介质层。
80.根据权利要求78的场效应晶体管,还包括围绕FET沟道区的栅极。
81.根据权利要求78的场效应晶体管,其中该栅极包括多晶硅。
82.根据权利要求78的场效应晶体管,其中该栅极包括金属。
83.一种场效应晶体管(FET),包括:
半导体衬底;
半导体衬底上的源区和漏区;
在源区和漏区之间耦合的FET沟道区,该FET沟道区具有基本上圆形的截面形状,该FET沟道区被修整为希望的尺寸,以便该FET沟道区的前表面相对于源区和漏区的前表面在垂直于该源区和漏区的前表面的方向上偏移。
CN200610071765XA 2005-03-24 2006-03-23 具有圆形形状的纳米线晶体管沟道的半导体器件及其制造方法 Active CN1855390B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
KR1020050024543A KR100594327B1 (ko) 2005-03-24 2005-03-24 라운드 형태의 단면을 가지는 나노와이어를 구비한 반도체소자 및 그 제조 방법
KR10-2005-0024543 2005-03-24
KR1020050024543 2005-03-24
US11/303,408 US7642578B2 (en) 2005-03-24 2005-12-16 Semiconductor device having a round-shaped nano-wire transistor channel and method of manufacturing same
US11/303,408 2005-12-16

Publications (2)

Publication Number Publication Date
CN1855390A true CN1855390A (zh) 2006-11-01
CN1855390B CN1855390B (zh) 2010-07-21

Family

ID=37035753

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200610071765XA Active CN1855390B (zh) 2005-03-24 2006-03-23 具有圆形形状的纳米线晶体管沟道的半导体器件及其制造方法

Country Status (6)

Country Link
US (2) US7642578B2 (zh)
JP (1) JP2006270107A (zh)
KR (1) KR100594327B1 (zh)
CN (1) CN1855390B (zh)
DE (1) DE102006012416B4 (zh)
TW (1) TWI305385B (zh)

Cited By (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100536113C (zh) * 2007-04-27 2009-09-02 北京大学 一种体硅纳米线晶体管器件的制备方法
CN102129981A (zh) * 2010-12-30 2011-07-20 北京大学深圳研究生院 一种纳米线及纳米线晶体管的制作方法
CN102157557A (zh) * 2011-01-27 2011-08-17 北京大学 一种基于纳米线器件的耐高压横向双向扩散晶体管
CN102446952A (zh) * 2010-09-30 2012-05-09 中国科学院微电子研究所 一种半导体结构及其形成方法
CN101958328B (zh) * 2009-07-16 2012-05-23 中芯国际集成电路制造(上海)有限公司 Cmos器件及其制造方法
CN102509694A (zh) * 2011-10-25 2012-06-20 上海华力微电子有限公司 保留部分无定形碳层的方法
CN102623383A (zh) * 2012-03-31 2012-08-01 上海华力微电子有限公司 基于体硅的纵向堆叠式后栅型SiNWFET制备方法
CN102623322A (zh) * 2012-03-31 2012-08-01 上海华力微电子有限公司 基于体硅的纵向堆叠式SiNWFET制备方法
CN102646643A (zh) * 2012-05-03 2012-08-22 上海华力微电子有限公司 基于SOI的积累型Si-NWFET制备方法
CN102646624A (zh) * 2012-03-31 2012-08-22 上海华力微电子有限公司 基于SOI的三维阵列式后栅型Si-NWFET制造方法
CN101719499B (zh) * 2009-12-01 2012-09-26 中国科学院上海微系统与信息技术研究所 混合材料积累型圆柱体全包围栅cmos场效应晶体管
CN102709245A (zh) * 2012-05-04 2012-10-03 上海华力微电子有限公司 制备双层SOI混合晶向后栅型反型模式SiNWFET的方法
WO2012159424A1 (zh) * 2011-05-26 2012-11-29 北京大学 一种基于湿法腐蚀制备硅纳米线场效应晶体管的方法
CN102969222A (zh) * 2011-09-01 2013-03-13 上海华力微电子有限公司 与cmos工艺兼容的硅纳米线器件的制作方法
CN103238208A (zh) * 2010-12-01 2013-08-07 英特尔公司 硅和硅锗纳米线结构
CN103258741A (zh) * 2012-02-20 2013-08-21 中芯国际集成电路制造(上海)有限公司 纳米线场效应晶体管及其形成方法
CN103854971A (zh) * 2012-12-04 2014-06-11 中芯国际集成电路制造(上海)有限公司 纳米线的制造方法、纳米线场效应晶体管的制造方法
CN103871894A (zh) * 2012-12-18 2014-06-18 国际商业机器公司 半导体器件及其形成方法
CN103999226A (zh) * 2011-12-19 2014-08-20 英特尔公司 在栅绕式架构中的锗和iii-v纳米线及纳米带的cmos实现
CN104126228A (zh) * 2011-12-23 2014-10-29 英特尔公司 非平面栅极全包围器件及其制造方法
CN104584227A (zh) * 2012-09-27 2015-04-29 英特尔公司 形成于全局隔离或局部隔离的衬底上的三维的锗基半导体器件
CN104576395A (zh) * 2013-10-16 2015-04-29 台湾积体电路制造股份有限公司 具有用于源极和漏极的支撑结构的纳米线mosfet
CN105144390A (zh) * 2013-03-14 2015-12-09 英特尔公司 用于纳米线晶体管的漏电减少结构
CN105870183A (zh) * 2015-01-19 2016-08-17 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN106030815A (zh) * 2014-03-24 2016-10-12 英特尔公司 制造纳米线器件的内部间隔体的集成方法
CN106024890A (zh) * 2015-03-31 2016-10-12 国际商业机器公司 晶体管装置及其制造方法
CN106030813A (zh) * 2014-03-24 2016-10-12 英特尔公司 在用于晶体管沟道应用的置换栅极工艺期间的鳍状物雕刻和包覆
CN103238208B (zh) * 2010-12-01 2016-11-30 英特尔公司 硅和硅锗纳米线结构
CN104137264B (zh) * 2011-12-20 2018-01-09 英特尔公司 具有隔离的主体部分的半导体器件
CN108028274A (zh) * 2015-09-10 2018-05-11 英特尔公司 具有腔间隔器的半导体纳米线装置和制造半导体纳米线装置的腔间隔器的方法
CN108470771A (zh) * 2018-04-11 2018-08-31 北京邮电大学 一种纳米线晶体管
CN109065611A (zh) * 2011-12-23 2018-12-21 英特尔公司 具有非分立的源极区和漏极区的纳米线结构
CN110571192A (zh) * 2018-06-05 2019-12-13 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN109390339B (zh) * 2017-08-02 2021-05-18 华邦电子股份有限公司 动态随机存取存储器及其制造方法

Families Citing this family (142)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100618831B1 (ko) * 2004-06-08 2006-09-08 삼성전자주식회사 게이트 올 어라운드형 반도체소자 및 그 제조방법
DE102005026228B4 (de) * 2004-06-08 2010-04-15 Samsung Electronics Co., Ltd., Suwon Transistor vom GAA-Typ und Verfahren zu dessen Herstellung
KR100594327B1 (ko) * 2005-03-24 2006-06-30 삼성전자주식회사 라운드 형태의 단면을 가지는 나노와이어를 구비한 반도체소자 및 그 제조 방법
FR2884648B1 (fr) * 2005-04-13 2007-09-07 Commissariat Energie Atomique Structure et procede de realisation d'un dispositif microelectronique dote d'un ou plusieurs fils quantiques aptes a former un canal ou plusieurs canaux de transistors
US7341916B2 (en) * 2005-11-10 2008-03-11 Atmel Corporation Self-aligned nanometer-level transistor defined without lithography
KR100707208B1 (ko) * 2005-12-24 2007-04-13 삼성전자주식회사 Gaa 구조의 핀-펫 및 그 제조 방법
FR2895835B1 (fr) * 2005-12-30 2008-05-09 Commissariat Energie Atomique Realisation sur une structure de canal a plusieurs branches d'une grille de transistor et de moyens pour isoler cette grille des regions de source et de drain
KR100712543B1 (ko) * 2005-12-31 2007-04-30 삼성전자주식회사 다중채널을 갖는 반도체소자 및 그 제조방법
KR100801063B1 (ko) * 2006-10-02 2008-02-04 삼성전자주식회사 게이트 올 어라운드형 반도체 장치 및 그 제조 방법
US7846786B2 (en) 2006-12-05 2010-12-07 Korea University Industrial & Academic Collaboration Foundation Method of fabricating nano-wire array
US20080135949A1 (en) * 2006-12-08 2008-06-12 Agency For Science, Technology And Research Stacked silicon-germanium nanowire structure and method of forming the same
KR101227144B1 (ko) 2006-12-13 2013-01-28 엘지디스플레이 주식회사 박막 트랜지스터 및 이의 제조 방법
KR101375833B1 (ko) * 2007-05-03 2014-03-18 삼성전자주식회사 게르마늄 나노로드를 구비한 전계효과 트랜지스터 및 그제조방법
KR101356694B1 (ko) 2007-05-10 2014-01-29 삼성전자주식회사 실리콘 나노와이어를 이용한 발광 다이오드 및 그 제조방법
US7492624B2 (en) * 2007-06-29 2009-02-17 Stmicroelectronics S.R.L. Method and device for demultiplexing a crossbar non-volatile memory
KR101406224B1 (ko) * 2007-10-26 2014-06-12 삼성전자주식회사 나노 와이어 트랜지스터 및 그 제조 방법
FR2923652B1 (fr) 2007-11-09 2010-06-11 Commissariat Energie Atomique Procede de fabrication de nanofils paralleles a leur substrat support
WO2009098548A1 (en) * 2008-02-08 2009-08-13 Freescale Semiconductor, Inc. Intermediate product for a multichannel fet and process for obtaining an intermediate product
US20110018065A1 (en) * 2008-02-26 2011-01-27 Nxp B.V. Method for manufacturing semiconductor device and semiconductor device
US8273591B2 (en) * 2008-03-25 2012-09-25 International Business Machines Corporation Super lattice/quantum well nanowires
US20110057163A1 (en) * 2008-06-09 2011-03-10 National Institute Of Advanced Industrial Science And Technology Nano-wire field effect transistor, method for manufacturing the transistor, and integrated circuit including the transistor
KR101471858B1 (ko) 2008-09-05 2014-12-12 삼성전자주식회사 바 타입의 액티브 패턴을 구비하는 반도체 장치 및 그 제조방법
KR101539669B1 (ko) 2008-12-16 2015-07-27 삼성전자주식회사 코어-쉘 타입 구조물 형성방법 및 이를 이용한 트랜지스터 제조방법
US7981772B2 (en) * 2008-12-29 2011-07-19 International Business Machines Corporation Methods of fabricating nanostructures
JP4724231B2 (ja) * 2009-01-29 2011-07-13 株式会社東芝 半導体装置およびその製造方法
US7893492B2 (en) 2009-02-17 2011-02-22 International Business Machines Corporation Nanowire mesh device and method of fabricating same
US8084308B2 (en) * 2009-05-21 2011-12-27 International Business Machines Corporation Single gate inverter nanowire mesh
JP2011003797A (ja) * 2009-06-19 2011-01-06 Toshiba Corp 半導体装置及びその製造方法
JP4922373B2 (ja) * 2009-09-16 2012-04-25 株式会社東芝 半導体装置およびその製造方法
JP4991814B2 (ja) * 2009-09-16 2012-08-01 株式会社東芝 半導体装置およびその製造方法
US9373694B2 (en) 2009-09-28 2016-06-21 Semiconductor Manufacturing International (Shanghai) Corporation System and method for integrated circuits with cylindrical gate structures
CN102034863B (zh) * 2009-09-28 2012-10-31 中芯国际集成电路制造(上海)有限公司 半导体器件、含包围圆柱形沟道的栅的晶体管及制造方法
US8384065B2 (en) * 2009-12-04 2013-02-26 International Business Machines Corporation Gate-all-around nanowire field effect transistors
US8143113B2 (en) * 2009-12-04 2012-03-27 International Business Machines Corporation Omega shaped nanowire tunnel field effect transistors fabrication
US8173993B2 (en) * 2009-12-04 2012-05-08 International Business Machines Corporation Gate-all-around nanowire tunnel field effect transistors
US8129247B2 (en) 2009-12-04 2012-03-06 International Business Machines Corporation Omega shaped nanowire field effect transistors
US8455334B2 (en) 2009-12-04 2013-06-04 International Business Machines Corporation Planar and nanowire field effect transistors
US9420707B2 (en) 2009-12-17 2016-08-16 Intel Corporation Substrate for integrated circuit devices including multi-layer glass core and methods of making the same
US8207453B2 (en) 2009-12-17 2012-06-26 Intel Corporation Glass core substrate for integrated circuit devices and methods of making the same
US8344425B2 (en) * 2009-12-30 2013-01-01 Intel Corporation Multi-gate III-V quantum well structures
US8722492B2 (en) 2010-01-08 2014-05-13 International Business Machines Corporation Nanowire pin tunnel field effect devices
US8324940B2 (en) 2010-04-13 2012-12-04 International Business Machines Corporation Nanowire circuits in matched devices
US8377784B2 (en) 2010-04-22 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a semiconductor device
US8361907B2 (en) 2010-05-10 2013-01-29 International Business Machines Corporation Directionally etched nanowire field effect transistors
US8324030B2 (en) 2010-05-12 2012-12-04 International Business Machines Corporation Nanowire tunnel field effect transistors
US8835231B2 (en) 2010-08-16 2014-09-16 International Business Machines Corporation Methods of forming contacts for nanowire field effect transistors
US8536563B2 (en) 2010-09-17 2013-09-17 International Business Machines Corporation Nanowire field effect transistors
CN102157556B (zh) * 2011-01-27 2012-12-19 北京大学 基于氧化分凝的埋沟结构硅基围栅晶体管及其制备方法
JP5271372B2 (ja) 2011-03-18 2013-08-21 株式会社東芝 半導体装置の製造方法
KR101813173B1 (ko) * 2011-03-30 2017-12-29 삼성전자주식회사 반도체소자와 그 제조방법 및 반도체소자를 포함하는 전자장치
JP5325932B2 (ja) * 2011-05-27 2013-10-23 株式会社東芝 半導体装置およびその製造方法
FR2980918B1 (fr) * 2011-10-04 2014-03-07 Univ Granada Point memoire ram a un transistor
CN102544073A (zh) * 2011-12-16 2012-07-04 北京大学深圳研究生院 无结纳米线场效应晶体管
DE112011105945B4 (de) 2011-12-19 2021-10-28 Google Llc Gruppe III-N Nanodraht-Transistoren und Verfahren zu ihrer Herstellung
CN104137228A (zh) * 2011-12-23 2014-11-05 英特尔公司 具有环绕式接触部的纳米线结构
US9012284B2 (en) 2011-12-23 2015-04-21 Intel Corporation Nanowire transistor devices and forming techniques
WO2013133827A1 (en) 2012-03-07 2013-09-12 Intel Corporation Glass clad microelectronic substrate
CN102623321B (zh) * 2012-03-31 2015-01-28 上海华力微电子有限公司 基于体硅的纵向堆叠式后栅型SiNWFET制备方法
CN102623338B (zh) * 2012-03-31 2014-11-26 上海华力微电子有限公司 基于soi的纵向堆叠式硅纳米线场效应晶体管制备方法
CN102623347B (zh) * 2012-03-31 2014-10-22 上海华力微电子有限公司 基于体硅的三维阵列式SiNWFET制备方法
FR2989515B1 (fr) * 2012-04-16 2015-01-16 Commissariat Energie Atomique Procede ameliore de realisation d'une structure de transistor a nano-fils superposes et a grille enrobante
US20130285019A1 (en) * 2012-04-26 2013-10-31 Postech Academy-Industry Foundation Field effect transistor and method of fabricating the same
US9484447B2 (en) * 2012-06-29 2016-11-01 Intel Corporation Integration methods to fabricate internal spacers for nanowire devices
KR101928371B1 (ko) 2012-07-18 2018-12-12 삼성전자주식회사 나노공진기 및 그의 제조 방법
US9001520B2 (en) 2012-09-24 2015-04-07 Intel Corporation Microelectronic structures having laminated or embedded glass routing structures for high density packaging
US8735869B2 (en) 2012-09-27 2014-05-27 Intel Corporation Strained gate-all-around semiconductor devices formed on globally or locally isolated substrates
US8785909B2 (en) * 2012-09-27 2014-07-22 Intel Corporation Non-planar semiconductor device having channel region with low band-gap cladding layer
US8823059B2 (en) 2012-09-27 2014-09-02 Intel Corporation Non-planar semiconductor device having group III-V material active region with multi-dielectric gate stack
US9136343B2 (en) * 2013-01-24 2015-09-15 Intel Corporation Deep gate-all-around semiconductor device having germanium or group III-V active layer
US9831345B2 (en) 2013-03-11 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with rounded source/drain profile
CN104143513B (zh) 2013-05-09 2016-12-28 中芯国际集成电路制造(上海)有限公司 纳米真空场效应电子管及其形成方法
US9184269B2 (en) * 2013-08-20 2015-11-10 Taiwan Semiconductor Manufacturing Company Limited Silicon and silicon germanium nanowire formation
US11404325B2 (en) 2013-08-20 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon and silicon germanium nanowire formation
US9252016B2 (en) 2013-09-04 2016-02-02 Globalfoundries Inc. Stacked nanowire
US9362397B2 (en) 2013-09-24 2016-06-07 Samsung Electronics Co., Ltd. Semiconductor devices
EP3050111A4 (en) * 2013-09-27 2017-06-07 Intel Corporation Improved cladding layer epitaxy via template engineering for heterogeneous integration on silicon
KR102083494B1 (ko) 2013-10-02 2020-03-02 삼성전자 주식회사 나노와이어 트랜지스터를 포함하는 반도체 소자
US9299784B2 (en) * 2013-10-06 2016-03-29 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device with non-linear surface
US9136332B2 (en) 2013-12-10 2015-09-15 Taiwan Semiconductor Manufacturing Company Limited Method for forming a nanowire field effect transistor device having a replacement gate
US10553718B2 (en) * 2014-03-14 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with core-shell structures
US9528194B2 (en) * 2014-03-31 2016-12-27 Taiwan Semiconductor Manufacturing Company Limited & National Taiwan University Systems and methods for forming nanowires using anodic oxidation
US9953989B2 (en) 2014-03-31 2018-04-24 Taiwan Semiconductor Manufacturing Company Limited and National Taiwan University Antifuse array and method of forming antifuse using anodic oxidation
CN104979388B (zh) 2014-04-01 2018-04-13 中芯国际集成电路制造(上海)有限公司 一种半导体装置及其制造方法
KR102083632B1 (ko) * 2014-04-25 2020-03-03 삼성전자주식회사 반도체 장치 및 그 제조 방법
TWI685972B (zh) * 2014-06-11 2020-02-21 南韓商三星電子股份有限公司 結晶多奈米片應變通道場效電晶體
US9502518B2 (en) * 2014-06-23 2016-11-22 Stmicroelectronics, Inc. Multi-channel gate-all-around FET
US9293523B2 (en) * 2014-06-24 2016-03-22 Applied Materials, Inc. Method of forming III-V channel
US9647098B2 (en) * 2014-07-21 2017-05-09 Samsung Electronics Co., Ltd. Thermionically-overdriven tunnel FETs and methods of fabricating the same
US9853166B2 (en) 2014-07-25 2017-12-26 International Business Machines Corporation Perfectly symmetric gate-all-around FET on suspended nanowire
US10396152B2 (en) 2014-07-25 2019-08-27 International Business Machines Corporation Fabrication of perfectly symmetric gate-all-around FET on suspended nanowire using interface interaction
US9306019B2 (en) * 2014-08-12 2016-04-05 GlobalFoundries, Inc. Integrated circuits with nanowires and methods of manufacturing the same
CN105374679B (zh) 2014-08-26 2019-03-26 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US9871101B2 (en) 2014-09-16 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
EP3195366B1 (en) 2014-09-19 2020-10-21 Intel Corporation Apparatus and methods to create an indium gallium arsenide active channel having indium rich surfaces
CN106663695B (zh) 2014-09-19 2021-03-30 英特尔公司 用于创建缓冲区以减少微电子晶体管中的泄漏的装置和方法
CN104282575B (zh) * 2014-09-26 2017-06-06 北京大学 一种制备纳米尺度场效应晶体管的方法
US9312186B1 (en) * 2014-11-04 2016-04-12 Taiwan Semiconductor Manufacturing Company Limited Method of forming horizontal gate all around structure
US9741811B2 (en) 2014-12-15 2017-08-22 Samsung Electronics Co., Ltd. Integrated circuit devices including source/drain extension regions and methods of forming the same
US9449820B2 (en) 2014-12-22 2016-09-20 International Business Machines Corporation Epitaxial growth techniques for reducing nanowire dimension and pitch
CN105810734B (zh) * 2014-12-29 2018-09-11 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US9882026B2 (en) 2015-01-13 2018-01-30 Tokyo Electron Limited Method for forming a nanowire structure
US9397179B1 (en) 2015-02-17 2016-07-19 Samsung Electronics Co., Ltd. Semiconductor device
US20160372600A1 (en) * 2015-06-19 2016-12-22 International Business Machines Corporation Contact-first field-effect transistors
US10651288B2 (en) * 2015-06-26 2020-05-12 Intel Corporation Pseudomorphic InGaAs on GaAs for gate-all-around transistors
US9425259B1 (en) * 2015-07-17 2016-08-23 Samsung Electronics Co., Ltd. Semiconductor device having a fin
US9614068B2 (en) * 2015-09-02 2017-04-04 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
KR102373620B1 (ko) * 2015-09-30 2022-03-11 삼성전자주식회사 반도체 장치
KR102396835B1 (ko) 2015-11-03 2022-05-10 도쿄엘렉트론가부시키가이샤 마이크로파 플라즈마에 의한 나노와이어의 모서리 라운딩 및 트리밍 방법
US10204985B2 (en) * 2015-11-16 2019-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US9899387B2 (en) * 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US10217817B2 (en) 2016-01-27 2019-02-26 International Business Machines Corporation Sacrificial layer for channel surface retention and inner spacer formation in stacked-channel FETs
US9614040B1 (en) * 2016-02-02 2017-04-04 International Business Machines Corporation Strained silicon germanium fin with block source/drain epitaxy and improved overlay capacitance
KR101802055B1 (ko) 2016-02-16 2017-11-27 한국과학기술원 수직 집적 전면-게이트 다층 나노선 채널 기반의 무접합 트랜지스터 및 그 제작 방법
CN107132942A (zh) * 2016-02-26 2017-09-05 鸿富锦精密工业(深圳)有限公司 触控显示装置
US9755017B1 (en) * 2016-03-01 2017-09-05 International Business Machines Corporation Co-integration of silicon and silicon-germanium channels for nanosheet devices
KR102340313B1 (ko) 2016-03-02 2021-12-15 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102413610B1 (ko) 2016-03-02 2022-06-24 삼성전자주식회사 레이아웃 디자인 시스템, 이를 이용한 반도체 장치 및 그 제조 방법
KR20170124284A (ko) * 2016-05-02 2017-11-10 삼성전자주식회사 반도체 장치
US11004985B2 (en) 2016-05-30 2021-05-11 Samsung Electronics Co., Ltd. Semiconductor device having multi-thickness nanowire
KR20170135115A (ko) * 2016-05-30 2017-12-08 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102429611B1 (ko) * 2016-06-10 2022-08-04 삼성전자주식회사 반도체 장치 제조 방법
US9905643B1 (en) 2016-08-26 2018-02-27 International Business Machines Corporation Vertically aligned nanowire channels with source/drain interconnects for nanosheet transistors
US9620590B1 (en) * 2016-09-20 2017-04-11 International Business Machines Corporation Nanosheet channel-to-source and drain isolation
US10177226B2 (en) * 2016-11-03 2019-01-08 International Business Machines Corporation Preventing threshold voltage variability in stacked nanosheets
US10199485B2 (en) * 2017-01-18 2019-02-05 United Microelectronics Corp. Semiconductor device including quantum wires
US10068794B2 (en) * 2017-01-31 2018-09-04 Advanced Micro Devices, Inc. Gate all around device architecture with hybrid wafer bond technique
US10106737B2 (en) 2017-03-22 2018-10-23 Lam Research Ag Liquid mixture and method for selectively wet etching silicon germanium
KR102318560B1 (ko) 2017-04-12 2021-11-01 삼성전자주식회사 반도체 소자
US10186510B2 (en) 2017-05-01 2019-01-22 Advanced Micro Devices, Inc. Vertical gate all around library architecture
US10304728B2 (en) 2017-05-01 2019-05-28 Advanced Micro Devices, Inc. Double spacer immersion lithography triple patterning flow and method
US20190081155A1 (en) 2017-09-13 2019-03-14 Globalfoundries Inc. Nanosheet transistor with improved inner spacer
US10685887B2 (en) * 2017-12-04 2020-06-16 Tokyo Electron Limited Method for incorporating multiple channel materials in a complimentary field effective transistor (CFET) device
CN109904074B (zh) * 2017-12-11 2022-04-08 中芯国际集成电路制造(北京)有限公司 全包围栅场效应晶体管及其制造方法
JP7197505B2 (ja) * 2017-12-12 2022-12-27 ソニーセミコンダクタソリューションズ株式会社 半導体装置及びその製造方法
US11031239B2 (en) 2018-06-29 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium nanosheets and methods of forming the same
US11417775B2 (en) * 2018-07-24 2022-08-16 Intel Corporation Nanowire thin film transistors with textured semiconductors
US10861722B2 (en) * 2018-11-13 2020-12-08 Applied Materials, Inc. Integrated semiconductor processing
KR20200141697A (ko) * 2019-06-11 2020-12-21 삼성전자주식회사 반도체 장치
TWI791871B (zh) 2019-07-19 2023-02-11 力晶積成電子製造股份有限公司 通道全環繞半導體裝置及其製造方法
US11456368B2 (en) * 2019-08-22 2022-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with hard mask layer over fin structure and method for forming the same
US11282967B2 (en) 2019-12-30 2022-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Nanostructure field-effect transistor device and method of forming
US11862640B2 (en) 2021-09-29 2024-01-02 Advanced Micro Devices, Inc. Cross field effect transistor (XFET) library architecture power routing

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3613594B2 (ja) * 1993-08-19 2005-01-26 株式会社ルネサステクノロジ 半導体素子およびこれを用いた半導体記憶装置
JP3460863B2 (ja) * 1993-09-17 2003-10-27 三菱電機株式会社 半導体装置の製造方法
JPH118390A (ja) 1997-06-18 1999-01-12 Mitsubishi Electric Corp 半導体装置及びその製造方法
JP4318768B2 (ja) * 1997-07-23 2009-08-26 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7041170B2 (en) * 1999-09-20 2006-05-09 Amberwave Systems Corporation Method of producing high quality relaxed silicon germanium layers
FR2799305B1 (fr) * 1999-10-05 2004-06-18 St Microelectronics Sa Procede de fabrication d'un dispositif semi-conducteur a grille enveloppante et dispositif obtenu
US6635923B2 (en) * 2001-05-24 2003-10-21 International Business Machines Corporation Damascene double-gate MOSFET with vertical channel regions
JP2003017508A (ja) * 2001-07-05 2003-01-17 Nec Corp 電界効果トランジスタ
JP3600591B2 (ja) * 2002-03-28 2004-12-15 沖電気工業株式会社 半導体装置の製造方法
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
KR100481209B1 (ko) * 2002-10-01 2005-04-08 삼성전자주식회사 다중 채널을 갖는 모스 트랜지스터 및 그 제조방법
US6855606B2 (en) * 2003-02-20 2005-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-rod devices
KR100958055B1 (ko) * 2003-05-02 2010-05-13 삼성전자주식회사 게이트에 의해 둘러싸인 카본나노튜브 전계효과트랜지스터및 그 제조방법
US6919250B2 (en) * 2003-05-21 2005-07-19 Advanced Micro Devices, Inc. Multiple-gate MOS device and method for making the same
JP2005086024A (ja) * 2003-09-09 2005-03-31 Toshiba Corp 半導体装置及びその製造方法
US7087471B2 (en) * 2004-03-15 2006-08-08 International Business Machines Corporation Locally thinned fins
SE527205C2 (sv) * 2004-04-14 2006-01-17 Denso Corp Förfarande för tillverkning av halvledaranordning med kanal i halvledarsubstrat av kiselkarbid
KR100625177B1 (ko) * 2004-05-25 2006-09-20 삼성전자주식회사 멀티-브리지 채널형 모오스 트랜지스터의 제조 방법
KR100532564B1 (ko) * 2004-05-25 2005-12-01 한국전자통신연구원 다중 게이트 모스 트랜지스터 및 그 제조 방법
KR100585157B1 (ko) * 2004-09-07 2006-05-30 삼성전자주식회사 다수의 와이어 브릿지 채널을 구비한 모스 트랜지스터 및그 제조방법
US7435665B2 (en) * 2004-10-06 2008-10-14 Okmetic Oyj CVD doped structures
JP2006128233A (ja) * 2004-10-27 2006-05-18 Hitachi Ltd 半導体材料および電界効果トランジスタとそれらの製造方法
KR100594327B1 (ko) 2005-03-24 2006-06-30 삼성전자주식회사 라운드 형태의 단면을 가지는 나노와이어를 구비한 반도체소자 및 그 제조 방법
US20060246234A1 (en) * 2005-04-20 2006-11-02 Yazaki Corporation Photomask assembly incorporating a metal/scavenger pellicle frame
KR100755367B1 (ko) * 2005-06-08 2007-09-04 삼성전자주식회사 실린더형 게이트를 갖는 나노-라인 반도체 소자 및 그제조방법
KR100707208B1 (ko) * 2005-12-24 2007-04-13 삼성전자주식회사 Gaa 구조의 핀-펫 및 그 제조 방법

Cited By (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100536113C (zh) * 2007-04-27 2009-09-02 北京大学 一种体硅纳米线晶体管器件的制备方法
CN101958328B (zh) * 2009-07-16 2012-05-23 中芯国际集成电路制造(上海)有限公司 Cmos器件及其制造方法
CN101719499B (zh) * 2009-12-01 2012-09-26 中国科学院上海微系统与信息技术研究所 混合材料积累型圆柱体全包围栅cmos场效应晶体管
CN102446952B (zh) * 2010-09-30 2014-01-29 中国科学院微电子研究所 一种半导体结构及其形成方法
CN102446952A (zh) * 2010-09-30 2012-05-09 中国科学院微电子研究所 一种半导体结构及其形成方法
CN103238208B (zh) * 2010-12-01 2016-11-30 英特尔公司 硅和硅锗纳米线结构
US9129829B2 (en) 2010-12-01 2015-09-08 Intel Corporation Silicon and silicon germanium nanowire structures
CN105923602A (zh) * 2010-12-01 2016-09-07 英特尔公司 硅和硅锗纳米线结构
CN103238208A (zh) * 2010-12-01 2013-08-07 英特尔公司 硅和硅锗纳米线结构
CN102129981B (zh) * 2010-12-30 2013-06-05 北京大学深圳研究生院 一种纳米线及纳米线晶体管的制作方法
CN102129981A (zh) * 2010-12-30 2011-07-20 北京大学深圳研究生院 一种纳米线及纳米线晶体管的制作方法
CN102157557B (zh) * 2011-01-27 2012-07-25 北京大学 一种基于纳米线器件的耐高压横向双向扩散晶体管
CN102157557A (zh) * 2011-01-27 2011-08-17 北京大学 一种基于纳米线器件的耐高压横向双向扩散晶体管
WO2012159424A1 (zh) * 2011-05-26 2012-11-29 北京大学 一种基于湿法腐蚀制备硅纳米线场效应晶体管的方法
US9034702B2 (en) 2011-05-26 2015-05-19 Peking University Method for fabricating silicon nanowire field effect transistor based on wet etching
CN102969222A (zh) * 2011-09-01 2013-03-13 上海华力微电子有限公司 与cmos工艺兼容的硅纳米线器件的制作方法
CN102969222B (zh) * 2011-09-01 2015-03-18 上海华力微电子有限公司 与cmos工艺兼容的硅纳米线器件的制作方法
CN102509694A (zh) * 2011-10-25 2012-06-20 上海华力微电子有限公司 保留部分无定形碳层的方法
CN103999226B (zh) * 2011-12-19 2017-02-15 英特尔公司 在栅绕式架构中的锗和iii‑v纳米线及纳米带的cmos实现
US10784170B2 (en) 2011-12-19 2020-09-22 Intel Corporation CMOS implementation of germanium and III-V nanowires and nanoribbons in gate-all-around architecture
CN103999226A (zh) * 2011-12-19 2014-08-20 英特尔公司 在栅绕式架构中的锗和iii-v纳米线及纳米带的cmos实现
US10026829B2 (en) 2011-12-20 2018-07-17 Intel Corporation Semiconductor device with isolated body portion
CN104137264B (zh) * 2011-12-20 2018-01-09 英特尔公司 具有隔离的主体部分的半导体器件
CN109065611A (zh) * 2011-12-23 2018-12-21 英特尔公司 具有非分立的源极区和漏极区的纳米线结构
CN104126228B (zh) * 2011-12-23 2016-12-07 英特尔公司 非平面栅极全包围器件及其制造方法
US10418487B2 (en) 2011-12-23 2019-09-17 Intel Corporation Non-planar gate all-around device and method of fabrication thereof
CN104126228A (zh) * 2011-12-23 2014-10-29 英特尔公司 非平面栅极全包围器件及其制造方法
US11552197B2 (en) 2011-12-23 2023-01-10 Google Llc Nanowire structures having non-discrete source and drain regions
CN103258741B (zh) * 2012-02-20 2016-02-17 中芯国际集成电路制造(上海)有限公司 纳米线场效应晶体管及其形成方法
CN103258741A (zh) * 2012-02-20 2013-08-21 中芯国际集成电路制造(上海)有限公司 纳米线场效应晶体管及其形成方法
CN102623383A (zh) * 2012-03-31 2012-08-01 上海华力微电子有限公司 基于体硅的纵向堆叠式后栅型SiNWFET制备方法
CN102623322A (zh) * 2012-03-31 2012-08-01 上海华力微电子有限公司 基于体硅的纵向堆叠式SiNWFET制备方法
CN102646624A (zh) * 2012-03-31 2012-08-22 上海华力微电子有限公司 基于SOI的三维阵列式后栅型Si-NWFET制造方法
CN102623322B (zh) * 2012-03-31 2014-07-16 上海华力微电子有限公司 基于体硅的纵向堆叠式SiNWFET制备方法
CN102646643A (zh) * 2012-05-03 2012-08-22 上海华力微电子有限公司 基于SOI的积累型Si-NWFET制备方法
CN102709245A (zh) * 2012-05-04 2012-10-03 上海华力微电子有限公司 制备双层SOI混合晶向后栅型反型模式SiNWFET的方法
CN102709245B (zh) * 2012-05-04 2014-06-04 上海华力微电子有限公司 制备双层SOI混合晶向后栅型反型模式SiNWFET的方法
CN104584227B (zh) * 2012-09-27 2017-04-12 英特尔公司 形成于全局隔离或局部隔离的衬底上的三维的锗基半导体器件
CN104584227A (zh) * 2012-09-27 2015-04-29 英特尔公司 形成于全局隔离或局部隔离的衬底上的三维的锗基半导体器件
CN103854971B (zh) * 2012-12-04 2016-10-05 中芯国际集成电路制造(上海)有限公司 纳米线的制造方法、纳米线场效应晶体管的制造方法
CN103854971A (zh) * 2012-12-04 2014-06-11 中芯国际集成电路制造(上海)有限公司 纳米线的制造方法、纳米线场效应晶体管的制造方法
CN103871894B (zh) * 2012-12-18 2017-04-12 国际商业机器公司 半导体器件及其形成方法
CN103871894A (zh) * 2012-12-18 2014-06-18 国际商业机器公司 半导体器件及其形成方法
CN105144390A (zh) * 2013-03-14 2015-12-09 英特尔公司 用于纳米线晶体管的漏电减少结构
US9825130B2 (en) 2013-03-14 2017-11-21 Intel Corporation Leakage reduction structures for nanowire transistors
CN105144390B (zh) * 2013-03-14 2018-11-20 英特尔公司 用于纳米线晶体管的漏电减少结构
CN104576395B (zh) * 2013-10-16 2017-08-15 台湾积体电路制造股份有限公司 具有用于源极和漏极的支撑结构的纳米线mosfet
CN104576395A (zh) * 2013-10-16 2015-04-29 台湾积体电路制造股份有限公司 具有用于源极和漏极的支撑结构的纳米线mosfet
CN106030815A (zh) * 2014-03-24 2016-10-12 英特尔公司 制造纳米线器件的内部间隔体的集成方法
CN106030813A (zh) * 2014-03-24 2016-10-12 英特尔公司 在用于晶体管沟道应用的置换栅极工艺期间的鳍状物雕刻和包覆
CN106030815B (zh) * 2014-03-24 2020-01-21 英特尔公司 制造纳米线器件的内部间隔体的集成方法
US10403626B2 (en) 2014-03-24 2019-09-03 Intel Corporation Fin sculpting and cladding during replacement gate process for transistor channel applications
CN105870183A (zh) * 2015-01-19 2016-08-17 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN105870183B (zh) * 2015-01-19 2019-07-02 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN106024890A (zh) * 2015-03-31 2016-10-12 国际商业机器公司 晶体管装置及其制造方法
CN108028274A (zh) * 2015-09-10 2018-05-11 英特尔公司 具有腔间隔器的半导体纳米线装置和制造半导体纳米线装置的腔间隔器的方法
US11094831B2 (en) 2015-09-10 2021-08-17 Intel Corporation Semiconductor nanowire device having cavity spacer and method of fabricating cavity spacer for semiconductor nanowire device
CN108028274B (zh) * 2015-09-10 2021-09-03 英特尔公司 半导体纳米线装置及其制造方法
CN109390339B (zh) * 2017-08-02 2021-05-18 华邦电子股份有限公司 动态随机存取存储器及其制造方法
CN108470771A (zh) * 2018-04-11 2018-08-31 北京邮电大学 一种纳米线晶体管
CN110571192A (zh) * 2018-06-05 2019-12-13 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法

Also Published As

Publication number Publication date
TWI305385B (en) 2009-01-11
US20100068862A1 (en) 2010-03-18
TW200711001A (en) 2007-03-16
KR100594327B1 (ko) 2006-06-30
DE102006012416B4 (de) 2010-04-15
US7642578B2 (en) 2010-01-05
US20060216897A1 (en) 2006-09-28
US8110471B2 (en) 2012-02-07
DE102006012416A1 (de) 2006-10-05
CN1855390B (zh) 2010-07-21
JP2006270107A (ja) 2006-10-05

Similar Documents

Publication Publication Date Title
CN1855390A (zh) 具有圆形形状的纳米线晶体管沟道的半导体器件及其制造方法
CN1293637C (zh) 具有应变沟道的互补式金属氧化物半导体及其制作方法
CN1298042C (zh) 具有无凹痕浅槽隔离的半导体器件及其制造方法
CN1208823C (zh) 浅沟隔离半导体及其制造
CN2704927Y (zh) 可同时具有部分空乏晶体管与完全空乏晶体管的芯片
CN1841749A (zh) 具有增加的沟道长度的半导体器件及其制造方法
CN101044615A (zh) 具有垂直u形晶体管的dram单元
CN1822392A (zh) 半导体器件
CN1725507A (zh) 半导体装置及其制造方法
CN1805152A (zh) 具有布线沟道的场效应晶体管(fet)及其制造方法
CN1658401A (zh) 栅极结构、具有栅极结构的半导体器件及形成栅极结构和半导体器件的方法
CN1734786A (zh) 晶体管及其形成方法
CN1941329A (zh) 用于cmos技术的应变感应迁移率增强纳米器件及工艺
CN1641854A (zh) 制造半导体器件的方法
CN1645625A (zh) 半导体装置及其形成方法
CN1505155A (zh) 半导体器件及其制造方法
CN1893085A (zh) 半导体装置及其制造方法
CN1826696A (zh) 在半导体器件中改变载流子迁移率以达到整体设计目标
CN1738054A (zh) 场效应晶体管及其制造方法、互补场效应晶体管
CN1825566A (zh) 半导体装置的制造方法
CN1518058A (zh) 元件形成用衬底及其制造方法和半导体装置
CN1741273A (zh) 双浅沟绝缘半导体装置及其制造方法
CN1429873A (zh) 用于金属的化学机械抛光浆液及利用该浆液制备半导体装置的金属线接触插头的方法
CN1255865C (zh) 半导体装置的制造方法
CN1848392A (zh) 半导体器件及其制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant