CN1879227A - 具有颗粒半导体材料的应力半导体器件结构 - Google Patents

具有颗粒半导体材料的应力半导体器件结构 Download PDF

Info

Publication number
CN1879227A
CN1879227A CNA2004800333678A CN200480033367A CN1879227A CN 1879227 A CN1879227 A CN 1879227A CN A2004800333678 A CNA2004800333678 A CN A2004800333678A CN 200480033367 A CN200480033367 A CN 200480033367A CN 1879227 A CN1879227 A CN 1879227A
Authority
CN
China
Prior art keywords
semi
conducting material
nfet
pfet
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800333678A
Other languages
English (en)
Other versions
CN100468785C (zh
Inventor
B·B·多里斯
M·P·别良斯基
D·C·博伊德
D·奇丹巴尔拉奥
O·格卢斯秦克夫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1879227A publication Critical patent/CN1879227A/zh
Application granted granted Critical
Publication of CN100468785C publication Critical patent/CN100468785C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/08Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors
    • H01L31/10Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors characterised by at least one potential-jump barrier or surface barrier, e.g. phototransistors
    • H01L31/115Devices sensitive to very short wavelength, e.g. X-rays, gamma-rays or corpuscular radiation
    • H01L31/119Devices sensitive to very short wavelength, e.g. X-rays, gamma-rays or corpuscular radiation characterised by field-effect operation, e.g. MIS type detectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28247Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon passivation or protection of the electrode, e.g. using re-oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/2658Bombardment with radiation with high-energy radiation producing ion implantation of a molecular ion, e.g. decaborane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1083Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Abstract

一种制造半导体器件结构的方法,包括:提供衬底(1),在所述衬底(1)上提供电极(6),在所述电极(6)中形成凹槽(12),所述凹槽具有开口,在所述凹槽内设置小颗粒半导体材料(17),覆盖所述开口以包含所述凹槽内的所述小颗粒半导体材料,以及接着退火所得结构。

Description

具有颗粒半导体材料的应力半导体器件结构
技术领域
本发明涉及半导体器件结构,例如同时包括nFET和pFET器件的CMOS器件结构。
背景技术
提高迁移率对于未来的半导体,例如CMOS器件技术来说很重要。从常规工艺技术获得性能的提高变得非常困难。用于应力硅沟道的方法包括:使用从沟道底部施加应力的SiGe;从不同侧面施加应力的不同的浅沟槽隔离(STI)材料选择,和同样从侧面施加纵向应力的SiN蚀刻停止层。SiGe缓冲层或具有应变Si覆层的注入退火缓冲方法的缺点是公知的。缺点包括严重影响产量的位错,以及当扩散增加时明显的控制难度。另外,工艺十分复杂而且成本高昂。STI方法成本较低,但是不会自对准到栅极并具有RX尺寸敏感性。使用氮化硅蚀刻停止层产生应力的低成本方法的确提供一些好处,但是这些好处相信是相对不重要的。
发明内容
本发明使用沟道迁移率提高改善了器件性能。本发明通过使用适当调节的多晶硅栅极叠层的应力特性改善了沟道顶部的迁移率。在本发明之前,这些应力特性非常难控制。然而,本发明包括使用小颗粒多晶硅控制应力特性的方法和结构。本发明提供了给pFET沟道施加压缩应力而给nFET沟道施加拉伸应力的方法和结构。其它实施例包括给pFET沟道施加压缩应力而防止将压缩应力施加给nFET沟道。本发明的另一个实施例包括给nFET沟道施加拉伸应力而防止将拉伸应力施加给pFET沟道。
本发明的方法在栅极电极叠层中设置(例如,淀积)小颗粒多晶Si膜,此膜在退火后变为高拉伸。可以通过在低温下退火在多晶Si膜顶部设置的淀积SiN膜来抑制拉伸膜应力。
本发明的主要目的是提高半导体器件中的沟道迁移率。
根据本发明,制造半导体器件结构的方法包括提供衬底,在所述衬底上提供电极,在所述电极中形成凹槽,所述凹槽具有开口,在所述凹槽内设置小颗粒半导体材料,覆盖所述开口以包含所述凹槽内的所述小颗粒半导体材料,以及接着退火所得结构。本发明也包括半导体器件结构。
根据结合附图的后面的详细描述,本发明的其它目的将变得更加显而易见。
附图说明
图1-9为根据本发明优选实施例的工艺步骤的侧面示意图。
图10示出了优选的本发明的结构中的栅极和沟道中的模拟应力分布。
具体实施方式
现在转向附图,尤其是图1,提供了半导体衬底1。半导体衬底为体Si衬底、SOI衬底、或应力(应变)Si衬底。作为选择,衬底为包括多于一个表面取向的混合衬底。衬底还可以包括Si以外的半导体材料,例如Ge或III-V族元素或II-V族元素的任何组合。
在初始衬底清洁工序(常规的)之后,执行隔离方案。如在半导体制造中公知的,隔离方案用于相互电隔离选择的器件。隔离方案可以是标准的或修改的浅沟槽隔离(STI)方案。图1中示出了STI 2。作为选择,使用LOCOS工艺或平台隔离方案来实现隔离,如半导体器件制造领域内所公知的。对于用于制造半导体器件的各种公知或常规工艺,参照S.M.Sze,(McGraw Hill Publishing Co.,1988)的 VLSI Technology的第二版。
在形成隔离2之后,实施常规栅极氧化物预清洁工艺。如高性能逻辑制造工艺中的情况,各种常规栅极氧化物工艺可以用于制造具有不同栅极氧化物厚度的器件。例如,使用常规热氧化工艺形成栅极氧化物3。使用N2O、NO、O2或它们的任意组合形成氧化物3。可以使用常规等离子体工艺氮化氧化物。作为选择,可以在使用基础氧化物形成栅极氧化物之后淀积例如氧化铝、或氧化铪、或其它高k栅极介质的高k栅极介质。栅极介质材料3具有约(±10%)0.6nm到约7nm的范围内的近似(±10%)一致的厚度。
接下来,在整个晶片结构1、2、3上淀积膜4。膜4用作一次性(可去除)或半一次性栅极电极材料。在优选实施例中,膜4包括具有约80nm到约150nm的范围内的近似一致的厚度或高度(T)的多晶硅(多晶Si)材料。使用例如低压化学气相淀积(LPCVD)或快速热化学气相淀积(RPCVD)的淀积技术淀积可去除的栅极电极材料4。图1中示出了所得结构。多晶Si层4优选具有约1nm到约40nm范围内的标准颗粒尺寸。
接下来,使用常规光刻工艺在可去除的栅极电极材料4上构图光致抗蚀剂图像。通过使用常规干蚀刻工艺,使用附图中并未示出的光致抗蚀剂图像将需要的图形转移进可去除的栅极电极材料4中。干蚀刻工艺包括若干能够相对于栅极氧化物材料3选择蚀刻可去除栅极电极材料4的化学性质。图2中所示结构示出了完全构图的用于nFET栅极叠层3、5的可去除栅极电极5和用于pFET栅极叠层3、6的可去除栅极电极6。
然后使用图中并未示出的,如通常在高性能逻辑制造工艺中使用的常规栅极再氧化工艺。通过使用热氧化工艺形成再氧化,以获得从约1nm到约7nm的近似一致的厚度。在再氧化工艺之后,使用常规光刻工艺在pFET区上构图阻挡掩膜。阻挡(例如,抗蚀剂)掩膜(未在图中示出)用于阻挡或防止pFET区被注入,而适当的nFET区被注入。分别使用低能As和B注入,注入nFET延伸7和晕圈(未示出)。然后使用干或湿工艺除去抗蚀剂掩膜。在nFET区上构图另一个阻挡掩膜(未示出)。分别使用低能BF2或B注入和As注入,注入pFET延伸8和晕圈(未示出)。图2中示出了用于nFET和pFET的延伸注入分布7、8。
在延伸和晕圈注入之后,在整个晶片结构上形成介质衬里层9(图3)。用作衬里层9的介质膜优选为可以通过CVD或RTCVD或任何其它适合的淀积工艺淀积的SiN。衬里层9的目的是提供用于下一个工艺的CMP停止层。另外,在工艺流程的稍后时刻,将蚀刻SiN衬里9,以形成源极-漏极隔离物。图3中示出了所得结构。
工艺流程中的下一步骤是淀积氧化物膜10。淀积并使用化学机械抛光(CMP)平面化氧化物膜10。使用例如高密度等离子体(HDP)工艺淀积膜10。通过使用能够蚀刻氮化硅但不会蚀刻可观量的氧化物或多晶Si的干蚀刻工艺除去可去除栅极电极5之上的衬里9的顶部。图4中示出了在衬里层9的顶部被除去之后平面化的氧化物膜10和SiN衬里9结构。
本发明很重要的一方面是在本发明的工艺流程的此时,栅极凹蚀工艺用于从栅极电极5、6彻底或部分除去多晶Si。图5中示出了其中多晶Si被部分除去的优选实施例。使用任何适合的干或湿蚀刻工艺凹蚀多晶Si。初始多晶Si的部分12和部分13得以保留,并具有约1nm到约20nm范围内的近似一致的厚度。图5中示出了nFET栅极电极的凹蚀部分12和pFET栅极电极的凹蚀部分13。在另一个实施例(未示出)中,多晶Si被彻底除去。如果使用此实施例,那么接下来可以实施其后跟随常规栅极氧化工艺的常规栅极氧化物预清洁工艺。
本发明的另一个重要方面是在栅极凹蚀工艺之后,在整个晶片上淀积小颗粒多晶Si。小颗粒多晶硅公知来自Shimizu,S.et al. Proceeding of the 1997 Symposium on VLSI Technology,Kyoto,Japan10-12June 1997,也可来自S.Wolf,1999的 Silicon Processing for The VLSI Era,Vol 1-ProcessTechnology。颗粒结构优选为约1nm到约50nm范围内。更加优选的颗粒尺寸为约5nm到30nm范围内的基本上一致的尺寸。通过RTCVD或LPCVD淀积多晶Si。接下来,使用例如CMP和干蚀刻从氧化物层10的顶部平面化和凹蚀多晶Si。CMP和干蚀刻工艺都能够相对于SiO2层10选择性除去多晶Si。在CMP或干蚀刻之后,图6中示出了用于nFET的本发明的栅极电极结构14、12和用于pFET的本发明的栅极电极结构13、18。
在本发明的方法的此时,在nFET区上构图图7中所示的硬掩模15。使用包括SiN的介质膜和常规光刻工序构图掩模15。使用例如等离子体增强化学气相淀积的低温淀积工艺在约350℃到约700℃范围内的温度下淀积硬掩模15。优选在550℃下或更低的温度下淀积形成硬掩模15的材料,以防止多晶Si颗粒的再生长。接下来使用从约500℃到约600℃的温度将整个结构退火约1小时。作为选择,可以在约700℃到约1000℃下实施约1秒到约7秒的快速热退火。在另一个实施例中,在本工艺的将来时刻退火结构12、14。因为存在SiN覆层15,对于nFET栅极电极部分17,小颗粒多晶Si保持优选约5nm到约30nm的范围内的小尺寸。然而,pFET栅极电极部分18中的颗粒明显生长到大于约30nm的颗粒尺寸。
本发明人相信,本发明的工艺(例如,参照图7)与常规工艺技术存在显著差别。如果使小颗粒多晶Si经过标准热预算,那么多晶Si颗粒生长会引起拉伸应力的剧烈增长。拉伸应力在沟道区内产生压缩应力,此压缩应力会降低电子迁移率并限制nFET的性能。参照图10的模拟。本发明人相信,通过利用置于nFET区之上的SiN硬掩模15退火,几乎可以完全消除颗粒生长和导致拉伸应力的剧烈增长。对于每个器件,可以通过将小颗粒多晶Si淀积进入凹槽并用SiN硬掩模退火nFET分别优化颗粒结构。此步骤的出现可以导致nFET器件性能的显著改善。
本发明的工艺的下一步骤从氧化物膜10的整个水平部分或从整个水平部分(除了部分17上的,如图8中所示)除去SiN硬掩模15。因为如上所述凹蚀了多晶Si,所以硬掩模15的一部分19保留(设置)在如图8中所示的凹蚀孔中。结构19用于阻止颗粒在后面的标准尖端半导体制造工艺技术中公用的常规热循环期间生长。在SiN蚀刻之后,使用能够相对于SiN和多晶Si材料选择性除去SiO2膜的适当的干或使蚀刻工艺除去氧化物膜10。接下来,在衬里层9上实施干定向蚀刻工艺,以形成如图8中所示的隔离物20、25。虽然pFET的隔离物20和nFET的隔离物25对于本发明并不关键,但是它们可以具有如图中所示的不同高度。使用用于形成nFET和pFET延伸区7、8的类似阻挡掩模和注入工艺形成图8中所示的nFET源极-漏极区21和pFET源极-漏极区22。接下来实施快速热退火以激活结。因为nFET继续存在SiN层19,所以nFET栅极中的颗粒生长得以抑制,由此最小化了栅极电极叠层3、12、17中的拉伸和叠层下面的沟道区中的后续压缩。
然后使用湿或干蚀刻工艺除去栅极电极叠层上的剩余部分19。接着,在实施硅化物预清洁工艺之后进行常规硅化物工艺。参照图9和硅化物23。实施的标准后段制程工艺包括预金属介质淀积和平面化、接触蚀刻、形成接触衬里和形成接触,接着是金属布线和最终的芯片制造,所有这些都未在图中示出。
模拟结果显示,在约600℃的温度下退火约1小时的结果是未覆盖的多晶Si中的拉伸应力水平会增加约600MPa到约1200MPa,而覆盖的多晶Si中的拉伸应力只增加约10MPa。我们的模拟结果显示,可以将栅极材料中的应力的约33%到约50%(相对于栅极应力用了相反的符号)转移进沟道区。这样,未覆盖的栅极叠层施加了-200MPa到-300MPa,而覆盖的栅极叠层只将很少应力的或不将应力转移进沟道区。图10的图中示出了应力分布的一个模拟。
尽管示出和描述了这里认为是优选的本发明的实施例,但是对于本领域内的技术人员来说明显地是,在不脱离仅由所附权利要求限制的本发明的精神和范围的情况下,可以进行各种变化和修改。

Claims (20)

1.一种制造半导体器件结构的方法,包括:
提供衬底;
在所述衬底上提供电极;
在所述电极中形成凹槽,所述凹槽具有开口;
在所述凹槽内设置小颗粒半导体材料;
覆盖所述开口以包含所述凹槽内的所述小颗粒半导体材料;以及接着退火所得结构。
2.根据权利要求1的方法,其中所述退火步骤包括在约500℃到约600℃范围内的近似恒定温度下将所述所得结构退火约1小时。
3.根据权利要求1的方法,其中所述小颗粒半导体材料为具有约1nm到约30nm范围内的平均颗粒直径的多晶硅材料。
4.根据权利要求1的方法,其中所述覆盖步骤包括掩蔽所述整个开口。
5.一种半导体器件结构,包括:
第一半导体器件,具有第一栅极叠层,以及
第二半导体器件,具有第二栅极叠层;其中所述第一栅极叠层包括具有小于约30nm的平均颗粒尺寸的第一半导体材料,以及其中所述第二栅极叠层包括具有大于约30nm的平均颗粒尺寸的第二半导体材料。
6.根据权利要求5的结构,其中所述第一半导体材料为颗粒多晶硅。
7.根据权利要求5的结构,其中所述第一半导体器件为nFET而所述第二半导体器件为pFET。
8.根据权利要求5的结构,还包括位于所述第一栅极叠层下面的第一沟道,和位于所述第二栅极叠层下面的第二沟道;其中所述第二栅极叠层给所述第二沟道施加约-200MPa到约-600MPa范围内的压缩应力,而所述第一栅极叠层给所述第一沟道施加约-10MPa到约-100MPa范围内的压缩应力。
9.根据权利要求5的结构,还包括位于所述第一半导体器件和所述第二半导体器件之间的隔离区。
10.根据权利要求5的结构,其中所述第二半导体材料为颗粒多晶硅。
11.根据权利要求5的结构,还包括第三半导体材料和第四半导体材料,其中所述第一半导体材料位于所述第三半导体材料上,以及所述第二半导体材料位于所述第四半导体材料上。
12.根据权利要求11的结构,其中所述第三半导体材料是小颗粒多晶硅,以及所述第四半导体材料是小颗粒多晶硅。
13.一种用于制造半导体器件结构的方法,包括:
提供衬底;
在所述衬底上形成nFET和pFET;
用小颗粒多晶硅代替所述nFET和所述pFET的栅极电极的一部分;
覆盖所述nFET的所述小颗粒多晶硅,并接着加热所述nFET和所述pFET,以使所述nFET内的颗粒的平均直径小于所述pFET内的颗粒的平均直径。
14.根据权利要求13的方法,其中所述加热步骤包括在约500℃到约600℃范围内的温度下将所述nFET和所述pFET加热约1小时。
15.根据权利要求13的方法,其中所述小颗粒多晶硅具有约5nm到约30nm范围内的平均颗粒尺寸。
16.根据权利要求13的方法,其中所述代替步骤包括除去所述栅极电极的一部分以形成凹槽,并接着将所述颗粒多晶硅设置在所述nFET和所述pFET的凹槽内。
17.根据权利要求13的方法,其中所述代替步骤包括除去所述栅极电极的整个部分以形成凹槽,并接着将所述小颗粒多晶硅设置在所述凹槽内。
18.根据权利要求13的方法,其中所述覆盖步骤包括在所述nFET的所述颗粒多晶硅之上设置掩模,所述掩模主要包括SiN。
19.根据权利要求13的方法,还包括形成用于所述nFET和所述pFET的隔离物,所述隔离物具有不同的高度。
20.根据权利要求13的方法,还包括提供用于所述nFET和所述pFET的隔离物,所述用于所述pFET的隔离物的高度小于所述用于所述nFET的隔离物的高度。
CNB2004800333678A 2003-11-14 2004-11-09 具有颗粒半导体材料的应力半导体器件结构 Expired - Fee Related CN100468785C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/707,018 2003-11-14
US10/707,018 US7122849B2 (en) 2003-11-14 2003-11-14 Stressed semiconductor device structures having granular semiconductor material

Publications (2)

Publication Number Publication Date
CN1879227A true CN1879227A (zh) 2006-12-13
CN100468785C CN100468785C (zh) 2009-03-11

Family

ID=34573430

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004800333678A Expired - Fee Related CN100468785C (zh) 2003-11-14 2004-11-09 具有颗粒半导体材料的应力半导体器件结构

Country Status (7)

Country Link
US (2) US7122849B2 (zh)
EP (1) EP1683187B1 (zh)
JP (1) JP4843498B2 (zh)
KR (1) KR100946038B1 (zh)
CN (1) CN100468785C (zh)
AT (1) ATE512465T1 (zh)
WO (1) WO2005050701A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102376582A (zh) * 2010-08-24 2012-03-14 中芯国际集成电路制造(上海)有限公司 半导体器件结构和制作该半导体器件结构的方法
CN102456621A (zh) * 2010-10-29 2012-05-16 中芯国际集成电路制造(上海)有限公司 半导体器件结构和制作该半导体器件结构的方法

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10245153A1 (de) * 2002-09-27 2004-04-15 Infineon Technologies Ag Integrierter Feldeffekttransistor mit zwei Steuerbereichen, Verwendung dieses Feldeffekttranistors und Herstellungsverfahren
JP4085891B2 (ja) * 2003-05-30 2008-05-14 ソニー株式会社 半導体装置およびその製造方法
US7410846B2 (en) * 2003-09-09 2008-08-12 International Business Machines Corporation Method for reduced N+ diffusion in strained Si on SiGe substrate
US6887751B2 (en) 2003-09-12 2005-05-03 International Business Machines Corporation MOSFET performance improvement using deformation in SOI structure
US7144767B2 (en) * 2003-09-23 2006-12-05 International Business Machines Corporation NFETs using gate induced stress modulation
US6872641B1 (en) * 2003-09-23 2005-03-29 International Business Machines Corporation Strained silicon on relaxed sige film with uniform misfit dislocation density
US7119403B2 (en) * 2003-10-16 2006-10-10 International Business Machines Corporation High performance strained CMOS devices
US7303949B2 (en) * 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US7037770B2 (en) * 2003-10-20 2006-05-02 International Business Machines Corporation Method of manufacturing strained dislocation-free channels for CMOS
US7129126B2 (en) * 2003-11-05 2006-10-31 International Business Machines Corporation Method and structure for forming strained Si for CMOS devices
US7015082B2 (en) * 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US7029964B2 (en) 2003-11-13 2006-04-18 International Business Machines Corporation Method of manufacturing a strained silicon on a SiGe on SOI substrate
US7122849B2 (en) 2003-11-14 2006-10-17 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US7247534B2 (en) 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
US7198995B2 (en) * 2003-12-12 2007-04-03 International Business Machines Corporation Strained finFETs and method of manufacture
US7247912B2 (en) * 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
US7202132B2 (en) * 2004-01-16 2007-04-10 International Business Machines Corporation Protecting silicon germanium sidewall with silicon for strained silicon/silicon germanium MOSFETs
US7118999B2 (en) * 2004-01-16 2006-10-10 International Business Machines Corporation Method and apparatus to increase strain effect in a transistor channel
US7381609B2 (en) 2004-01-16 2008-06-03 International Business Machines Corporation Method and structure for controlling stress in a transistor channel
US7205206B2 (en) 2004-03-03 2007-04-17 International Business Machines Corporation Method of fabricating mobility enhanced CMOS devices
US7223994B2 (en) * 2004-06-03 2007-05-29 International Business Machines Corporation Strained Si on multiple materials for bulk or SOI substrates
TWI463526B (zh) * 2004-06-24 2014-12-01 Ibm 改良具應力矽之cmos元件的方法及以該方法製備而成的元件
US7288443B2 (en) * 2004-06-29 2007-10-30 International Business Machines Corporation Structures and methods for manufacturing p-type MOSFET with graded embedded silicon-germanium source-drain and/or extension
US7384829B2 (en) * 2004-07-23 2008-06-10 International Business Machines Corporation Patterned strained semiconductor substrate and device
US7173312B2 (en) * 2004-12-15 2007-02-06 International Business Machines Corporation Structure and method to generate local mechanical gate stress for MOSFET channel mobility modification
US20060160317A1 (en) * 2005-01-18 2006-07-20 International Business Machines Corporation Structure and method to enhance stress in a channel of cmos devices using a thin gate
US7256081B2 (en) * 2005-02-01 2007-08-14 International Business Machines Corporation Structure and method to induce strain in a semiconductor device channel with stressed film under the gate
US7355256B2 (en) * 2005-04-11 2008-04-08 Nec Electronics Corporation MOS Devices with different gate lengths and different gate polysilicon grain sizes
US7545004B2 (en) * 2005-04-12 2009-06-09 International Business Machines Corporation Method and structure for forming strained devices
US7470943B2 (en) 2005-08-22 2008-12-30 International Business Machines Corporation High performance MOSFET comprising a stressed gate metal silicide layer and method of fabricating the same
US20070063277A1 (en) * 2005-09-22 2007-03-22 International Business Machines Corporation Multiple low and high k gate oxides on single gate for lower miller capacitance and improved drive current
US7655511B2 (en) 2005-11-03 2010-02-02 International Business Machines Corporation Gate electrode stress control for finFET performance enhancement
US20070108529A1 (en) 2005-11-14 2007-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strained gate electrodes in semiconductor devices
US7564081B2 (en) 2005-11-30 2009-07-21 International Business Machines Corporation finFET structure with multiply stressed gate electrode
US7635620B2 (en) 2006-01-10 2009-12-22 International Business Machines Corporation Semiconductor device structure having enhanced performance FET device
US20070158743A1 (en) * 2006-01-11 2007-07-12 International Business Machines Corporation Thin silicon single diffusion field effect transistor for enhanced drive performance with stress film liners
US7691698B2 (en) 2006-02-21 2010-04-06 International Business Machines Corporation Pseudomorphic Si/SiGe/Si body device with embedded SiGe source/drain
US7608489B2 (en) * 2006-04-28 2009-10-27 International Business Machines Corporation High performance stress-enhance MOSFET and method of manufacture
US7615418B2 (en) * 2006-04-28 2009-11-10 International Business Machines Corporation High performance stress-enhance MOSFET and method of manufacture
US7521307B2 (en) * 2006-04-28 2009-04-21 International Business Machines Corporation CMOS structures and methods using self-aligned dual stressed layers
US20070281405A1 (en) * 2006-06-02 2007-12-06 International Business Machines Corporation Methods of stressing transistor channel with replaced gate and related structures
US8853746B2 (en) 2006-06-29 2014-10-07 International Business Machines Corporation CMOS devices with stressed channel regions, and methods for fabricating the same
US7790540B2 (en) 2006-08-25 2010-09-07 International Business Machines Corporation Structure and method to use low k stress liner to reduce parasitic capacitance
US7462522B2 (en) * 2006-08-30 2008-12-09 International Business Machines Corporation Method and structure for improving device performance variation in dual stress liner technology
US8754446B2 (en) 2006-08-30 2014-06-17 International Business Machines Corporation Semiconductor structure having undercut-gate-oxide gate stack enclosed by protective barrier material
US7829407B2 (en) * 2006-11-20 2010-11-09 International Business Machines Corporation Method of fabricating a stressed MOSFET by bending SOI region
US20080237733A1 (en) * 2007-03-27 2008-10-02 International Business Machines Corporation Structure and method to enhance channel stress by using optimized sti stress and nitride capping layer stress
JP5222583B2 (ja) * 2007-04-06 2013-06-26 パナソニック株式会社 半導体装置
US7615435B2 (en) * 2007-07-31 2009-11-10 International Business Machines Corporation Semiconductor device and method of manufacture
US8115254B2 (en) 2007-09-25 2012-02-14 International Business Machines Corporation Semiconductor-on-insulator structures including a trench containing an insulator stressor plug and method of fabricating same
US8492846B2 (en) 2007-11-15 2013-07-23 International Business Machines Corporation Stress-generating shallow trench isolation structure having dual composition
KR20100101446A (ko) * 2009-03-09 2010-09-17 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US8034692B2 (en) * 2009-10-20 2011-10-11 International Business Machines Corporation Structure and method for manufacturing asymmetric devices
US8664070B2 (en) * 2009-12-21 2014-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. High temperature gate replacement process
US8598006B2 (en) * 2010-03-16 2013-12-03 International Business Machines Corporation Strain preserving ion implantation methods
JP5422534B2 (ja) * 2010-10-14 2014-02-19 株式会社東芝 不揮発性抵抗変化素子および不揮発性抵抗変化素子の製造方法
US8883623B2 (en) 2012-10-18 2014-11-11 Globalfoundries Inc. Facilitating gate height uniformity and inter-layer dielectric protection

Family Cites Families (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US4853076A (en) 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
US4665415A (en) 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
EP0219641B1 (de) 1985-09-13 1991-01-09 Siemens Aktiengesellschaft Integrierte Bipolar- und komplementäre MOS-Transistoren auf einem gemeinsamen Substrat enthaltende Schaltung und Verfahren zu ihrer Herstellung
US4958213A (en) 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5354695A (en) 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5459346A (en) 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5006913A (en) 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5108843A (en) 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5310446A (en) 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5060030A (en) 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
EP0469215B1 (en) * 1990-07-31 1995-11-22 International Business Machines Corporation Method of forming stacked tungsten gate PFET devices and structures resulting therefrom
US5081513A (en) 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5371399A (en) 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5134085A (en) 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5670798A (en) 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5679965A (en) 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5557122A (en) 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
KR100213196B1 (ko) * 1996-03-15 1999-08-02 윤종용 트렌치 소자분리
KR100230359B1 (ko) * 1996-04-04 1999-11-15 윤종용 저온 폴리실리콘 박막 트랜지스터의 제조방법
US6403975B1 (en) 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US5861651A (en) 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5940736A (en) 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6309975B1 (en) 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US6025280A (en) 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US6251763B1 (en) 1997-06-30 2001-06-26 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing same
US5960297A (en) 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
JP3139426B2 (ja) 1997-10-15 2001-02-26 日本電気株式会社 半導体装置
JP3523093B2 (ja) * 1997-11-28 2004-04-26 株式会社東芝 半導体装置およびその製造方法
US6066545A (en) 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US6274421B1 (en) 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
KR100275908B1 (ko) 1998-03-02 2000-12-15 윤종용 집적 회로에 트렌치 아이솔레이션을 형성하는방법
US6361885B1 (en) 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US6165383A (en) 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US5989978A (en) 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
JP4592837B2 (ja) 1998-07-31 2010-12-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6319794B1 (en) 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
US6235598B1 (en) 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
JP2000183346A (ja) * 1998-12-15 2000-06-30 Toshiba Corp 半導体装置及びその製造方法
US6117722A (en) 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6255169B1 (en) 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
US6281559B1 (en) 1999-03-03 2001-08-28 Advanced Micro Devices, Inc. Gate stack structure for variable threshold voltage
US6284626B1 (en) 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6274467B1 (en) * 1999-06-04 2001-08-14 International Business Machines Corporation Dual work function gate conductors with self-aligned insulating cap
US6362082B1 (en) 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US6228694B1 (en) 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US6281532B1 (en) 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6656822B2 (en) 1999-06-28 2003-12-02 Intel Corporation Method for reduced capacitance interconnect system using gaseous implants into the ILD
KR100332108B1 (ko) 1999-06-29 2002-04-10 박종섭 반도체 소자의 트랜지스터 및 그 제조 방법
TW426940B (en) 1999-07-30 2001-03-21 United Microelectronics Corp Manufacturing method of MOS field effect transistor
US6483171B1 (en) 1999-08-13 2002-11-19 Micron Technology, Inc. Vertical sub-micron CMOS transistors on (110), (111), (311), (511), and higher order surfaces of bulk, SOI and thin film structures and method of forming same
US6423615B1 (en) * 1999-09-22 2002-07-23 Intel Corporation Silicon wafers for CMOS and other integrated circuits
US6284623B1 (en) 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
US6476462B2 (en) 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6221735B1 (en) 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6531369B1 (en) 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6368931B1 (en) 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
JP2002093921A (ja) * 2000-09-11 2002-03-29 Hitachi Ltd 半導体装置の製造方法
US6493497B1 (en) 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6501121B1 (en) 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
US7312485B2 (en) 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
JP2003086708A (ja) * 2000-12-08 2003-03-20 Hitachi Ltd 半導体装置及びその製造方法
US6563152B2 (en) 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020086497A1 (en) 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
US6265317B1 (en) 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
JP4831885B2 (ja) * 2001-04-27 2011-12-07 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6403486B1 (en) 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
US6531740B2 (en) 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US6498358B1 (en) 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
US6908810B2 (en) 2001-08-08 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
JP2003060076A (ja) 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
WO2003025984A2 (en) 2001-09-21 2003-03-27 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US20030057184A1 (en) 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US6656798B2 (en) 2001-09-28 2003-12-02 Infineon Technologies, Ag Gate processing method with reduced gate oxide corner and edge thinning
US6635506B2 (en) 2001-11-07 2003-10-21 International Business Machines Corporation Method of fabricating micro-electromechanical switches on CMOS compatible substrates
US6461936B1 (en) 2002-01-04 2002-10-08 Infineon Technologies Ag Double pullback method of filling an isolation trench
US6534390B1 (en) * 2002-01-16 2003-03-18 Chartered Semiconductor Manufacturing Ltd. Salicide method for producing a semiconductor device using silicon/amorphous silicon/metal structure
US6621392B1 (en) 2002-04-25 2003-09-16 International Business Machines Corporation Micro electromechanical switch having self-aligned spacers
JP2004172389A (ja) * 2002-11-20 2004-06-17 Renesas Technology Corp 半導体装置およびその製造方法
US6717216B1 (en) 2002-12-12 2004-04-06 International Business Machines Corporation SOI based field effect transistor having a compressive film in undercut area under the channel and a method of making the device
US6825529B2 (en) 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
US6974981B2 (en) 2002-12-12 2005-12-13 International Business Machines Corporation Isolation structures for imposing stress patterns
US6887798B2 (en) 2003-05-30 2005-05-03 International Business Machines Corporation STI stress modification by nitrogen plasma treatment for improving performance in small width devices
US7279746B2 (en) 2003-06-30 2007-10-09 International Business Machines Corporation High performance CMOS device structures and method of manufacture
JP4449374B2 (ja) * 2003-09-04 2010-04-14 株式会社日立製作所 半導体装置
US7119403B2 (en) 2003-10-16 2006-10-10 International Business Machines Corporation High performance strained CMOS devices
US8008724B2 (en) 2003-10-30 2011-08-30 International Business Machines Corporation Structure and method to enhance both nFET and pFET performance using different kinds of stressed layers
US6977194B2 (en) 2003-10-30 2005-12-20 International Business Machines Corporation Structure and method to improve channel mobility by gate electrode stress modification
US7015082B2 (en) 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US7122849B2 (en) 2003-11-14 2006-10-17 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US7247912B2 (en) 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102376582A (zh) * 2010-08-24 2012-03-14 中芯国际集成电路制造(上海)有限公司 半导体器件结构和制作该半导体器件结构的方法
CN102456621A (zh) * 2010-10-29 2012-05-16 中芯国际集成电路制造(上海)有限公司 半导体器件结构和制作该半导体器件结构的方法

Also Published As

Publication number Publication date
KR20070015499A (ko) 2007-02-05
EP1683187B1 (en) 2011-06-08
ATE512465T1 (de) 2011-06-15
KR100946038B1 (ko) 2010-03-09
WO2005050701A2 (en) 2005-06-02
EP1683187A2 (en) 2006-07-26
JP2007511909A (ja) 2007-05-10
WO2005050701A8 (en) 2005-11-03
JP4843498B2 (ja) 2011-12-21
CN100468785C (zh) 2009-03-11
US7488658B2 (en) 2009-02-10
US7122849B2 (en) 2006-10-17
WO2005050701A3 (en) 2006-01-05
US20050106799A1 (en) 2005-05-19
EP1683187A4 (en) 2008-08-27
US20080064172A1 (en) 2008-03-13

Similar Documents

Publication Publication Date Title
CN100468785C (zh) 具有颗粒半导体材料的应力半导体器件结构
US9899386B2 (en) Low noise and high performance LSI device
US7633127B2 (en) Silicide gate transistors and method of manufacture
CN100568467C (zh) 一种mosfet器件及其形成方法
US7701019B2 (en) Tensile strained substrate
US5736446A (en) Method of fabricating a MOS device having a gate-side air-gap structure
US7372099B2 (en) Semiconductor device and its manufacturing method
CN101542699B (zh) 半导体器件和制造半导体器件的方法
JP4472972B2 (ja) Cmosデバイスおよびその製造方法
KR100354119B1 (ko) 0.05마이크로미터 모스 디바이스용 처치가능-스페이서대머신-게이트 공정
CN100585834C (zh) 集成电路及其形成方法
TWI247425B (en) Advanced strained-channel technique toe mprove cmos performance
CN102738221B (zh) 制造栅极介电层的方法
US20040077136A1 (en) Integrated circuit metal oxide semiconductor transistor
CN101621073A (zh) 半导体器件及半导体器件的制造方法
US5943565A (en) CMOS processing employing separate spacers for independently optimized transistor performance
US7416949B1 (en) Fabrication of transistors with a fully silicided gate electrode and channel strain
US7009258B2 (en) Method of building a CMOS structure on thin SOI with source/drain electrodes formed by in situ doped selective amorphous silicon
US7554138B2 (en) Method of manufacturing a strained semiconductor layer, method of manufacturing a semiconductor device and semiconductor substrate suitable for use in such a method including having a thin delta profile layer of germanium close to the bottom of the strained layer
US20040029320A1 (en) Method to fabricate elevated source/drain structures in mos transistors
JPH023935A (ja) 自己整合形シリサイドと低濃度ドープドレンを備えるmos装置の製法
US6284608B1 (en) Method for making accumulation mode N-channel SOI
CN107968071A (zh) 一种半导体器件及其制造方法和电子装置
TW200410359A (en) Method for reducing recess effect in shallow trench isolation for semiconductor device

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20171110

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171110

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

TR01 Transfer of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090311

Termination date: 20181109

CF01 Termination of patent right due to non-payment of annual fee