DE102005025116A1 - Semiconductor structure e.g. dynamic random access memory unit, manufacturing method, involves utilizing carbon and fluorine containing gas as etching gas to etch oxide, which is directly adjacent to silicon nitride - Google Patents

Semiconductor structure e.g. dynamic random access memory unit, manufacturing method, involves utilizing carbon and fluorine containing gas as etching gas to etch oxide, which is directly adjacent to silicon nitride Download PDF

Info

Publication number
DE102005025116A1
DE102005025116A1 DE102005025116A DE102005025116A DE102005025116A1 DE 102005025116 A1 DE102005025116 A1 DE 102005025116A1 DE 102005025116 A DE102005025116 A DE 102005025116A DE 102005025116 A DE102005025116 A DE 102005025116A DE 102005025116 A1 DE102005025116 A1 DE 102005025116A1
Authority
DE
Germany
Prior art keywords
oxide
transistor
etching
containing gas
nitride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102005025116A
Other languages
German (de)
Other versions
DE102005025116B4 (en
Inventor
Jarujit Kanatharana
Stefan Tegen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Polaris Innovations Ltd
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Priority to DE102005025116A priority Critical patent/DE102005025116B4/en
Publication of DE102005025116A1 publication Critical patent/DE102005025116A1/en
Application granted granted Critical
Publication of DE102005025116B4 publication Critical patent/DE102005025116B4/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • H10B12/0335Making a connection between the transistor and the capacitor, e.g. plug
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/485Bit line contacts

Abstract

The method involves utilizing a carbon and fluorine containing gas as etching gas to etch an oxide, which is directly adjacent to a silicon nitride. Contact holes are etched during the etching, and the side flanks of the holes are completely or partially limited by the nitride. Source and drain connection contacts for a field effect transistor (125) is formed in the holes, and the nitride protects a gate connection of the transistor.

Description

Die Erfindung bezieht sich auf ein Verfahren zum Herstellen einer Struktur, insbesondere einer Halbleiterstruktur, bei dem mit Hilfe eines Ätzgases ein Oxid geätzt wird.The The invention relates to a method for producing a structure, in particular a semiconductor structure in which by means of an etching gas etched an oxide becomes.

Derartige Verfahren sind beispielsweise im Bereich der Halbleitertechnik üblich, um Mikroprozessoren, Speicherelemente oder dergleichen herzustellen. Als Ätzgase werden beispielsweise Gase auf der Basis von Fluor verwendet.such Methods are common, for example, in the field of semiconductor technology to Manufacture microprocessors, memory elements or the like. As etching gases For example, gases based on fluorine are used.

Der Erfindung liegt die Aufgabe zugrunde, ein Verfahren der beschriebenen Art dahingehend zu verbessern, dass während des Oxidätzens eine besonders große Ätzselektivität gegenüber Nitriden, insbesondere gegenüber Siliziumnitriden, erreicht wird.Of the Invention is based on the object, a method of the described Art to improve that during the oxide etching a especially high etching selectivity towards nitrides, especially opposite Silicon nitrides, is achieved.

Diese Aufgabe wird erfindungsgemäß dadurch gelöst, dass als Ätzgas ein CHxFy-haltiges Gas verwendet wird, wobei x größer oder gleich Null und y größer oder gleich 1 gewählt wird.This object is achieved according to the invention in that a CH x F y -containing gas is used as the etching gas, where x is greater than or equal to zero and y greater than or equal to 1 is selected.

Ein wesentlicher Vorteil der Erfindung besteht darin, dass aufgrund der Verwendung eines zumindest Kohlenstoff und Fluor enthaltenden Gases beim Ätzen des Oxids beispielsweise Polymere oder Polymerketten gebildet werden, die den Ätzangriff auf Nitride zumindest reduzieren, wodurch eine große Ätzselektivität erreicht wird.One significant advantage of the invention is that due the use of an at least carbon and fluorine-containing Gas during etching the oxide, for example, polymers or polymer chains are formed, the the etching attack to at least reduce to nitrides, thereby achieving a high etch selectivity becomes.

Als vorteilhaft wird es angesehen, wenn der Wert für x gleich 1 oder größer als 1 gewählt wird, weil in diesem Falle aufgrund des Vorhandenseins von Wasserstoff CH-Polymerketten gebildet werden, wodurch die Ätzselektivität besonders groß wird.When Advantageously, it is considered that the value of x equals 1 or greater than 1 selected because in this case due to the presence of hydrogen CH polymer chains are formed, whereby the Ätzselektivität particularly gets big.

Bevorzugt wird ein Ätzgas verwendet, bei dem die Atome in einer linearen Kettenstruktur angeordnet sind. Unter einer linearen Kettenstruktur ist insbesondere eine längserstreckte bzw. ringformfreie Struktur zu verstehen.Prefers becomes an etching gas used, in which the atoms are arranged in a linear chain structure. Under a linear chain structure is in particular an elongated or ring-free structure to understand.

Eine große Ätzselektivität gegenüber einem Nitrid ist insbesondere dann von Vorteil, wenn das zu ätzende Oxid während des Ätzvorgangs unmittelbar an ein Nitrid angrenzt. Demzufolge wird es als vorteilhaft angesehen, von dem beschriebenen Verfahren insbesondere dann Gebrauch zu machen, wenn während des Ätzens das Ätzgas auch mit einem Nitrid in Kontakt tritt, beispielsweise wenn das zu ätzende Oxid und das Nitrid aneinander angrenzen.A large etch selectivity over one Nitride is particularly advantageous if the oxide to be etched while of the etching process immediately adjacent to a nitride. Consequently, it is considered advantageous in particular to make use of the method described, if during of the etching the etching gas also comes into contact with a nitride, for example when the too corrosive Oxide and the nitride adjacent to each other.

Beispielsweise wird während des Ätzvorganges ein Loch geätzt, wobei die Seitenflanken des Loches ganz oder teilweise durch das Nitrid begrenzt werden.For example is during the etching process etched a hole, the side flanks of the hole wholly or partly by the Nitride are limited.

Als Ätzgas wird vorzugsweise ein C3F8-haltiges Gas, ein CH3F-haltiges Gas, ein CH2F2-haltiges Gas, ein CHF3-haltiges Gas ein C4F6-haltiges Gas und/oder ein C4F8-haltiges Gas verwendet. Beispielsweise können auch Ätzgasmischungen mit zwei oder mehr der folgenden Stoffe verwendet werden: C3F8, CH3F, CH2F2, CHF3, C9F6.The etching gas used is preferably a C 3 F 8 -containing gas, a CH 3 F-containing gas, a CH 2 F 2 -containing gas, a CHF 3 -containing gas, a C 4 F 6 -containing gas and / or a C 4 F 8 -containing gas used. For example, etching gas mixtures with two or more of the following substances can also be used: C 3 F 8 , CH 3 F, CH 2 F 2 , CHF 3 , C 9 F 6 .

Vorzugsweise wird als Loch ein Kontaktloch geätzt. In dem Kontaktloch kann beispielsweise ein Anschlusskontakt bzw. eine Anschlusselektrode für einen Transistor gebildet werden.Preferably a contact hole is etched as a hole. In the contact hole, for example, a connection contact or a connection electrode for a transistor can be formed.

Besonders bevorzugt werden zumindest zwei Kontaktlöcher geätzt, wobei in einem der zwei Kontaktlöcher ein Source-Anschlusskontakt des Transistors und in dem anderen Kontaktloch ein Drain-Anschlusskontakt des Transistors gebildet wird.Especially Preferably, at least two contact holes are etched, wherein in one of the two vias a source connection contact of the transistor and in the other contact hole, a drain terminal contact of the transistor is formed.

Das Nitrid ist bevorzugt derart angeordnet, dass es den Gate-Anschluss – also das Kontaktmaterial des Gate-Kontakts – des Transistors mittelbar oder unmittelbar vor dem Ätzgas schützt.The Nitride is preferably arranged such that it has the gate connection - ie the Contact material of the gate contact - of the transistor indirectly or immediately before the etching gas protects.

Zusätzlich kann zwischen dem Nitrid und dem Gate-Anschluss des Transistors ein Oxid angeordnet werden, das eine Art Trennfunktion innehat und als Trennoxid bezeichnet werden könnte.In addition, can an oxide between the nitride and the gate terminal of the transistor can be arranged, which has a kind of separation function and as a separating oxide could be designated.

Vorzugsweise wird die Dicke des Nitrids im Seitenwandbereich des Gate-Anschlusses, insbesondere im oberen Schulterbereich des Gate-Anschlusses, so dick gewählt, dass in das Nitrid beim Ätzen des Oxids keine Löcher geätzt werden können. Kurzschlüsse zwischen dem Gate-Anschluss und dem Source- und/oder Drain-Anschluss werden somit vermieden.Preferably is the thickness of the nitride in the sidewall region of the gate terminal, especially in the upper shoulder region of the gate terminal, so chosen thick, that in the nitride during etching of the oxide no holes etched can be. shorts between the gate terminal and the source and / or drain terminal are thus avoided.

Bevorzugt werden die Kontaktlöcher für den Source-Anschluss und den Drain-Anschluss des Transistors relativ zum Gate-Anschluss selbstjustierend hergestellt, um eine optimale Justage der Anschlüsse, insbesondere bei kleinen Strukturen, zu erreichen.Prefers become the contact holes for the Source terminal and the drain terminal of the transistor relative to the gate terminal self-aligning, for optimum adjustment of the connections, in particular in small structures, to reach.

Der Transistor kann beispielsweise in einem erhabenen Bereich eines Siliziumsubstrates hergestellt werden.Of the Transistor, for example, in a raised area of a Silicon substrates are produced.

Das beschriebene Verfahren wird vorzugsweise zur Herstellung von DRAM-Speicherelementen verwendet, bei denen ein Transistor einen Bestandteil bildet. Auch kann das beschriebene Verfahren zur Herstellung von Mikroprozessoren eingesetzt werden.The described method is preferably for the production of DRAM memory elements used in which a transistor forms an integral part. Also can the described method for the production of microprocessors be used.

Die Erfindung wird nachfolgend anhand eines Ausführungsbeispiels erläutert. Dabei zeigen die 1 bis 14 beispielhaft Verfahrensschritte zur Herstellung eines DRAM-Speicherelementes mit Feldeffektransistoren sowie mit selbstjustierenden Anschlusskontakten.The invention will be explained below with reference to an embodiment. The show 1 to 14 Example method steps for producing a DRAM memory element with field effect transistors and with self-adjusting connection contacts.

In der 1 erkennt man in der Draufsicht ein Siliziumsub strat 10, das in Oberflächenabschnitten 20 derart geätzt wurde, dass erhabene Bereiche 30 ungeätzt stehen bleiben. Die resultierende Struktur wird anschließend ganzflächig mit einem Oxid 40 beschichtet und einem CMP (CMP: chemical mechanical polishing) Schritt unterzogen. Nachfolgend wird das Oxid 40 nasschemisch geätzt, so dass die Oberflächen 50 der erhabenen Bereiche 30 vom Oxid 40 befreit werden.In the 1 can be seen in the plan view, a Siliziumub strat 10 that in surface sections 20 was etched such that raised areas 30 to remain uneaten. The resulting structure is then over the entire surface with an oxide 40 coated and subjected to a CMP (CMP: chemical mechanical polishing) step. Subsequently, the oxide 40 etched wet-chemically, so that the surfaces 50 the sublime areas 30 from the oxide 40 be freed.

Die resultierende Struktur ist im Querschnitt (Schnitt AA gemäß der 1) in der 2 dargestellt. Man erkennt die erhabenen Bereiche 30 sowie die vom Oxid 40 befreiten Oberflächen 50 der erhabenen Bereiche 30. Zwischen den erhabenen Bereichen 30 befindet sich das Oxid 40, das die erhabenen Bereiche 30 voneinander trennt.The resulting structure is in cross section (section AA according to the 1 ) in the 2 shown. One recognizes the raised areas 30 as well as the oxide 40 liberated surfaces 50 the sublime areas 30 , Between the sublime areas 30 is the oxide 40 that the sublime areas 30 separates each other.

Die Oberflächen 50 der erhabenen Bereiche 30 werden in einem nachfolgenden Bearbeitungsschritt unter Bildung eines Gateoxids 60, das einen wesentlichen Bestandteil für die im weiteren herzustellenden Feldeffektransistoren des DRAM-Speicherelementes bildet, oxidiert. Auf die resultierende Struktur werden dann eine hochdotierte Polysiliziumschicht 70, eine Wolframsilizidschicht 80, eine Nitridschicht 90 sowie eine Photolackschicht 100 aufgebracht. Wie weiter unten deutlich werden wird, werden die hochdotierte Polysiliziumschicht 70 und die Wolframsilizidschicht 80 als Gate-Kontaktmaterial für die Gate-Anschlüsse der bereits erwähnten Feldeffekttransistoren dienen.The surfaces 50 the sublime areas 30 are in a subsequent processing step to form a gate oxide 60 which oxidizes an essential constituent for the field effect transistors of the DRAM memory element to be produced in the further course. The resulting structure then becomes a heavily doped polysilicon layer 70 a tungsten silicide layer 80 , a nitride layer 90 and a photoresist layer 100 applied. As will be apparent below, the highly doped polysilicon layer becomes 70 and the tungsten silicide layer 80 serve as a gate contact material for the gate terminals of the aforementioned field effect transistors.

Das resultierende Schichtpaket ist im Querschnitt in der 3 dargestellt. Die Photolackschicht 100 wird nachfolgend strukturiert, wie dies in der 4 in der Draufsicht dargestellt ist. Bei dieser Strukturierung bleiben balkenförmige Photolackstreifen 110 stehen, die das Schichtpaket abdecken; die übrigen Bereiche werden von der Photolackschicht 100 befreit. Diese freien Bereiche werden einem Ätzschritt unterzogen, bei dem die Nitridschicht 90, die Wolframsilizidschicht 80 und die hochdotierte Polysiliziumschicht 70 bis zum Gateo xid 60 weggeätzt werden (vgl. 5). Durch diesen Ätzschritt werden die Gate-Anschlüsse 120 der Feldeffekttransistoren 125 definiert. Die hochdotierten Source- und Drainbereiche der Feldeffekttransistoren 125, die im erhabenen Bereich 30 des Siliziumsubstrates 10 monolithisch integriert sind, sind in der 5 aus Gründen der Übersichtlichkeit nicht explizit eingezeichnet.The resulting layer package is in cross section in the 3 shown. The photoresist layer 100 is structured as described in the 4 is shown in plan view. In this structuring remain bar-shaped photoresist strips 110 stand, which cover the layer package; the remaining areas are from the photoresist layer 100 freed. These free areas are subjected to an etching step in which the nitride layer 90 , the tungsten silicide layer 80 and the heavily doped polysilicon layer 70 to the gateo xid 60 be etched away (cf. 5 ). By this etching step, the gate terminals become 120 the field effect transistors 125 Are defined. The highly doped source and drain regions of the field effect transistors 125 in the sublime area 30 of the silicon substrate 10 are integrated in the monolithic 5 not explicitly drawn for reasons of clarity.

Anschließend wird die resultierende Struktur einem Oxid-Beschichtungsprozess unterworfen, bei dem die Seitenwandbereiche 130 der strukturierten Wolframsilizid- und Polysiliziumschicht 70 bzw. 80 und damit die Seitenwandbereiche 130 der Gate-Anschlüsse 120 beschichtet werden. Die auf den Seitenwandbereichen 130 aufgebrachte Oxidschicht ist in der 5 mit dem Bezugszeichen 135 gekennzeichnet. Auf die derart behandelte Struktur wird nachfolgend ein Siliziumnitrid-Spacer (Spacer: dicke Schicht) hauptsächlich an den Seitenwandbereichen 130 und ein Siliziumnitrid-Liner (Liner: dünne Schicht) über der gesamten Struktur abgeschieden. Der Siliziumnitrid-Spacer und der Siliziumnitrid-Liner sind gemeinsam mit dem Bezugszeichen 140 gekennzeichnet.Subsequently, the resulting structure is subjected to an oxide coating process in which the sidewall regions 130 the structured tungsten silicide and polysilicon layer 70 respectively. 80 and thus the sidewall areas 130 the gate connections 120 be coated. The on the sidewall areas 130 applied oxide layer is in the 5 with the reference number 135 characterized. Subsequently, a silicon nitride spacer (spacer: thick layer) on the thus treated structure becomes mainly on the sidewall portions 130 and a silicon nitride liner (liner: thin layer) deposited over the entire structure. The silicon nitride spacer and the silicon nitride liner are together with the reference numeral 140 characterized.

Die resultierende Struktur zeigt die 5 im Querschnitt. Es ist ersichtlich, dass trotz des Abscheidens des Siliziumnitrid-Spacers und des Siliziumnitrid-Liners 140 Trennbereiche 150 zwischen den Gate-Anschlüssen 120 der Feldeffekttransistoren 125 erhalten bleiben.The resulting structure shows the 5 in cross section. It can be seen that, despite the deposition of the silicon nitride spacer and the silicon nitride liner 140 separating regions 150 between the gate terminals 120 the field effect transistors 125 remain.

Die 6 zeigt die Struktur gemäß 5 in der Draufsicht vor dem Aufbringen des Siliziumnitrid-Spacers und des Siliziumnitrid-Liners 140, so dass die freigelegten Bereiche des Gateoxids 60, die freigelegten Bereiche des Oxids 40 sowie die ungeätzte Nitridschicht 90 von oben noch sichtbar sind.The 6 shows the structure according to 5 in the plan view before the application of the silicon nitride spacer and the silicon nitride liner 140 so that the exposed areas of the gate oxide 60 , the exposed areas of the oxide 40 and the unetched nitride layer 90 are still visible from above.

In der 7 ist die resultierende Struktur dargestellt, nachdem in den Trennbereichen 150 zwischen den Transistoren 125 ein Bor-Phosphor-Silikatglas 160 – also ein Oxid – eingefüllt wurde. Wie sich in der 7 erkennen lässt, ist das Bor-Phosphor-Silikatglas 160 vom Gateoxid 60 durch den Siliziumnitrid-Liner 140 getrennt, so dass Bor und/oder Phosphor aus dem Bor-Phosphor-Silikatglas 160 weder in das Gateoxid 60 noch in das Siliziumsubstrat 10 ausdiffundieren können.In the 7 the resulting structure is shown after in the separation areas 150 between the transistors 125 a borophosphosilicate glass 160 - So an oxide - was filled. As reflected in the 7 is boron-phosphorus silicate glass 160 from the gate oxide 60 through the silicon nitride liner 140 separated so that boron and / or phosphorus from the boro-phosphorous-silicate glass 160 neither in the gate oxide 60 still in the silicon substrate 10 can diffuse out.

Nach dem Füllen der Trennbereiche 150 mit dem Bor-Phosphor-Silikatglas 160 wird die Struktur einem CMP-Schritt unterworfen und anschließend wird die resultierende Struktur mit einem Oxid 200, beispielsweise einem LPCVD-Oxid oder einem LPTEOS-Oxid, ganzflächig bedeckt. Auf diesem Oxid 200 wird eine amorphe Siliziumschicht 210 abgeschieden, die mit einer Photolackmaske 220 beschichtet wird. Die 7 zeigt die Photolackmaske 220 nach einem Strukturierungsschritt, bei dem in der Photolackmaske 220 kreisrunde Bereiche 230 freigelegt wurden.After filling the separation areas 150 with the borophosphosilicate glass 160 For example, the structure is subjected to a CMP step, and then the resulting structure becomes an oxide 200 , For example, a LPCVD oxide or a LPTEOS oxide, over the entire surface covered. On this oxide 200 becomes an amorphous silicon layer 210 deposited with a photoresist mask 220 is coated. The 7 shows the photoresist mask 220 after a structuring step in which in the photoresist mask 220 circular areas 230 were uncovered.

Die Photolackmaske 220 ist in der 8 in der Draufsicht dargestellt; man erkennt insbesondere die kreisrunden Bereiche 230.The photoresist mask 220 is in the 8th shown in plan view; one recognizes in particular the circular areas 230 ,

In der 9 ist die resultierende Struktur im Querschnitt dargestellt, nachdem in den kreisrunden Bereichen 230 die amorphe Siliziumschicht 210 geöffnet wurde und eine weitere Photolackmaske 250 aufgetragen wurde. In der 9 ist die weitere Photolackmaske 250 gezeigt, nachdem ovale Öffnungslöcher 260 in die weitere Photolackmaske 250 eingebracht worden sind. Die ovalen Öffnungslöcher 260 sind in der 10 nochmals in der Draufsicht dargestellt.In the 9 the resulting structure is shown in cross-section, after in the circular areas 230 the amorphous silicon layer 210 was opened and another photoresist mask 250 was applied. In the 9 is the further photoresist mask 250 shown after oval opening holes 260 in the further photoresist mask 250 have been introduced. The oval opening holes 260 are in the 10 shown again in plan view.

In einem nachfolgenden Ätzschritt wird die amorphe Siliziumschicht 210 im Bereich der ovalen Öffnungslöcher 260 geätzt, wodurch eine amorphe Silizium-Ätzmaske 270 gebildet wird (11). In der 11 ist das Schichtpaket nach einem Entfernen der weiteren Photolackschicht 250 im Querschnitt dargestellt; die 12 zeigt die Silizium-Ätzmaske 270 in der Draufsicht.In a subsequent etching step, the amorphous silicon layer 210 in the area of the oval opening holes 260 etched, creating an amorphous silicon etching mask 270 is formed ( 11 ). In the 11 is the layer package after removal of the further photoresist layer 250 shown in cross section; the 12 shows the silicon etching mask 270 in the plan view.

Die Silizium-Ätzmaske 270 ist somit in zwei Bearbeitungsschritten hergestellt worden, alternativ kann die Ätzmaske 270 auch in einem einzigen Herstellungsschritt gebildet werden.The silicon etching mask 270 has thus been produced in two processing steps, alternatively, the etch mask 270 also be formed in a single manufacturing step.

Das Schichtpaket wird nun einem Ätzschritt unterworfen, bei dem die Silizium-Ätzmaske 270 als Maske wirkt. Im Rahmen dieses Ätzschrittes werden das Oxid 200, das Bor-Phosphor-Silikatglas 160 sowie das Gateoxid 60 unter Bildung von Kontaktlöchern 350 (vgl. 13) weggeätzt. Um bei diesem Verfahrensschritt sicherzustellen, dass der Siliziumnitrid-Spacer und der Siliziumnitrid-Liner 140, die die Gateanschlüsse 120 abdecken und vor einem Ätzangriff schützen, nicht weggeätzt werden, wird bei dem Ätzen vorzugsweise ein Ätzgas und Ätzprozess mit folgenden Parametern verwendet: Druck: 45 +/– 15 mT Temperatur: Top/Wall/Bottom = 60/60/60 deg C jeweils +/– 30°C Top Power: 2000 +/– 500 W Bottom Power: 2500 +/– 500 W Gasfluss: C9F6/C3F8/CH3F: 15 +/– 10 sccm O2: 25 +/– 15 sccm C5F8: 20 +/– 10 sccm The layer package is then subjected to an etching step in which the silicon etching mask 270 acts as a mask. In the context of this etching step, the oxide 200 , the boro-phosphorous-silicate glass 160 as well as the gate oxide 60 under the formation of contact holes 350 (see. 13 ) etched away. To ensure in this process step that the silicon nitride spacer and the silicon nitride liner 140 that the gate connections 120 In the etching process, an etching gas and etching process with the following parameters is preferably used in the etching: Print: 45 +/- 15 mT Temperature: Top / Wall / Bottom = 60/60/60 deg C each +/- 30 ° C Top Power: 2000 +/- 500 W Bottom Power: 2500 +/- 500 W Gas flow: C 9 F 6 / C 3 F 8 / CH 3 F: 15 +/- 10 sccm O 2 : 25 +/- 15 sccm C 5 F 8 : 20 +/- 10 sccm

Wichtig bei diesem Oxid-Ätzschritt ist, dass im oberen „Schulterbereich" 310 der Gate-Anschlüsse 120 stets Siliziumnitrid 140 mit einer ausreichenden Dicke verbleibt, damit eine elektrische Isolation zwischen den Gate-Anschlüssen 120 und den im Folgenden herzustellenden Source- und Drain-Anschlüssen der Transistoren 125 verbleibt.Important in this oxide etching step is that in the upper "shoulder area" 310 the gate connections 120 always silicon nitride 140 with a sufficient thickness, so that an electrical insulation between the gate terminals 120 and the source and drain terminals of the transistors to be produced below 125 remains.

In der 13 ist die Struktur dargestellt, nachdem die während des Oxid-Ätzschrittes hergestellten Kontaktlöcher 350 mit einem leitfähigen Material, beispielsweise einem hochdo tierten Polysiliziummaterial gefüllt worden sind. Das Füllmaterial trägt in der 13 das Bezugszeichen 400 und bildet das Material für die Source- und Drainanschlusskontakte 410 der im Übrigen nur schematisch angedeuteten Transistoren 125 im erhabenen Siliziumbereich 30.In the 13 the structure is shown after being fabricated during the oxide etch step vias 350 have been filled with a conductive material, such as a hochdo-oriented polysilicon material. The filler carries in the 13 the reference number 400 and forms the material for the source and drain terminal contacts 410 the otherwise only schematically indicated transistors 125 in the raised silicon area 30 ,

Die in der Draufsicht gesehen kreisrunden Kontaktlöcher 350 (vgl. 14) werden vorzugsweise zum Anschluss der Transistoren 125 an Kondensatoren verwendet, in denen die vom DRAM-Speicherbaustein zu speichernden Informationen in Form gespeicherter Ladungen abgelegt werden; die in der Draufsicht ovalen Kontaktlöcher 350 werden vorzugsweise zum Anschluss der Transistoren an eine Bitleitung des DRAM-Speicherbausteins herangezogen.The circular contact holes seen in plan view 350 (see. 14 ) are preferably used to connect the transistors 125 used on capacitors in which the information to be stored by the DRAM memory device is stored in the form of stored charges; the oval contact holes in plan view 350 are preferably used to connect the transistors to a bit line of the DRAM memory module.

Zusammenfassend ist festzustellen, dass durch die beschriebenen Ätzparameter beim Ätzen des Bor-Phosphor-Silikatglases 160, des Gateoxids 160 und des Oxids 200 sichergestellt wird, dass die die Gate-Anschlüsse 120 schützende Nitridschicht 140 zumindest soweit erhalten bleibt, dass keine Kurzschlüsse zwischen den Gate-Anschlüssen 120 und den Source- und Drainanschlusskontakten 410 auftreten können.In summary, it can be stated that the etch parameters described during the etching of boron-phosphorus silicate glass 160 , the gate oxide 160 and the oxide 200 it is ensured that the the gate connections 120 protective nitride layer 140 at least as far as preserved, that no short circuits between the gate terminals 120 and the source and drain terminal contacts 410 may occur.

1010
Siliziumsubstratsilicon substrate
2020
Oberflächenabschnittesurface sections
3030
erhabene Bereichesublime areas
4040
Oxidoxide
5050
Oberfläche der erhabenen BereicheSurface of the raised areas
6060
Gateoxidgate oxide
7070
hochdotierte Polysiliziumschichthighly doped polysilicon layer
8080
Wolframsilizidschichttungsten silicide
9090
Nitritschichtnitride layer
100100
PhotolackschichtPhotoresist layer
110110
balkenförmige Photolackstreifenbar-shaped photoresist strips
120120
Gate-AnschlussGate terminal
125125
FeldeffekttransistorenFETs
130130
SeitenwandbereicheSidewall portions
135135
Oxidschichtoxide
140140
Siliziumnitrit-Spacer und -LinerSilicon nitride spacers and -Liner
150150
Trennbereichseparating region
160160
Bor-Phosphor-SilikatglasBoron phosphorus silicate glass
200200
Oxidoxide
210210
amorphe Siliziummaskeamorphous silicon mask
220220
PhotolackmaskePhotoresist mask
230230
kreisrunde Bereichecircular areas
250250
weitere PhotolackmaskeFurther Photoresist mask
260260
ovale Öffnungslöcheroval opening holes
270270
Ätzmaskeetching mask
300300
GateanschlusselektrodenGate electrodes
310310
Schulterbereichshoulders
350350
Kontaktlöchervias
400400
Füllmaterialfilling material
410410
Source- oder Drainanschlusskontaktesource or drain connection contacts

Claims (15)

Verfahren zum Herstellen einer Struktur (125), insbesondere einer Halbleiterstruktur, bei dem mit Hilfe eines Ätzgases ein Oxid (60, 160, 200) geätzt wird, dadurch gekennzeichnet, dass als Ätzgas ein CHxFy-haltiges Gas verwendet wird, wobei x größer oder gleich Null und y größer oder gleich 1 gewählt wird.Method for producing a structure ( 125 ), in particular a semiconductor structure, in which with the aid of an etching gas, an oxide ( 60 . 160 . 200 ) is etched, characterized in that a CH x F y -containing gas is used as the etching gas, wherein x is greater than or equal to zero and y greater than or equal to 1 is selected. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass als Oxid ein unmittelbar an ein Nitrid (140) angrenzendes Oxid (160) geätzt wird.A method according to claim 1, characterized in that as an oxide directly to a nitride ( 140 ) adjacent oxide ( 160 ) is etched. Verfahren nach Anspruch 2, dadurch gekennzeichnet, dass während des Ätzens ein Loch (350) geätzt wird, wobei die Seitenflanken des Loches durch das Nitrid (140) ganz oder teilweise begrenzt werden.Method according to claim 2, characterized in that during the etching a hole ( 350 ), wherein the side flanks of the hole through the nitride ( 140 ) be limited in whole or in part. Verfahren nach einem der voranstehenden Ansprüche, dadurch gekennzeichnet, dass als Ätzgas ein C3F8-haltiges Gas, ein CH3F-haltiges Gas, ein CH2F2-haltiges Gas, ein CHF3-haltiges Gas, ein C4F6-haltiges Gas und/oder ein C4F8-haltiges Gas verwendet wird.Method according to one of the preceding claims, characterized in that as the etching gas, a C 3 F 8 -containing gas, a CH 3 F-containing gas, a CH 2 F 2 -containing gas, a CHF 3 -containing gas, a C 4 F 6 -containing gas and / or a C 4 F 8 -containing gas is used. Verfahren nach einem der voranstehenden Ansprüche, dadurch gekennzeichnet, dass ein Ätzgas verwendet wird, das zumindest zwei oder mehr der folgenden Stoffe enthält: C3F8, CH3F, CH2F2, CHF3, C4F6, C4F8.Method according to one of the preceding claims, characterized in that an etching gas is used which contains at least two or more of the following substances: C 3 F 8 , CH 3 F, CH 2 F 2 , CHF 3 , C 4 F 6 , C 4 F 8 . Verfahren nach einem der voranstehenden Ansprüche, dadurch gekennzeichnet, dass als Loch ein Kontaktloch (350) geätzt wird und in dem Kontaktloch ein Anschlusskontakt (410) für einen Transistor (125) gebildet wird.Method according to one of the preceding claims, characterized in that a contact hole ( 350 ) is etched and in the contact hole a connection contact ( 410 ) for a transistor ( 125 ) is formed. Verfahren nach Anspruch 6, dadurch gekennzeichnet, dass zumindest zwei Kontaktlöcher (350) geätzt werden, wobei in einem der zwei Kontaktlöcher ein Source-Anschlusskontakt (410) des Transistors (125) und in dem anderen Kontaktloch (350) ein Drain-Anschlusskontakt (410) des Transistors gebildet wird.Method according to claim 6, characterized in that at least two contact holes ( 350 ), wherein in one of the two contact holes, a source terminal contact ( 410 ) of the transistor ( 125 ) and in the other contact hole ( 350 ) a drain terminal contact ( 410 ) of the transistor is formed. Verfahren nach Anspruch 6 oder 7, dadurch gekennzeichnet, dass das Nitrid (140) derart angeordnet wird, dass es den Gate-Anschluss (120) des Transistors (125) mittelbar oder unmittelbar schützt.Process according to claim 6 or 7, characterized in that the nitride ( 140 ) is arranged such that it connects the gate terminal ( 120 ) of the transistor ( 125 ) protects directly or indirectly. Verfahren nach Anspruch 8, dadurch gekennzeichnet, dass zwischen dem Nitrid (140) und dem Gate-Anschluss (120) des Transistors ein Oxid (135) angeordnet wird.Process according to claim 8, characterized in that between the nitride ( 140 ) and the gate terminal ( 120 ) of the transistor is an oxide ( 135 ) is arranged. Verfahren nach einem der Ansprüche 6 bis 9, dadurch gekennzeichnet, dass die Dicke des Nitrids (140) im Seitenwandbereich (130) des Gate-Anschlusses (120), insbesondere im oberen Schulterbereich (310) des Gate-Anschlusses, so dick gewählt wird, dass in dieses beim Ätzen des Oxids (160) keine Löcher geätzt werden.Method according to one of claims 6 to 9, characterized in that the thickness of the nitride ( 140 ) in the sidewall area ( 130 ) of the gate terminal ( 120 ), especially in the upper shoulder area ( 310 ) of the gate terminal is chosen to be so thick that in this case the etching of the oxide ( 160 ) no holes are etched. Verfahren nach einem der Ansprüche 6 bis 10, dadurch gekennzeichnet, dass die Kontaktlöcher (350) für den Source-Anschlusskontakt und den Drain-Anschlusskontakt des Transistors (125) relativ zum Gate-Anschluss selbstjustierend hergestellt werden.Method according to one of claims 6 to 10, characterized in that the contact holes ( 350 ) for the source terminal contact and the drain terminal contact of the transistor ( 125 ) are made self-adjusting relative to the gate terminal. Verfahren nach einem der Ansprüche 6 bis 11, dadurch gekennzeichnet, dass der Transistor (125) in einem erhabenen Bereich (30) eines Siliziumsubstrates (10) hergestellt wird.Method according to one of claims 6 to 11, characterized in that the transistor ( 125 ) in a raised area ( 30 ) of a silicon substrate ( 10 ) will be produced. Verfahren nach einem der Ansprüche 6 bis 12, dadurch gekennzeichnet, dass als Struktur ein DRAM-Speicherelement hergestellt wird und der Transistor (125) einen Bestandteil dieses DRAM-Speicherelements bildet.Method according to one of Claims 6 to 12, characterized in that a DRAM memory element is produced as the structure and the transistor ( 125 ) forms a part of this DRAM memory element. Verfahren nach einem der Ansprüche 6 bis 12, dadurch gekennzeichnet, dass als Struktur ein Mikroprozessor hergestellt wird und der Transistor (125) einen Bestandteil dieses Mikroprozessors bildet.Method according to one of claims 6 to 12, characterized in that a microprocessor is produced as the structure and the transistor ( 125 ) forms part of this microprocessor. Verfahren nach einem der voranstehenden Ansprüche, dadurch gekennzeichnet, dass als Ätzgas ein Gas verwendet wird, bei dem die Atome in einer linearen Kettenstruktur angeordnet sind.Method according to one of the preceding claims, characterized characterized in that as an etching gas a gas is used in which the atoms are in a linear chain structure are arranged.
DE102005025116A 2005-05-27 2005-05-27 Method for producing a structure Expired - Fee Related DE102005025116B4 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
DE102005025116A DE102005025116B4 (en) 2005-05-27 2005-05-27 Method for producing a structure

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102005025116A DE102005025116B4 (en) 2005-05-27 2005-05-27 Method for producing a structure

Publications (2)

Publication Number Publication Date
DE102005025116A1 true DE102005025116A1 (en) 2006-11-30
DE102005025116B4 DE102005025116B4 (en) 2013-04-25

Family

ID=37387790

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102005025116A Expired - Fee Related DE102005025116B4 (en) 2005-05-27 2005-05-27 Method for producing a structure

Country Status (1)

Country Link
DE (1) DE102005025116B4 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113097145A (en) * 2021-03-30 2021-07-09 长鑫存储技术有限公司 Preparation method of semiconductor structure and semiconductor structure

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5037777A (en) * 1990-07-02 1991-08-06 Motorola Inc. Method for forming a multi-layer semiconductor device using selective planarization
US5286344A (en) * 1992-06-15 1994-02-15 Micron Technology, Inc. Process for selectively etching a layer of silicon dioxide on an underlying stop layer of silicon nitride
US6074959A (en) * 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6387287B1 (en) * 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US20030042465A1 (en) * 2001-08-30 2003-03-06 Micron Technology, Inc. Etchant gas composition
US6544883B2 (en) * 2000-11-14 2003-04-08 Nec Electronics Corporation Method of manufacturing semiconductor device
DE10311691A1 (en) * 2003-03-17 2004-10-07 Infineon Technologies Ag Method for preparation of a semiconductor structure by provision of Si nitride layer and Si dioxide layers useful for etching of Si dioxide layers with higher selectivity than Si nitride layers

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5037777A (en) * 1990-07-02 1991-08-06 Motorola Inc. Method for forming a multi-layer semiconductor device using selective planarization
US5286344A (en) * 1992-06-15 1994-02-15 Micron Technology, Inc. Process for selectively etching a layer of silicon dioxide on an underlying stop layer of silicon nitride
US6074959A (en) * 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6387287B1 (en) * 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6544883B2 (en) * 2000-11-14 2003-04-08 Nec Electronics Corporation Method of manufacturing semiconductor device
US20030042465A1 (en) * 2001-08-30 2003-03-06 Micron Technology, Inc. Etchant gas composition
DE10311691A1 (en) * 2003-03-17 2004-10-07 Infineon Technologies Ag Method for preparation of a semiconductor structure by provision of Si nitride layer and Si dioxide layers useful for etching of Si dioxide layers with higher selectivity than Si nitride layers

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113097145A (en) * 2021-03-30 2021-07-09 长鑫存储技术有限公司 Preparation method of semiconductor structure and semiconductor structure
CN113097145B (en) * 2021-03-30 2022-04-22 长鑫存储技术有限公司 Method for manufacturing semiconductor structure

Also Published As

Publication number Publication date
DE102005025116B4 (en) 2013-04-25

Similar Documents

Publication Publication Date Title
DE102017117796B4 (en) METHOD FOR FORMING CONTACT CONNECTORS WITH REDUCED CORROSION
DE19638684C2 (en) Semiconductor device with a contact hole
DE60304225T2 (en) Nickel silicide with reduced surface roughness
DE10236682A1 (en) Semiconductor device
WO2004059735A1 (en) Method for producing a capacitor assembly, and a capacitor assembly
DE10222083A1 (en) Insulating process used for a semiconductor device comprises forming an insulating mask layer pattern on zones of a semiconductor substrate, forming a trench up to a required height
DE3334333A1 (en) METHOD FOR PRODUCING A MOS DEVICE WITH SELF-ADJUSTED CONTACTS
DE69838202T2 (en) End point sensation and apparatus
DE102011090163A1 (en) Semiconductor device with Austauschgateelektrodenstrukturen and self-aligned contact elements, which are made by a late contact filling
DE10310329A1 (en) Production of integrated device comprises forming guard ring pattern to enclose fuse line and partially etching second insulating layer to form via hole outside fuse portion of integrated circuit device
DE10030308A1 (en) Production of a contact pin of a semiconductor element comprises using a gas mixture containing sulfur hexafluoride, trifluoromethane and carbon tetrafluoride in the back etching of the polysilicon layer
DE10347462A1 (en) Bottom electrode of a capacitor of a semiconductor device and method for producing the same
DE102010063780A1 (en) Semiconductor device having a contact structure with a lower parasitic capacitance
DE102009046260B4 (en) Semiconductor component and method for producing a semiconductor component
DE19822048A1 (en) Tantalum oxide etching process especially for DRAM capacitor production
DE102004031741A1 (en) Method for producing field effect transistor structures with gate electrodes with a metal layer
DE19857037A1 (en) Salicide layers are formed on a MOST structure with reduced risk of bridging defects
DE10344273B4 (en) Improved contact for memory cells
DE102007037925B3 (en) Metal oxide semiconductor structure and method of forming a bit line contact plug
DE3000121A1 (en) METHOD FOR PRODUCING A MOS SEMICONDUCTOR DEVICE WITH SELF-ADJUSTED CONNECTIONS
DE102005025116B4 (en) Method for producing a structure
DE19724472B4 (en) Semiconductor device with a wiring and method for producing the semiconductor device
DE10358556B4 (en) Formation of self-aligning contacts using double SiN spacer layers
DE10142340A1 (en) Field effect transistor with a contact to one of its doping regions and method for its production
DE102008011928A1 (en) An etch stop layer of lesser thickness for patterning a dielectric material in a contact plane of pinned transistors

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8127 New person/name/address of the applicant

Owner name: QIMONDA AG, 81739 MUENCHEN, DE

R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final

Effective date: 20130726

R081 Change of applicant/patentee

Owner name: POLARIS INNOVATIONS LTD., IE

Free format text: FORMER OWNER: QIMONDA AG, 81739 MUENCHEN, DE

Owner name: INFINEON TECHNOLOGIES AG, DE

Free format text: FORMER OWNER: QIMONDA AG, 81739 MUENCHEN, DE

R081 Change of applicant/patentee

Owner name: POLARIS INNOVATIONS LTD., IE

Free format text: FORMER OWNER: INFINEON TECHNOLOGIES AG, 85579 NEUBIBERG, DE

R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee