DE10350752A1 - Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung - Google Patents

Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung Download PDF

Info

Publication number
DE10350752A1
DE10350752A1 DE10350752A DE10350752A DE10350752A1 DE 10350752 A1 DE10350752 A1 DE 10350752A1 DE 10350752 A DE10350752 A DE 10350752A DE 10350752 A DE10350752 A DE 10350752A DE 10350752 A1 DE10350752 A1 DE 10350752A1
Authority
DE
Germany
Prior art keywords
dielectric
process gas
layer
copper
metallization
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE10350752A
Other languages
English (en)
Inventor
Michael Schrenk
Jürgen Dr. Holz
Alexander Dr.phil. Gschwandtner
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Priority to DE10350752A priority Critical patent/DE10350752A1/de
Priority to EP04804501A priority patent/EP1678746B1/de
Priority to PCT/EP2004/052594 priority patent/WO2005043623A2/de
Priority to CN200480032539XA priority patent/CN1875483B/zh
Publication of DE10350752A1 publication Critical patent/DE10350752A1/de
Priority to US11/414,414 priority patent/US20060252240A1/en
Priority to US12/497,949 priority patent/US20090269914A1/en
Ceased legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02258Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by anodic treatment, e.g. anodic oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5223Capacitor integral with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

Erläutert werden Maßnahmen, die u. a. das direkte Aufbringen einer dielektrischen Schicht auf eine kupferhaltige Metallisierung ermöglichen. So werden zwei Prozessgase (26, 28) mit voneinander verschiedener Plasmaleistung je Substratfläche angeregt oder das eine Prozessgas (26) wird mit einem Plasma angeregt und das andere Prozessgas (28) wird nicht angeregt.

Description

  • Die Erfindung betrifft ein Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung, mit den Schritten:
    • – Erzeugen einer Metallisierung auf einem Substrat, wobei die Metallisierung als einen Metallisierungsbestandteil Kupfer enthält, insbesondere aus Kupfer besteht,
    • – Heranführen mindestens zweier Prozessgase,
    • – Ausbilden eines Dielektrikum (110) mit mindestens zwei Arten von Bestandteilen, die aus voneinander verschiedenen Prozessgasen (26, 28) stammen.
  • Die vorrangigen elektrischen Eigenschaften eines Dielektrikums sind u.a.:
    • – der Leckstrom bzw. der Kriechstrom,
    • – die Durchbruchspannung,
    • – die Zuverlässigkeit.
  • Aus der europäischen Offenlegungsschrift EP 1 130 654 A1 ist eine Kondensatoranordnung bekannt, bei der eine zusätzliche Maßnahme darin besteht, eine metallisch leitende Barriereschicht auf einer Kupfermetallisierung aufzubringen, bevor das Dielektrikum erzeugt wird. Mit dem Aufbringen und Strukturieren der metallisch leitenden Barriereschicht sind zusätzliche Verfahrensschritte verbunden. Außerdem leitet die Barriereschicht weniger gut als die Metallisierung, so dass die elektrischen Eigenschaften des Kondensators herabgesetzt werden. Im übrigen erfüllen leitende Barriereschichten ihre Barrierefunktion nicht immer vollständig.
  • Es ist Aufgabe der Erfindung ein einfaches Verfahren zum Ausbilden eines Dielektrikums auf einer Metallisierung an zugeben. Außerdem soll eine Kondensatoranordnung angegeben werden.
  • Die auf das Verfahren bezogene Aufgabe wird durch die im Patentanspruch 1 angegebenen Verfahrensschritte gelöst. Weiterbildungen sind in den Unteransprüchen angegeben.
  • Zusätzlich zu den eingangs genannten Verfahrensschritten werden bei dem erfindungsgemäßen Verfahren die folgenden Verfahrensschritte ausgeführt:
    Ausbilden des Dielektrikums (110) angrenzend an die Metallisierung (102),
    wobei die beiden Prozessgase (26, 28) mit voneinander verschiedener Plasmaleistung je Substratfläche angeregt werden oder wobei das eine Prozessgas (26) mit einem Plasma angeregt wird und das andere Prozessgas (28) nicht angeregt wird.
  • Durch diese Verfahren wird die frühzeitige Zersetzung des nicht oder nur schwach angeregten Prozessgases verhindert. Diese Zersetzung würde die Bildung eines hochwertigen Dielektrikums auf Kupfer verhindern oder erheblich stören. Andererseits ist die starke Anregung des anderen Prozessgases ebenfalls eine Voraussetzung für die Bildung eines hochqualitativen Dielektrikums auf Kupfer.
  • Bei einer Weiterbildung werden die folgenden Schritte ausgeführt;
    • – Verwenden mindestens eines problematischen bzw. kritischen Prozessgases das selbst oder ein Bestandteil dessen mit mindestens einem Metallisierungsbestandteil ohne zusätzliche Maßnahmen bzw. bei Überschreitung einer Grenzplasmaleistung je freiliegender Substratfläche eine Nebenphase bilden würde, welche die elektrischen Eigenschaften eines Dielektrikums erheblich beeinträchtigen würde. Die Grenzplasmaleistung wird bezüglich des problematischen Prozessgases nicht überschritten und bleibt bspw. unter 0,1 W/cm2 oder 0,5 W/cm2 Substrat fläche, wobei auf die von außen angelegte Leistung bezug genommen wird.
  • Bei einer Weiterbildung werden die folgenden Schritte durchgeführt:
    • – Ausbilden des Dielektrikums angrenzend an die Metallisierung, wobei das Dielektrikum mindestens eine Art problematischer Bestandteile enthält, die aus einem problematischen Prozessgas stammen, und wobei das Dielektrikum mindestens eine Art unproblematischer Bestandteile enthält, die aus mindestens einem unproblematischen Prozessgas des Prozessgasgemisches stammen,
    • – wobei, das Verhältnis des problematischen Prozessgases zu dem unproblematischen Prozessgas so eingestellt wird, dass das Verhältnis der Anzahl der problematischen Verbindungs-Bestandteile im Prozessgasgemisch und der Anzahl der unproblematischen Verbindungs-Bestandteile im Prozessgasgemisch kleiner als 10 Prozent oder kleiner als 0,1 Prozent des Verhältnisses der Anzahl der problematischen Verbindungs-Bestandteile im Dielektrikum und der unproblematischen Verbindungs-Bestandteile im Dielektrikum ist. Ein Verbindungsbestandteil ist bspw. Silizium. Der andere Verbindungsbestandteil ist bspw. Stickstoff.
  • Bei dieser Weiterbildung des erfindungsgemäßen Verfahrens ist der Anteil der problematischen Bestandteile im Prozessgasgemisch insbesondere unterstöchiometrisch im Vergleich zum Anteil der problematischen Bestandteile im Dielektrikum, so dass auf Grund der Unterstöchiometrie bereits die Bildung der störenden Nebenphase reduziert ist.
  • Die untere Grenze für den Anteil der problematischen Bestandteile wird durch die benötigten Wachstumsraten festgelegt. Vorzugsweise sind die genannten Prozentzahlen größer als 0,01 Prozent oder größer als 0,001 Prozent.
  • Enthält das Dielektrikum mehrere problematische Bestandteile, so muss die genannte Bedingung für jeden problematischen Bestandteil eingehalten werden, um die Bildung der Nebenphase zu verhindern.
  • Bei einer Weiterbildung wird das Dielektrikum mit Hilfe eines Abscheideverfahrens erzeugt, bei dem die Prozessgase voneinander getrennt herangeführt werden, wobei mit dem Heranführen von unproblematischem Prozessgas begonnen wird. Die Weiterbildung beruht auf der Überlegung, dass das unproblematische Prozessgas auf der Metallisierung eine dünne Schutzschicht bildet, die die Bildung von störenden Nebenphasen beeinträchtigt oder verhindert. Bei dem Verfahren bildet sich in jedem Zyklus nur eine Atomlage oder nur wenige Atomlagen, weshalb das Verfahren auch als Atomlagen-Abscheidung (Atomic Layer Deposition – ALD) bezeichnet wird. In weiteren Zyklen wird die Schutzwirkung im Vergleich zum ersten Zyklus immer mehr verstärkt, so dass bei einer Ausgestaltung auch wieder andere Abscheideverfahren verwendet werden.
  • Bei der Weiterbildung ist die getrennte Heranführung der Prozessgase auch deshalb besonders wichtig, damit keine Reaktionsprodukte unkontrolliert Ausflocken und zu inhomogenen Atomlagen führen.
  • Bei beiden Alternativen wird die Metallisierung unmittelbar vor dem Erzeugen des Dielektrikums in einem Reinigungsschritt gereinigt, bspw. durch Rücksputtern oder durch einen nasschemischen Reinigungsschritt.
  • Bei der Erfindung wird das Dielektrikum ohne zwischen dem Dielektrikum und der unteren Elektrode angeordnete zusätzliche Barriereschicht aufgebracht. Dies ermöglicht neue Integrationskonzepte, die erheblich einfacher als bisherige Konzepte sind und die unten an Hand der Ausführungsbeispiele näher erläutert werden, insbesondere ein sogenanntes POWER-LIN-Konzept bei dem lineare Kondensatoren ohne zusätzlichen fotolithografischen Schritt zwischen Betriebsspannungsleitungen aus Kupfer in Kupfermetallisierungslagen angeordnet werden. Auch ein sogenanntes PAD-LIN-CAP-Konzept wird möglich, bei dem Kondensatoren ohne zusätzlichen fotolithografischen Schritt zwischen der letzten Kupfer-Metallisierungslage und einer darüber liegenden Aluminiumlage ausgebildet werden, wobei die Aluminiumlage zum Bonden dient.
  • Das erfindungsgemäße Verfahren wird auch zur Erzeugung von Dielektrika für andere Anwendungszwecke als Kondensatoren eingesetzt.
  • Bei einer Weiterbildung wird das Dielektrikum, d.h. ein elektrisch nicht leitfähiges Material, aus einem Material ausgebildet, das eine Diffusionsbarriere für Kupfer ist und dass der Elektromigration von Kupfer entgegenwirkt. Zusätzliche Schichten zum Erbringen dieser Wirkungen werden nicht abgeschieden, insbesondere keine elektrisch leitfähigen Barriereschichten. Siliziumnitrid ist ein besonders geeignetes Material, da es einfach hergestellt werden kann und sich gut mit den anderen gebräuchlichen Materialien für Halbleiterschaltungen verträgt. Zur Herstellung von Siliziumnitrid wird ein siliziumhaltiges Prozessgas verwendet, das auf Grund des Siliziumanteils problematisch ist. So könnte sich ohne die zusätzliche Maßnahme verstärkt ein Silizid als störende Nebenphase bilden, insbesondere Kupfersilizid. Geeignete siliziumhaltige Prozessgase sind Silan, Disilan, Dichlorsilan, Trichlorsilan, Bis(tertbutylamino)silan bzw. BTBAS oder einem Gasgemisch als mindestens zwei dieser Gase.
  • Bei einer Weiterbildung beträgt der Metallisierungsanteil des Kupfers mindestens neunzig Volumenprozent der Metallisierung. Eine direkte Abscheidung eines Dielektrikums auf Kupfer ist erst durch die erfindungsgemäßen Verfahren auf einfache Weise möglich.
  • Die Erfindung betrifft bei einer Ausgestaltung Verfahren zum Ausbilden eines Dielektrikums auf einer Metallisierung, bei dem die Prozessgase, aus denen die Bestandteile des Dielektrikums stammen, so ausgewählt worden sind, dass weder diese Prozessgase noch deren Bestandteile mit dem Kupfer einer Metallisierung eine Nebenphase bilden, welche die elektrischen Eigenschaften des Dielektrikums erheblich beeinträchtigen würde. Die Weiterbildung geht von der Überlegung aus, das die Bildung von störenden Nebenphasen auch durch eine geeignete Wahl des Materials des Dielektrikums und der Prozessgase verhindert werden kann. Auch bei dem Verfahren gemäß der Weiterbildung wird das Dielektrikum ohne zwischen dem Dielektrikum und der unteren Elektrode angeordnet zusätzliche Barriereschicht aufgebracht. Dies ermöglicht ebenfalls die oben angesprochenen neuen Integrationskonzepte. Aber auch hochqualitative Dielektrika für andere Einsatzzwecke als in Kondensatoren werden mit dem erfindungsgemäßen Verfahren hergestellt.
  • Bei einer Weiterbildung des Verfahrens wird ein Dielektrikum aus Aluminiumnitrid erzeugt. Als Prozessgase werden insbesondere Trimethylaluminium und ein stickstoffhaltiges Gas verwendet. Damit sind weder im Dielektrikum noch im Prozessgas problematische Bestandteile wie Sauerstoff oder Silizium enthalten, die zur Bildung von störenden Nebenphasen führen. Insbesondere kann sich kein Kupfersilizid und kein Kupferoxid bilden.
  • Bei einer anderem Weiterbildung mit der genannten Materialauswahl wird das Dielektrikum mit Hilfe eines Abscheideverfahrens erzeugt, bei dem die Prozessgase mit Bestandteilen zur Bildung des Dielektrikums voneinander getrennt herangeführt werden, vorzugsweise zyklisch, insbesondere in mindestens fünf oder mindestens zehn Zyklen. Dieses Verfahren wird als Atomlagenabscheidung bezeichnet und führt zu Dielelektrikumschichten mit besonders gleichmäßiger Schichtdicke, insbesondere im Vergleich zu anderen Abschiedverfahren. Bspw. lässt sich Aluminiumnitrid mit der Atomlagenabscheidung in hinreichend gleichmäßiger Schichtdicke abscheiden. Die Dicke des Dielektrikums bzw. des Dielektrikumstapels liegt vorzugsweise im Bereich von drei Nanometern bis fünfzig Nanometern.
  • Bei einer Weiterbildung wird ein Prozessgas mit einem Bestandteil, der auch im Dielektrikum enthalten ist, im Vergleich zu mindestens einem anderen Prozessgas, insbesondere im Vergleich zu einem Prozessgas mit einem Bestandteil, der auch im Dielektrikum enthalten ist, weniger stark angeregt. Insbesondere wird das problematische Prozessgas weniger stark angeregt. Dadurch wird erreicht, dass die Bildung der Nebenphasen nicht nur durch die verringerte Konzentration der problematischen Bestandteile sondern auch durch deren verringerten Anregungszustand besonders wirksam verhindert wird. Die zusätzlich Anregung des unproblematischen Gases führt andererseits dazu, dass die problematischen Bestandteile vorrangig mit den angeregten Bestanteilen unter Bildung des Dielektrikums reagieren.
  • Bei einem Atomlagenabscheidungsverfahren führt die Aktivierung des einen Prozessgases zu verstärkten Wechselwirkungen mit der Oberfläche der Metallisierung, insbesondere zu einer gleichmäßigen Anlagerung von Bestandteilen, die dann beim Einlassen des anderen Prozessgase das Dielektrikum bilden.
  • Außerdem würde sowohl bei einer CVD Abscheidung (Chemical Vapor Deposition) als auch bei einer Atomlagenabscheidung eine zu starke Anregung von bestimmten Prozessgasen, bspw. von siliziumhaltigen Gasen, zu der frühzeitigen Zersetzung und damit verbunden auch zu einer unerwünschten Abscheidung führen, bspw. von amorphem bzw. polykristallinem Silizium in der Anregungskammer, bspw. in einer Vorkammer.
  • Bei einer Weiterbildung wird das stärker angeregte Prozessgas getrennt von dem weniger stark oder nicht angeregten Prozessgas angeregt, vorzugsweise in einer von einer Reaktionskammer getrennten Kammer. Verfahren mit getrennter Anregungskammer werden auch als Remote-Plasma-Verfahren bezeichnet. Jedoch wird bei der Atomlagenabscheidung auch die Reaktionskammer zur Anregung verwendet, da sich die Prozessgase zu verschiedenen Zeiten in der Reaktionskammer befinden. Zur Anregung ist insbesondere ein Plasma geeignet, das bspw. durch induktive Einkopplung, durch kapazitive Einkopplung oder auf andere Art erzeugt wird.
  • Bei einer Weiterbildung ist das Dielektrikum das Dielektrikum eines Kondensators, insbesondere eines Kondensators mit zwei metallischen Elektroden, zwischen denen das Dielektrikum angeordnet ist. Bei einer nächsten Weiterbildung wird das gesamte Dielektrikum des Kondensators mit einem erfindungsgemäßen Verfahren oder einer seiner Weiterbildungen und damit mit einer kleinen Anzahl von verschiedenen Verfahrensschritten hergestellt.
  • Bei einer alternativen Weiterbildung wird das Dielektrikum als Schichtstapel hergestellt. So wird nach einem erfindungsgemäßen Verfahren mindestens eine weitere dielektrischen Schicht angrenzend an die Dielektrikumschicht erzeugt, wobei die weitere Schicht eine andere Materialzusammensetzung hat und/oder mit einem anderen Verfahren und/oder mit anderen Prozessparametern erzeugt wird als die Dielektrikumschicht. Nachdem die Bildung von Nebenphasen anfangs verhindert worden ist, wirkt das bereits aufgebrachte Dielektrikum als Schutzschicht. Materialien mit einer größeren relativen Dielektrizitätskonstante als das zuerst aufgebrachte Dielektrikum lassen sich unproblematisch aufbringen, z.B. Aluminiumoxid, insbesondere Aluminiumtrioxid Al2O3, Aluminiumoxynitrid, Tantaloxid, insbesondere Tantalpentoxid Ta2O5, Tantaloxynitrid, Hafniumoxid, Bariumstrontiumtitanat o.ä. Aluminiumoxide lassen sich besonders einfach ausgehend von einer Aluminiumnitridschicht bilden. Insbesondere kommen aber auch die Materialien Aluminiumnitrid und Siliziumnitrid zum Ein satz, sowohl bei einer Grundschicht aus Aluminiumnitrid aber auch bei einer Grundschicht aus Siliziumnitrid.
  • Im ersten Abscheidungsschritt wird bspw. eine Abscheidebedingung gewählt, welche insbesondere keine Nebenphasen erzeugt und ein gute Barriereschicht ergibt, z.B. mit einer Dicke von 5 bis 10 nm. Anschließend wird in einem zweiten Abscheideschritt die Abscheidung auf beste Dielektrikumseigenschaften optimiert, bspw. auf ein stöchiometrisches Verhältnis der Verbindungsbestandteile im Dielektrikum.
  • Überraschender Weise wurde bei einer Weiterbildung festgestellt, dass sich die elektrischen Eigenschaften des Dielektrikums des Kondensators weiter verbessern, wenn auch ein obere Schicht des Dielektrikumstapel mit einem erfindungsgemäßen Verfahren oder einer seiner Weiterbildungen ausgebildet wird.
  • Die Erfindung betrifft außerdem eine integrierte Kondensatoranordnung, insbesondere eine mit einem erfindungsgemäßen Verfahren hergestellte Kondensatoranordnung. Damit gelten die oben genannten technischen Wirkungen auch für die Kondensatoranordnung.
  • Im Folgenden werden Ausführungsbeispiele der Erfindung an Hand der beiliegenden Zeichnungen erläutert. Darin zeigen:
  • 1 eine Anlage zum Durchführen eines RPE-CVD Si3N4 Verfahrens,
  • 2 Verfahrensschritte bei der Durchführung eines RPE-ALCVD Si3N4 Verfahrens bzw. eines RPE-ALCVD AlN Verfahrens,
  • 3 eine Kondensatoranordnung, die mit zwei zusätzlichen Maskenschritten erzeugt worden ist,
  • 4 eine Kondensatoranordnung, die mit einem zusätzlichen Maskenschritten erzeugt worden ist, und
  • 5 eine Kondensatoranordnung, die keinen zusätzlichen Maskenschritten benötigt.
  • 1 zeigt einen Prozessreaktor 10 mit dessen Hilfe sich u.a. ein RPE-CVD (Remote Plasma Enhanced Chemical Vapor Deposition) Si3N4 Verfahren durchführen lässt. Jedoch lassen sich mit dem Prozessreaktor 10 auch die unten an Hand der 2 erläuterten Atomic-Layer-Verfahren durchführen.
  • Der Prozessreaktor 10 enthält eine Prozesskammer 15, in der auf einer Substrat-Elektrode 11 ein zu beschichtendes Substrat 12 angeordnet ist, bspw. ein Halbleiterwafer. Oberhalb der zu beschichtenden Oberseite des zu beschichtenden Substrates 12 ist an der Decke der Prozesskammer 15 eine Einlass-Elektrode 14 angeordnet, die eine Vielzahl von kleinen Durchtrittsöffnungen für die Prozessgase hat.
  • Zwischen den Elektroden 11 und 14 wird eine Hochfrequenzspannung angelegt, wenn ein Plasma in der Prozesskammer erzeugt werden soll, bspw. im Verlauf der unten an Hand der Figuren zwei erläuterten Verfahren.
  • Ist dagegen eine separate Zersetzung und Anregung von Prozessgasen erforderlich, so lassen sich die Prozessgase über Zuleitungen 17 getrennt zuführen. Jeder Zuleitung 17 ist eine Energiequelle 16 zugeordnet, bspw. ein Mikrowellensender, mit deren Hilfe in der betreffenden Zuleitung ein Plasma 16a gezündet werden kann. Die Zuleitungen 17 münden in einer Vorkammer 13, die über die Durchtrittsöffnungen in der Einlass-Elektrode 14 mit der Prozesskammer 15 verbunden ist.
  • Soll nur ein Prozessgas angeregt werden, so ist eine Zuleitung 17 und eine Energiequelle ausreichend. Die Zuleitungen werden bspw. aus Keramikmaterial hergestellt.
  • Außer Prozessgasen werden bei anderen Ausführungsbeispielen auch inerte Gase in den Zuleitungen 17 angeregt, bspw. Argon oder Helium. Zum Heranführen von nicht zur Anregung vorgesehenen Prozessgasen wird eine Zuführung 18 verwendet, die ebenfalls in die Vorkammer 13 mündet. Reaktionsprodukte und nicht verbrauchte Prozessgase werden mit Hilfe einer Pumpe 20 aus der Prozesskammer 15 abgesaugt.
  • Bspw. werden die Folgenden Betriebsparameter verwendet:
    • – Mikrowellenleistung einer Energiequelle 16 zwischen 700 und 850 Watt,
    • – Druck in der Prozesskammer 15 zwischen 5 Pa und 100 Pa,
    • – Hochfrequenzleistung zwischen 0,02 bis 0,1 W/cm2,
    • – stickstoffhaltiger Gasfluss 200 bis 400 sccm/min,
    • – Silanfluss 10 bis 30 sccm/min.
  • Zur Abscheidung von Siliziumnitrid nach dem erfindungsgemäßen Verfahren wird bspw. Stickstoff durch die Zuleitungen 17 eingelassen und mit Hilfe der Remote-Plasma 16a angeregt, siehe Pfeile 22. Silan SiH4 wird durch die Zuleitung 18 ohne Anregung eingeleitet, siehe Pfeil 24. Angeregte Stickstoffradikale 26 und Silanmoleküle 28 reagieren auf der heißen Oberfläche des Substrates 12 zu Siliziumnitrid bei Temperaturen zwischen 200 °C und 500 °C. In der Prozesskammer 15 wird bei dem Ausführungsbeispiel kein Plasma gezündet. Bei einer Ausgestaltung wird in der Prozesskammer 15 ein leistungsschwaches Plasma mit der genannten Hochfrequenzleistung gezündet, so dass auch das Silan schwach angeregt wird.
  • Das Verhältnis zwischen Silan und Stickstoff, wird so eingestellt, wie in der Beschreibungseinleitung angegeben, um die Bildung von Kupfersilizid zu vermeiden.
  • 2 zeigt Verfahrensschritte bei der Durchführung eines RPE-ALCVD (Remote Plasma Enhanced Atomic Layer Chemical Vapor Deposition) Si3N4 Verfahrens bzw. eines RPE-ALCVD AlN Verfahrens. Zur Durchführung der Verfahren wird bspw. der Prozessreaktor 10 verwendet.
  • Zunächst wird das RPE-ALCVD Si3N4 Verfahren erläutert. Das Verfahren beginnt in einem Verfahrensschritt 50 mit einem Vorreinigungsschritt, z.B. einem Rücksputterschritt. In einem dem Verfahrensschritt 50 nachfolgenden Verfahrensschritt 52 wird anschließend über die Zuleitungen 17 angeregtes Stickstoffgas in die Prozesskammer eingleitet, wobei in der Prozesskammer 15 kein weiteres Prozessgas enthalten ist, insbesondere kein siliziumhaltiges Prozessgas.
  • Danach wird in einem folgende Verfahrensschritt 54 die Prozesskammer 15 mit einem inerten Gas gespült, bspw. mit Argon. Das Argon wird bspw. durch eine nicht dargestellte Zuleitung in die Prozesskammer eingeleitet. Reste des stickstoffhaltigen Gases werden mit Hilfe der Pumpe 10 vollständig aus der Prozesskammer 15 abgesaugt.
  • In einem nächsten Verfahrensschritt 56 wird nach dem Spülen ein silanhaltiges Prozessgas, z.B. Dichlorsilan, über die Zuleitung 18 eingeleitet, wobei wiederum kein weiteres Prozessgas in der Prozesskammer 15 enthalten ist. Das Dichlorsilan reagiert mit Stickstoff, der sich an der Oberfläche des Substrates 12 im Verfahrensschritt 52 angelagert hat zu einer einlagigen Schicht aus Siliziumnitrid. Das silanhaltige Prozessgas wird nicht angeregt. Bei einem anderen Ausführungsbeispiel wird das silanhaltige Prozessgas schwach angeregt.
  • Nach dem Verfahrensschritt 56 wird in einem Verfahrensschritt 58 wieder gespült. Dabei wird so vorgegangen, wie oben für den Verfahrensschritt 54 erläutert.
  • Ist das Dichlorsilan vollständig aus der Reaktionskammer 15 abgesaugt, so wird in einem Verfahrensschritt 60 geprüft, ob die vorgegebene Zyklenanzahl erreicht sind. Im Ausführungsbeispiel sollen 30 Zyklen durchlaufen werden, wobei sich eine Schichtdicke von bspw. drei Nanometern ergibt. Sollen weitere Zyklen ausgeführt werden, so folgt nach dem Verfahrensschritt 60 unmittelbar der Verfahrensschritt 52. Das Verfahren befindet sich nun in einer Schleife aus den Verfahrensschritten 52 bis 60, bei deren Durchlaufen abwechselnd Stickstoff und Dichlorsilan in die Prozesskammer 15 eingeleitet werden, so dass mehrere Lagen Siliziumnitrid auf dem Substrat 12 gebildet werden.
  • Die Schleife aus den Verfahrensschritten 52 bis 60 wird im Verfahrensschritt 60 erst dann verlassen, wenn die vorgegebene Zyklenanzahl erreicht worden ist. Ist die vorgegebene Zyklenanzahl erreicht, so folgt unmittelbar nach dem Verfahrensschritt 60 ein Verfahrensschritt 62, in dem das Verfahren zum Erzeugen des Dielektrikums beendet wird. Optional werden weitere Schichten eines Dielektrikumstapel aus voneinander verschiedenen Schichten mit anderen Verfahren oder mit anderen Prozessparametern erzeugt.
  • Durch das an Hand der 2 erläuterte Verfahren lässt sich eine mehrlagige Siliziumnitridschicht in guter Qualität bei Temperaturen im Bereich von 200 bis 500 Grad Celsius abscheiden.
  • Im folgenden wird das RPE-ALCVD AlN Verfahren erläutert, das bis auf die folgenden Unterschiede wie das RPE-ALCVD Si3N4 Verfahren durchgeführt wird:
    • – an Stelle des silanhaltigen Prozessgases wird im Verfahrensschritt 56 ein Aluminiumhaltiges Prozessgas über die Zuleitung 18 eingeleitet, z.B. Trimethylaluminium.
  • Es lässt sich eine mehrlagige Aluminiumnitridschicht in guter Qualität herstellen, d.h. mit geringer Defektdichte und hoher Barrierewirkung.
  • Bei anderen Ausführungsbeispielen wird anschließend mindestens eine weitere Dielektrikumschicht eines Dielektrikumstapels erzeugt, wobei jedoch ein herkömmliches Verfahren verwendet wird. Sehr gute Ergebnis wurden mit einem Schichtstapel erreicht, der in der angegebenen Reihenfolge eine RPE-CVD Si3N4- Schicht, eine ALD-Schicht (Atomic Layer Deposition) aus Al2O3 und eine RPE-CVD Si3N4- Schicht enthält.
  • 3 zeigt eine Kondensatoranordnung 100, die mit zwei zusätzlichen Maskenschritten erzeugt worden ist. Die Kondensatoranordnung 100 enthält eine Bodenelektrode 102 aus Kupfer bzw. aus einer Kupferlegierung mit einem Legierungsanteil anderer Stoffe als Kupfer von weniger als fünf Prozent. Die Bodenelektrode 102 ist in einer ebenen Metallisierungslage 104 enthalten. Die Metallisierungslage 104 wird durch eine Diffusions-Barrierenschicht 106 abgeschlossen, die mit einem üblichen Verfahren abgeschieden worden ist. Obwohl in 3 nicht dargestellt, ist die Bodenelektrode 102 allseitig von einer Barriereschicht umgeben.
  • Die Kondensatoranordnung enthält außerdem in einer substratferneren Metallisierungslage 108 mit zunehmendem Abstand vom Substrat:
    • – eine elektrisch isolierende Dielektrikumschicht 110 aus Siliziumnitrid Si3N4 oder aus Aluminiumnitrid AlN, bzw. aus einem Schichtstapel,
    • – eine elektrisch leitfähige Deckelektrode 112, bspw. aus Titannitrit TiN, Tantalnitrid TaN o.ä.,
    • – eine Siliziumnitridschicht Si3N4.
  • Die Metallisierungslage 108 wird durch eine elektrisch isolierende Barriereschicht 120 abgeschlossen. Eine über der Metallisierungslage 108 angeordnete Metallisierungslage 122 enthält eine Leitbahn 124, z.B. ein Kupferleitbahn. Von der Leitbahn 124 führt ein Via 126 zur Deckelektrode 112. Die Metallisierungslagen 104, 108 und 122 enthalten jeweils ein Intralagendielektrikum 130, 132 bzw. 134 zur elektrischen Isolierung von Leitbahnen innerhalb einer Metallisierungslage 104, 108 und 122. Bspw. wird Siliziumdioxid oder ein low-k-Dielektrikum als Material für das Intralagendielektrikum 130, 132 bzw. 134 verwendet.
  • Bis zum Aufbringen der Barriereschicht 106 wird wie bisher üblich vorgegangen. Anschließend wird jedoch eine erste Teilschicht des Intralagendielektrikums 132 aufgebracht, z.B. in einer Schichtdicke, die kleiner als ein Drittel der endgültigen Dicke des Intralagendielektrikums 132 ist. In einem ersten zusätzlichen fotolithografischen Schritt wird die Lage einer Aussparung 140 festgelegt, in der der Kondensator 100 erzeugt werden soll. Die Aussparung 140 wird nach dem Belichten und Entwickeln eines Resists geätzt, bspw. mit eine RIE-Verfahren (Reaktiv Ion Etching). Die Aussparung 140 durchdringt nach dem Ätzen die erste Teilschicht des Intralagendielektrikum 132 und die Barriereschicht 106, so dass der Boden der Aussparung 140 auf der Bodenelektrode 102 liegt. Die Bodenelektrode 102 ragt allseitig über den Boden der Aussparung 140 hinaus.
  • Anschließend wird die Dielektrikumschicht 110 mit einem der an Hand der 1 und 2 erläuterten Verfahren ganzflächig abgeschieden. Gegebenenfalls werden danach weitere Teilschichten der Dielektrikumschicht 110 aus anderen Materialien oder mit anderen Verfahren erzeugt.
  • Danach wird die Deckelektrodenschicht 112 ganzflächig abgeschieden. Optional folgt die ganzflächig Abscheidung der Siliziumnitridschicht 114. Die Abscheidung der Schichten 110 bis 114 ist konform.
  • Danach wird ein zweiter zusätzlicher fotolithografischer Schritt zum Festlegen der Lage des Randes der Deckelektrode 112 durchgeführt. Nach dem Belichten und Entwickeln eines Resists wird geätzt, wobei auf der unteren Teilschicht des Intralagendielektrikums 132 gestoppt wird. Im Ausführungsbeispiel liegt der Rand der Deckelektrode 112 vollständig außerhalb der Aussparung 140 und hat einen Umriss, der dem Umriss der Bodenelektrode 102 entspricht.
  • Anschließend wird die noch fehlende Teilschicht des Intralagendielektrikum 132 abgeschieden. Nach einem optionalen Planarisierungsschritt wird dann gemäß bekannter Verfahrenschrit te weiter prozessiert, wobei u.a. auch das Via 126 erzeugt wird.
  • 4 zeigt eine Kondensatoranordnung 200, die mit nur einem zusätzlichen Maskenschritten erzeugt worden ist, in einem Querschnitt. Ein Substrat mit einer Vielzahl von Halbleiterbauelementen, z.B. von Transistoren, liegt unterhalb der dargestellten Anordnung. Eine untere, vorzugsweise ebene, Metallisierungslage 201 enthält zwischen nichtleitenden Diffusionsbarrieren 202 Leiterbahnen zum lateralen Stromtransport, z.B. eine Leiterbahn 203. Über ein Via 204 zum vertikalen Stromtransport ist die Leiterbahn 203 mit einer in einer zweiten Metallisierungslage 205 angeordneten unteren Elektrode 206 der Kondensatoranordnung 200 verbunden. Im Ausführungsbeispiel befindet sich in der Metallisierungsebene 205 links neben der Elektrode 206 eine Leitbahn 208. Die untere Elektrode 206 und die Leitbahn 208 sind in ein Zwischendielektrikum 209 eingebettet, um sie gegeneinander zu isolieren, bspw. in Siliziumdioxid. Ein Zwischendielektrikum 210 isoliert dagegen die Leitbahnen 203 der unteren Metallisierungslage 203 voneinander.
  • Auf der unteren Elektrode 206 ist ein Kondensatordielektrikum 211 angeordnet, bspw. ein einlagiges oder ein mehrlagiges Dielektrikum. Auf dem Zwischendielektrikum 211 ist eine obere Elektrode 212 angeordnet. Das Kondensatordielektrikum hat im Bereich der oberen Elektrode 212 eine dicke, die größer ist als die Dicke einer Barriereschicht 207, die in der gleichen Ebene wie das Kondensatordielektrikum 211 angeordnet ist.
  • Die obere Elektrode 212 und die Leitbahn 208 sind über Vias 213 mit Leitbahnen 214 in einer dritten Metallisierungslage 215 elektrisch leitfähig verbunden, die ein Zwischendielektrikum 216 enthält. Oberhalb der Metallisierungslage 215 befinden eine nichtleitende Diffusionsbarriere 217 und weitere Passivierungsschichten 218a und 218b.
  • Die Leitbahnen 203, 208, und 214, die untere Elektrode 206 und die Vias 204, 213 sind aus einer Kupferlegierung oder aus reinem Kupfer gefertigt, bspw. mit Hilfe eines dualen-Damaszen-Verfahrens. Dabei werden in die mit Kupfer zu füllenden Gräben bzw. Löchern zuvor bspw. leitende Barriereschichten 219, 220 bzw. 221 aus Titannitrid eingebracht.
  • Die Diffusionsbarrieren 202, 207, 217, das Kondensatordielektrikum 211 und die Passivierungsschicht 218b bestehen im Ausführungsbeispiel aus Siliziumnitrid Si3N4. Die Passivierungsschicht 218a besteht im Ausführungsbeispiel aus Siliziumdioxid.
  • Abweichungen vom bekannten dualen Damaszen-Verfahren ergeben sich bei der Herstellung des Kondensators 200. Nach dem Planarisieren der Metallisierungslage 205, bspw. mit einem chemisch mechanischen Polierverfahren, wird ganzflächig Siliziumnitrid für das Kondensatordielektrikum 211 und für die Diffusionsbarriere 207 abgeschieden. Dabei wird ein Verfahren verwendet, wie es oben an Hand der 1 und 2 erläutert worden ist. Bei einem alternativen Ausführungsbeispiel wird an Stelle des Siliziumnitrids Aluminiumnitrid als Material für die Barriereschicht 207 und das Kondensatordielektrikum 211 verwendet und nach dem oben an Hand der 2 erläuterten Verfahren aufgebracht.
  • Nach dem Abscheiden des Materials für die Barriereschicht 207 bzw. für das Kondensatordielektrikum 211 wird ganzflächig eine metallische Schicht zur Ausbildung der Elektrode 212 abgeschieden, bspw. eine Titannitridschicht. Alternativ wird die Elektrode 212 als Schichtstapel ausgebildet. Anschließend wir ein zusätzlicher fotolithografischer Schritt zum festlegen des Randes der Elektrode 212 durchgeführt. Nach dem Belichten und Entwickeln eines Resists wird geätzt, wobei auf der Barriereschicht 207 mit leichter Überätzung gestoppt wird. Die weitere Prozessierung erfolgt wieder nach dem bekannten dualen Damaszen-Verfahren.
  • Bei einem alternativen Ausführungsbeispiel wird auf die Elektrode noch eine Siliziumnitridschicht aufgebracht, die u.a. bei der Ätzung der Vias 213 als Ätzstopp dient. An Stelle von mehren Vias zum Anschluss einer Elektrode 206 bzw. 212 wird bei einem anderen Ausführungsbeispiel nur ein Via verwendet. Auch die untere Elektrode 206 lässt sich mit mehreren Vias bzw. auch von "oben" her anschließen, d.h. von einer dem Halbleitersubstrat abgewandten Seite.
  • 5 zeigt Kondensatoranordnungen, die keinen zusätzlichen Maskenschritt benötigen. Eine integrierte Schaltungsanordnung 310 enthält in einem Siliziumsubstrat 312 eine Vielzahl integrierter Halbleiter-Bauelemente, die jedoch in 5 nicht dargestellt sind. Die im Siliziumsubstrat 312 angeordneten Bauelemente bilden zwei räumlich getrennte Bereiche, nämlich einen Analogteil 314 und einen Digitalteil 316. Im Analogteil 314 werden hauptsächlich analoge Signale bearbeitet, d.h. Signale, die einen kontinuierlichen Wertebereich haben. Im Digitalteil 316 werden dagegen hauptsächlich digitale Signale bearbeitet, d.h. Signale, die beispielsweise nur zwei Werte haben, die zwei Schaltzuständen zugeordnet sind.
  • Die Schaltungsanordnung 310 enthält oberhalb des Siliziumsubstrates 312 außerdem mindestens vier Metallisierungslagen, im Ausführungsbeispiel neun Metalllagen 320 bis 334, zwischen denen keine weiteren Metalllagen sondern Isolierschichten angeordnet sind. Die Metalllagen 320 bis 334 sind jeweils in einer Ebene angeordnet. Die Ebenen der Metalllagen 320 bis 334 sind parallel zueinander und auch parallel zur Hauptfläche des Siliziumsubstrats 312 angeordnet. Die Metalllagen 320 bis 334 erstrecken sich jeweils sowohl im Analogteil 314 als auch im Digitalteil 316.
  • Die untersten vier Metalllagen 320, 322, 324 und 326 enthalten im Analogteil 314 in der genannten Reihenfolge Verbindungsabschnitte 340, 342, 344 bzw. 346, welche Verbindungen zwischen den Bauelementen des Analogteils 314 bilden. In 5 sind eine Vielzahl von Leiterbahnen als Blöcke angedeutet. Selbstverständlich gibt es auch zwischen diesen Blöcken Leitbahnen für die Verbindung von Analogteil 314 und Digitalteil 316. Im Digitalteil 316 enthalten die Metalllagen 320, 322, 324 bzw. 326 in dieser Reihenfolge Verbindungsabschnitte 350, 352, 354 bzw. 356, die lokale Verbindungen zwischen den Bauelementen des Digitalteils 316 bilden. Die Verbindungsabschnitte 340 bis 356 haben senkrecht zum Substrat 312 eine Dicke D von beispielsweise 100 nm.
  • Die Metalllage 328 enthält im Analogteil 314 Verbindungsabschnitte 360, die Analogsignale führen und die Bauelemente des Analogteils 314 verbinden. Im Digitalteil 316 enthält die Metalllage 328 Verbindungsabschnitte 362, welche die Bauelemente des Digitalteils 316 verbinden und damit digitale Signale führen. Ebenso enthält die Metalllage 330 im Analogteil 314 Verbindungsabschnitte 364 für Analogsignale und im Digitalteil 316 Verbindungsabschnitte 366 für Digitalsignale.
  • Die Metalllage 331 enthält im Analogteil 314 einen Verbindungsabschnitt 367, der den Analogteil 314 ganzflächig bedeckt und zur Abschirmung des Analogteils 314 vor darüber liegenden Bauelementen dient. Im Digitalteil 316 enthält die Metalllage 331 dagegen Verbindungsabschnitte 368, die bspw. eine Betriebsspannung oder Massepotential führen. Die Verbindungsabschnitte 360 bis 368 haben die zweifache Dicke D.
  • Die Metalllagen 332 und 334 bilden die beiden obersten Metalllagen. Im Analogteil 314 enthält die Metalllage 332 eine Boden-Elektrode 370 eines Kondensator 372 mit linearer Übertragungsfunktion und einer Kapazität C1. Der Kondensator C1 dient der Bearbeitung von Analogsignalen, z.B. in einem Analog-/Digitalwandler. Eine Deck-Elektrode 374 des Kondensators 372 liegt in der Metalllage 334 oberhalb der Elektrode 370. Die Deckelektrode 374 ist mit einem Verbindungsabschnitt 375 in der Metalllage 332 verbunden.
  • Im Digitalteil 316 enthält die Metalllage 332 einen Verbindungsabschnitte 382, der ein Betriebspotential P1 von beispielsweise 2,5 Volt führt. Oberhalb des Verbindungsabschnittes 382 liegt ein Verbindungsabschnitt 386, der ein Massepotential P0 von 0 Volt führt. Zwischen den Verbindungsabschnitten 382 und 386 wird eine Kapazität C3 gebildet, die zu einem Blockkondensator gehört. Der Verbindungsabschnitt 386 ist über einen Verbindungsabschnitt 387 in der Metalllage 332 und Vias mit einem Verbindungsabschnitt 368 in der Metalllage 331 verbunden.
  • Zumindest die Metalllage 332 enthält kupferhaltiges elektrisch leitfähiges Material, so dass insbesondere die Boden-Elektrode 370 des Kondensators 372 und der Verbindungsabschnitt 382 kupferhaltig sind. Optional sind auch weitere Metalllagen 320 bis 334 kupferhaltig.
  • Die Größe der Kapazitäten C1 und C3 wird einerseits durch die Größe der sich überlappenden Elektroden 370 und 374 bzw. der überlappenden Verbindungsabschnitte 370 bis 386 bestimmt. Andererseits wird die flächenbezogene Kapazität zwischen den Verbindungsabschnitten 370 und 374 bzw. 382 und 386 durch die Ausbildung einer Zwischenlage 390 bestimmt, welche zwischen den Metalllagen 332 und 334 liegt. Die Zwischenlage 390 ist so ausgebildet, dass sich eine flächenbezogene Kapazität von bspw. größer 0,5 fF/μm2 ergibt.
  • Die Verbindungsabschnitte 370 bis 386 haben die vierfache Dicke D und sind damit insbesondere zum Leiten hoher Ströme geeignet, wie sie in Verbindungsabschnitten 382 und 386 zum Zuführen der Betriebsspannung auftreten.
  • Die Kapazität C3 wird aus elektrisch leitenden Abschnitten zweier Metallisierungslagen 332 und 334 gebildet, die beispielsweise keine Signale führen sondern ausschließlich zum Führen der Betriebsspannung verwendet werden. Insofern Signa le geführt werden, werden die Signalleitung mit gleichen Verlauf in beiden Metallisierungslagen ausgelegt.
  • Bei dem in 5 gezeigten Fall sind das im Fall des sogenannten "PAD-LIN-CAP"-Konzepts die obere Kupfer-Metallisierungslage und darauf eine Aluminium-Metallisierungslage, die mindestens 90 Volumenprozent Aluminium enthält. Die Aluminium-Metallisierungslage wird auch zum Bonden verwendet, siehe ein Bondpad 392 in der Metalllage 334 und eine Bondöffnung 394 in einer Passivierung 396. Das Bondpad 392 ist mit einem Verbindungsabschnitt 391 in der Metalllage 334 verbunden.
  • Das Dielektrikum 390 zwischen den beiden Metallisierungslagen 332 und 332 ist vorzugsweise ein Dielektrikum oder ein Dielektrikumstapel, der gemäß einem der oben erläuterten Verfahren hergestellt worden ist. Im mixed-signal-Teil 314 des Chips ergeben sich lineare Kondensatoren C1, deren Kapazität durch die Größe der Kupferplatte 370 bestimmt ist. An Leitungsüberkreuzungen im Digitalteil 316 ergeben sich ebenfalls Kondensatoren C3, die aber nicht parasitär sind und auch nicht stören, weil sie zur Stabilisierung der Versorgungsspannung beitragen. Da im mixed-signal-Teil 314 der Schaltung 310 des Chips in der Regel weniger Metallisierungslagen benötigt werden als im Digitalteil 316, kommt dieses Konzept ohne zusätzliche Maskenschritte aus.
  • Es ist ebenfalls möglich, das oben beschriebene Dielektrikum 390 bzw. den oben beschriebenen Dielektrikumstapel für das sogenannte "POWER-LIN-CAP"-Konzept zu verwenden. Dabei befindet sich das Dielektrikum 390 bzw. der Dielektrikumstapel zwischen den beiden letzten Kupfer-Metallisierungslagen. Die Aluminium-Metallisierungslage wird dann nicht mehr benötigt. Das Bonden erfolgt dann direkt auf Kupfer.
  • Zusammenfassend gilt, das insbesondere Hochfrequenzschaltungen in BIPOLAR-, BICMOS- (BIpolar Complementary Metal Oxide Semiconductor) und CMOS-Technologie (Complementary Metal Oxide Semiconductor) Kondensatoren mit hoher Flächenkapazität, z.B. höher als 0,7 fF/μm2, und mit niedrigen parasitären Kapazitäten benötigen. Die bisher eingesetzten konventionellen MOS- bzw. MIS-Kondensatoren zeigen als nachteilige Eigenschaften eine starke Spannungsabhängigkeit auf Grund spannungsinduzierter Raumladungszonen und hohe parasitäre Kapazitäten infolge des geringen Abstandes zum Substrat. Diese Probleme lassen sich durch den Einsatz von MIM-Kondensatoren (Metall Isolator Metall) umgehen, die möglichst ohne Veränderung und Beeinflussung der benachbarten Metallbahnen in die Metallisierung integriert werden sollen, insbesondere in eine mehrlagige Metallisierung. Auch sollen für das Einfügen der MIM-Kondensatoren möglichst wenige zusätzliche Verfahrensschritte erforderlich sein, insbesondere zusätzliche fotolithografische Schritte.
  • Um einen möglichst defektfreien Kondensator mit hoher Lebensdauer zu erhalten, ist die Wahl geeigneter Dielektrika-Grenzflächen von entscheidender Bedeutung. Insbesondere bei Kupfermetallisierungen führt das Aufbringen von gebräuchlichen Dielektrika ohne zusätzlich Maßnahmen zu nicht mehr akzeptablen Defektdichten bzw. zu einer verminderten Zuverlässigkeit. Für diese Defektdichten sind hauptsächlich Verunreinigungen des Dielektrikums durch Kupferdiffusion oder Nebenphasen sowie Kupferhillocks verantwortlich, welche zu Singularitäten in der Feldverteilung bzw. zu Feldspitzen führen. Diese Verunreinigungen und Kupferhillocks werden durch die erläuterten Verfahren zum Aufbringen des Dielektrikums verringert oder verhindert.
  • 10
    Prozessreaktor
    11
    Substrat-Elektrode
    12
    Substrat
    13
    Vorkammer
    14
    Einlass-Elektrode
    15
    Prozesskammer
    16
    Energiequelle
    16a
    Plasma
    17, 18
    Zuleitung
    20
    Pumpe
    22, 24
    Pfeil
    26
    Stickstoffradikal
    28
    Silanmolekül
    50
    Start
    52
    N* einleiten
    54
    Spülen
    56
    Dichlorsilan einleiten
    58
    Spülen
    60
    weitere Zyklen?
    62
    Ende
    100
    Kondensatoranordnung
    102
    Bodenelektrode
    104
    Metallisierungslage
    106
    Barriereschicht
    108
    Metallisierungslage
    110
    Dielektrikumschicht
    112
    Deckelektrode
    114
    Siliziumnitridschicht
    120
    Barriereschicht
    122
    Metallisierungslage
    124
    Leitbahn
    126
    Via
    130 bis 134
    Intralagendielektrikum
    140
    Aussparung
    200
    Kondensatoranordnung
    201
    Metallisierungslage
    202
    Diffusionsbarriere
    203
    Leitbahn
    204
    Via
    205
    Metallisierungslage
    206
    Elektrode
    207
    Barriereschicht
    208
    Leitbahn
    209, 210
    Zwischendielektrikum
    211
    Kondensatordielektrikum
    212
    Elektrode
    213
    Via
    214
    Leitbahn
    215
    Metallisierungslage
    216
    Zwischendielektrikum
    217
    Diffusionsbarriere
    218a, 218b
    Passivierungsschicht
    219 bis 221
    Barriereschicht
    310
    Schaltungsanordnung
    312
    Siliziumsubstrat
    314
    Analogteil
    316
    Digitalteil
    320 bis 334
    Metalllage
    340 bis 366
    Verbindungsabschnitt
    D
    Dicke
    370
    Elektrode
    372
    Kondensator
    374
    Elektrode
    380, 382
    Verbindungsabschnitt
    P0
    Massepotential
    P1, P2
    Betriebspotential
    C1 bis C3
    Kapazität
    390
    Zwischenlage
    391
    Verbindungsabschnitt
    392
    Bondpad
    394
    Bondöffnung
    396
    Passivierung

Claims (17)

  1. Verfahren zum Ausbilden eines Dielektrikums (110) auf einer Metallisierung (102), mit den Schritten: Erzeugen einer Metallisierung (102) auf einem Substrat, wobei die Metallisierung (102) als einen Metallisierungsbestandteil Kupfer enthält, Heranführen mindestens zweier Prozessgase (26, 28), Ausbilden des Dielektrikums (110) angrenzend an die Metallisierung (102), wobei das Dielektrikum (110) mindestens zwei Arten von Bestandteilen enthält, die aus voneinander verschiedenen Prozessgasen (26, 28) stammen, wobei die beiden Prozessgase (26, 28) mit voneinander verschiedener Plasmaleistung je Substratfläche angeregt werden oder wobei das eine Prozessgas (26) mit einem Plasma angeregt wird und das andere Prozessgas (28) nicht angeregt wird.
  2. Verfahren nach Anspruch 1, dadurch gekenn zeichnet, dass mindestens ein problematisches Prozessgas (28) oder ein Bestandteil eines problematischen Prozessgases (28) bei einer Plasmaanregung mit einer bestimmten flächenbezogenen Grenzplasmaleistung je Substratfläche mit dem Kupfer eine Nebenphase bilden würde, welche die elektrischen Eigenschaften eines Dielektrikums (110) erheblich beeinträchtigen würde, wobei ein unproblematisches Prozessgas mit Hilfe eines Plasmas angeregt wird, bei dessen Anregung die Grenzplasmaleistung je Substratfläche überschritten wird, und wobei das problematische Prozessgas nicht mit einem Plasma oder nur mit einem Plasma angeregt wird, bei dessen Anregung die Grenzplasmaleistung je Substratfläche nicht überschritten wird.
  3. Verfahren nach Anspruch 2, dadurch gekenn zeichnet, dass die Grenzplasmaleistung je Substratfläche 0,1 W/cm2 oder 0,5 W/cm2 Substratfläche beträgt, und/oder dass die Temperatur im Bereich von 200 Grad Celsius bis 400 Grad Celsius liegt.
  4. Verfahren nach einem der Ansprüche 1 bis 3, dadurch gekennzeichnet, dass das Dielektrikum (110) mindestens eine Art problematischer Bestandteile enthält, die aus einem problematischen Prozessgas (28) stammen, und wobei das Dielektrikum (110) mindestens eine Art unproblematischer Bestandteile enthält, die aus mindestens einem unproblematischen Prozessgas (26) des Prozessgasgemisches stammen, und dass das Verhältnis des problematischen Prozessgases (28) zu dem unproblematischen Prozessgas (26) so eingestellt wird, dass das Verhältnis der Anzahl der problematischen Bestandteile im Prozessgasgemisch (26, 28) und der Anzahl der unproblematischen Bestandteile im Prozessgasgemisch (26, 28) kleiner als 10 Prozent oder kleiner als 0,1 Prozent des Verhältnisses der Anzahl der problematischen Bestandteile im Dielektrikum (110) und der unproblematischen Bestandteile im Dielektrikum (110) ist.
  5. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Prozessgase als Prozessgasgemisch herangeführt werden, oder dass das Dielektrikum (110) mit Hilfe eines Abscheideverfahrens erzeugt wird, bei dem die Prozessgase (26, 28) voneinander getrennt an die Metallisierung (102) herangeführt werden, vorzugsweise zyklisch, insbesondere in mindestens zehn Zyklen, wobei vorzugsweise mit dem Heranführen von unproblematischem Prozessgas (26) begonnen wird (52).
  6. Verfahren nach einem der vorhergehenden Ansprüche, gekennzeichnet durch mindestens einen der folgenden Schritte: Ausbilden des Dielektrikums (110) aus einem Material, das eine Diffusionsbarriere für Kupfer ist, Ausbilden des Dielektrikums (110) aus einem Material, das der Elektromigration von Kupfer entgegenwirkt, Ausbilden des Dielektrikums (110) aus Siliziumnitrid, insbesondere aus Si3N4, oder aus einem Material, das Siliziumnitrid enthält, Heranführen eines siliziumhaltigen Prozessgases (28), insbesondere von Silan, Disilan, Dichlorsilan, Trichlorsilan, Bis(tertbutylamino)silan oder einem Gasgemisch aus mindestens zwei dieser Gase, Heranführen eines stickstoffhaltigen Gases (26), insbesondere von Stickstoff, Ammoniakgas oder einem Gemisch dieser Gase.
  7. Verfahren nach einem der Ansprüche 1 bis 5, gekennzeichnet durch mindestens einen der folgenden Schritte: Ausbilden des Dielektrikum (110) aus einem Material, das eine Diffusionsbarriere für Kupfer ist, Ausbilden des Dielektrikum (110) aus einem Material, das der Elektromigration von Kupfer entgegenwirkt, Ausbilden des Dielektrikum (110) aus Aluminiumnitrid, oder aus einem Material, das Aluminiumnitrid enthält, Heranführen eines aluminiumhaltigen Prozessgases, insbesondere von Trimethylaluminium, Heranführen eines stickstoffhaltigen Gases, insbesondere von Stickstoff, Ammoniakgas oder einem Gemisch dieser Gase.
  8. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass der Metallisierungsanteil mindestens fünf Volumenprozent oder mindestens vierzig Volumenprozent oder mindestens neunzig Volumenprozent der Metallisierung beträgt.
  9. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass das stärker angeregte Prozessgas (26) getrennt von dem weniger stark oder nicht angeregten Prozessgas (28) angeregt wird, vorzugsweise in einer von einer Reaktionskammer (15) getrennten Kammer (13).
  10. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass das Dielektrikum (110) das Dielektrikum (110) eines Kondensators (100) ist, insbesondere eines Kondensators (100) mit zwei metallischen Elektroden (102, 112), zwischen denen das Dielektrikum (110) angeordnet ist.
  11. Verfahren nach Anspruch 10, dadurch gekennzeichnet, dass das gesamte Dielektrikum (110) des Kondensators (100) mit einem Verfahren nach einem der Ansprüche 1 bis 9 hergestellt wird.
  12. Verfahren nach einem der Ansprüche 1 bis 10, gekennzeichnet durch die Schritte: Ausbilden einer Dielektrikumschicht (110) mit einem Verfahren nach einem der Ansprüche 1 bis 10, anschließend Ausbilden mindestens einer weiteren dielektrischen Schicht angrenzend an die Dielektrikumschicht, wobei die weitere Schicht eine andere Materialzusammensetzung hat und/oder mit einem anderen Verfahren und/oder mit anderen Prozessparametern erzeugt wird als die Dielektrikumschicht (110), vorzugsweise Ausbilden der weiteren Schicht durch eine Oxidation, insbesondere eine anodische Oxidation.
  13. Verfahren nach Anspruch 12, gekennzeichnet durch den Schritt Ausbilden einer dielektrischen Schicht mit einem Verfahren nach einem der Ansprüche 1 bis 10 nach dem Ausbilden der weiteren Schicht, insbesondere angrenzend an die weitere Schicht.
  14. Verfahren nach Anspruch 12 oder 13, dadurch gekennzeichnet, dass die weitere Schicht eine relative Dielektrizitätskonstante größer sieben hat, und insbesondere ein Oxid enthält, vorzugsweise Aluminiumoxid, Tantaloxid oder Hafniumoxid enthält.
  15. Integrierte Kondensatoranordnung (100), mit einer Elektrode (102), die Kupfer enthält, mit einer weiteren Elektrode (112), und mit einer zwischen den Elektroden (102, 112) angeordneten dielektrischen Schicht (110), dadurch gekennzeichnet, dass die dielektrische Schicht (110) an die kupferhaltige Elektrode (102) angrenzt.
  16. Integrierte Kondensatoranordnung (100) nach Anspruch 15, dadurch gekennzeichnet, dass die dielektrische Schicht (110) Siliziumnitrid enthält oder aus Siliziumnitrid besteht, oder dass die dielektrische Schicht (110) Aluminiumnitrid enthält oder aus Aluminiumnitrid besteht, und/oder dass die kupferhaltige Elektrode (102) näher an einem Halbleitersubstrat zum Tragen des Kondensators (100) angeordnet ist als die andere Elektrode (112).
  17. Integrierte Kondensatoranordnung (110) nach Anspruch 15 oder 16, dadurch gekennzeichnet, dass die Kondensatoranordnung (110) Merkmale einer Kondensatoranordnung (110) hat, die mit einem Verfahren nach einem der Ansprüche 1 bis 14 hergestellt worden ist.
DE10350752A 2003-10-30 2003-10-30 Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung Ceased DE10350752A1 (de)

Priority Applications (6)

Application Number Priority Date Filing Date Title
DE10350752A DE10350752A1 (de) 2003-10-30 2003-10-30 Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
EP04804501A EP1678746B1 (de) 2003-10-30 2004-10-20 Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung
PCT/EP2004/052594 WO2005043623A2 (de) 2003-10-30 2004-10-20 Verfahren zum ausbilden eines dielektrikums auf einer kupferhaltigen metallisierung und kondensatoranordnung
CN200480032539XA CN1875483B (zh) 2003-10-30 2004-10-20 在含铜金属化层上形成电介质的工艺和电容器装置
US11/414,414 US20060252240A1 (en) 2003-10-30 2006-04-28 Process for forming a dielectric on a copper-containing metallization and capacitor arrangement
US12/497,949 US20090269914A1 (en) 2003-10-30 2009-07-06 Process for forming a dielectric on a copper-containing metallization and capacitor arrangement

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE10350752A DE10350752A1 (de) 2003-10-30 2003-10-30 Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung

Publications (1)

Publication Number Publication Date
DE10350752A1 true DE10350752A1 (de) 2005-06-09

Family

ID=34529937

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10350752A Ceased DE10350752A1 (de) 2003-10-30 2003-10-30 Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung

Country Status (5)

Country Link
US (2) US20060252240A1 (de)
EP (1) EP1678746B1 (de)
CN (1) CN1875483B (de)
DE (1) DE10350752A1 (de)
WO (1) WO2005043623A2 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102011113751A1 (de) * 2011-09-19 2013-03-21 Hq-Dielectrics Gmbh Verfahren zum stetigen und/oder sequentiellen abscheiden einer dielektrischen schicht aus der gasphase auf einem substrat

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7566655B2 (en) 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US7732342B2 (en) 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
KR20100086853A (ko) * 2009-01-23 2010-08-02 삼성전자주식회사 TiC 막을 갖는 상변화 메모리소자의 제조방법
CA2653581A1 (en) 2009-02-11 2010-08-11 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapour deposition
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2013516763A (ja) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
KR101528832B1 (ko) * 2010-01-06 2015-06-15 어플라이드 머티어리얼스, 인코포레이티드 유동성 유전체 층의 형성 방법
KR101837648B1 (ko) 2010-01-07 2018-04-19 어플라이드 머티어리얼스, 인코포레이티드 라디칼-컴포넌트 cvd를 위한 인­시츄 오존 경화
KR101853802B1 (ko) 2010-03-05 2018-05-02 어플라이드 머티어리얼스, 인코포레이티드 라디칼­성분 cvd에 의한 컨포멀 층들
US8236708B2 (en) * 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
TWI520177B (zh) * 2010-10-26 2016-02-01 Hitachi Int Electric Inc 基板處理裝置、半導體裝置之製造方法及電腦可讀取的記錄媒體
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9960124B2 (en) * 2013-10-23 2018-05-01 General Electric Company Integrated shield structure for mixed-signal integrated circuits
KR20150064330A (ko) * 2013-12-03 2015-06-11 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9881844B2 (en) * 2013-12-19 2018-01-30 Globalfoundries Singapore Pte. Ltd. Integrated circuits with copper hillock-detecting structures and methods for detecting copper hillocks using the same
US9577025B2 (en) * 2014-01-31 2017-02-21 Qualcomm Incorporated Metal-insulator-metal (MIM) capacitor in redistribution layer (RDL) of an integrated device
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9806018B1 (en) 2016-06-20 2017-10-31 International Business Machines Corporation Copper interconnect structures

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1130654A1 (de) * 2000-03-01 2001-09-05 Infineon Technologies AG Integriertes Bauelement mit Metall-Isolator-Metall-Kondensator
DE10141341A1 (de) * 2001-08-23 2003-03-13 Siemens Ag Elektronisches Bauelement
US20030199175A1 (en) * 2002-04-18 2003-10-23 Applied Materials, Inc. Mixed frequency high temperature nitride cvd process

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5018479A (en) * 1987-09-24 1991-05-28 Reserach Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semconductor layer
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5874368A (en) * 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
TW341730B (en) * 1997-10-29 1998-10-01 United Microelectronics Corp Process for producing a multi-level ROM
US5946567A (en) * 1998-03-20 1999-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making metal capacitors for deep submicrometer processes for semiconductor integrated circuits
US6287643B1 (en) * 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6576564B2 (en) * 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6596576B2 (en) * 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US20030011043A1 (en) * 2001-07-14 2003-01-16 Roberts Douglas R. MIM capacitor structure and process for making the same
JP2003045864A (ja) * 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc 基板処理装置
US20030164143A1 (en) * 2002-01-10 2003-09-04 Hitachi Kokusai Electric Inc. Batch-type remote plasma processing apparatus
US6730163B2 (en) * 2002-03-14 2004-05-04 Micron Technology, Inc. Aluminum-containing material and atomic layer deposition methods
KR20030081144A (ko) * 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
KR100623562B1 (ko) * 2002-07-08 2006-09-13 간사이 티.엘.오 가부시키가이샤 실리콘 질화막의 형성 방법 및 형성 장치
US7365029B2 (en) * 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US7122222B2 (en) * 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1130654A1 (de) * 2000-03-01 2001-09-05 Infineon Technologies AG Integriertes Bauelement mit Metall-Isolator-Metall-Kondensator
DE10141341A1 (de) * 2001-08-23 2003-03-13 Siemens Ag Elektronisches Bauelement
US20030199175A1 (en) * 2002-04-18 2003-10-23 Applied Materials, Inc. Mixed frequency high temperature nitride cvd process

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Talxeda,K.-I. u.a.: Enhanced dielectric breakdown livetime... In: IEEE, 36th Ann. Int. Reliabillity Physics Symp. Proc., 1998, S.36-41 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102011113751A1 (de) * 2011-09-19 2013-03-21 Hq-Dielectrics Gmbh Verfahren zum stetigen und/oder sequentiellen abscheiden einer dielektrischen schicht aus der gasphase auf einem substrat
DE102011113751B4 (de) * 2011-09-19 2016-09-01 Hq-Dielectrics Gmbh Verfahren zum stetigen oder sequentiellen abscheiden einer dielektrischen schicht aus der gasphase auf einem substrat

Also Published As

Publication number Publication date
CN1875483A (zh) 2006-12-06
US20060252240A1 (en) 2006-11-09
CN1875483B (zh) 2010-11-03
WO2005043623A3 (de) 2005-07-21
EP1678746B1 (de) 2011-11-30
US20090269914A1 (en) 2009-10-29
WO2005043623A2 (de) 2005-05-12
EP1678746A2 (de) 2006-07-12

Similar Documents

Publication Publication Date Title
EP1678746B1 (de) Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung
DE102005057075B4 (de) Halbleiterbauelement mit einer Kupferlegierung als Barrierenschicht in einer Kupfermetallisierungsschicht und Verfahren zu dessen Herstellung
DE4430120B4 (de) Verfahren zur Erzeugung eines Dielektrikums
DE102005052052B4 (de) Ätzstoppschicht für Metallisierungsschicht mit verbesserter Haftung, Ätzselektivität und Dichtigkeit und Verfahren zur Herstellung eines dielektrischen Schichtstapels
DE102009023377B4 (de) Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustiertem Luftspalt
EP1724827B1 (de) Verfahren zur Herstellung einer Leitstruktur mit Barrieren-Schichtstapel und entsprechende Leitstruktur
DE69937807T2 (de) Entfernen von oxiden oder anderen reduzierbaren verunreinigungen mittels plasmabehandlung
DE102005057057B4 (de) Verfahren zur Herstellung einer isolierenden Deckschicht für eine Kupfermetallisierungsschicht unter Anwendung einer Silanreaktion
DE10163345B4 (de) Verfahren zur Herstellung eines Kondensators in einem Halbleiterbauelement
DE102005035740A1 (de) Verfahren zur Herstellung einer isolierenden Barrierenschicht für eine Kupfermetallisierungsschicht
DE102007004867A1 (de) Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
DE102011006454B4 (de) Hochspannungs-Halbleiterbauelemente und Verfahren zu deren Herstellung
DE102007046846A1 (de) Seitenwandschutzschicht
DE10261466B4 (de) Verfahren zur Herstellung einer leitenden Barrierenschicht mit verbesserten Haft- und Widerstandseigenschaften
DE19651550B4 (de) Halbleitervorrichtung und Verfahren zu deren Herstellung
EP0582724A1 (de) Verfahren zur lokal und global planarisierenden CVD-Abscheidung von SiO2-Schichten auf strukturierten Siliziumsubstraten
DE10224167B4 (de) Verfahren zur Herstellung einer Kupferleitung mit erhöhter Widerstandsfähigkeit gegen Elektromigration in einem Halbleiterelement
DE102005056262A1 (de) Verfahren zum Herstellen einer Schichtanordnung, Verfahren zum Herstellen eines elektrischen Bauelementes, Schichtanordnung und elektrisches Bauelement
DE69819023T2 (de) Methode, eine leitende schicht zu ätzen
DE10240176A1 (de) Ein dielektrischer Schichtstapel mit kleiner Dielektrizitätskonstante einschliesslich einer Ätzindikatorschicht zur Anwendung in der dualen Damaszenertechnik
EP1661168A1 (de) Integrierte schaltungsanordnung mit kondensator und herstellungsverfahren
DE102021108491A1 (de) Interconnect-struktur mit stapel aus dielektrischer kappschicht und ätzstoppschicht
DE10130936B4 (de) Herstellungsverfahren für ein Halbleiterbauelement mittels Atomschichtabscheidung/ALD
EP0798777B1 (de) Verfahren zur Metallisierung von Submikron Kontaktlöchern in Halbleiterkörpern
DE10327618B4 (de) Verfahren zur Ausbildung von Aluminiummetallverdrahtungen

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8131 Rejection