DE112006000308T5 - Verfahren zur Verringerung kritischer Abmessungen unter Verwendung mehrerer Maskenschritte - Google Patents

Verfahren zur Verringerung kritischer Abmessungen unter Verwendung mehrerer Maskenschritte Download PDF

Info

Publication number
DE112006000308T5
DE112006000308T5 DE112006000308T DE112006000308T DE112006000308T5 DE 112006000308 T5 DE112006000308 T5 DE 112006000308T5 DE 112006000308 T DE112006000308 T DE 112006000308T DE 112006000308 T DE112006000308 T DE 112006000308T DE 112006000308 T5 DE112006000308 T5 DE 112006000308T5
Authority
DE
Germany
Prior art keywords
mask
layer
sidewall
features
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE112006000308T
Other languages
English (en)
Inventor
Jeffrey San Jose MARKS
S.M. Reza Saratoga Sadjadi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of DE112006000308T5 publication Critical patent/DE112006000308T5/de
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Abstract

Verfahren zur Bildung von Merkmalen in einer Ätzschicht, das umfasst:
Bilden einer ersten Maske über der Ätzschicht, wobei die erste Maske mehrere Zwischenräume mit Breiten definiert;
Bilden einer Seitenwandschicht über der ersten Maske, wobei die Seitenwandschicht die Breiten der Zwischenräume verringert, die durch die erste Maske definiert sind;
Ätzen von Merkmalen durch die Seitenwandschicht in die Ätzschicht, wobei die Merkmale Breiten aufweisen, die kleiner als die Breiten der Zwischenräume sind, die durch die erste Maske definiert sind;
Entfernen der Maske und der Seitenwandschicht; und
Durchführen eines zusätzlichen Merkmalsschritts, der umfasst:
Bilden einer zusätzlichen Maske über der Ätzschicht, wobei die zusätzliche Maske mehrere Zwischenräume mit Breiten definiert;
Bilden einer Seitenwandschicht über der zusätzlichen Maske, wobei die Seitenwandschicht die Breiten der Zwischenräume verringert, welche durch die zusätzliche Maske definiert sind;
Ätzen von Merkmalen durch die Seitenwandschicht in die Ätzschicht, wobei die Merkmale Breiten aufweisen,...

Description

  • HINTERGRUND DER ERFINDUNG
  • Die vorliegende Erfindung betrifft die Bildung von Halbleitereinrichtungen.
  • Während einer Verarbeitung von Halbleiterwafern werden Merkmale der Halbleitereinrichtung in dem Wafer unter Verwendung wohlbekannter Strukturierungs- und Ätzprozesse definiert. Bei diesen Prozessen wird ein Photoresist-Material (PR-Material, PR von photoresist) auf den Wafer abgeschieden und dann einem durch ein Retikel gefiltertes Licht ausgesetzt. Das Retikel ist im Wesentlichen eine Glasscheibe, welche mit beispielhaften Merkmalsgeometrien strukturiert ist, die Licht an einem Ausbreiten durch das Retikel hindern.
  • Nach dem Passieren des Retikels kontaktiert das Licht die Oberfläche des Photoresist-Materials/Fotolacks. Das Licht verändert die chemische Zusammensetzung des Photoresist-Materials derart, dass ein Entwickler einen Teil des Photoresist-Materials entfernen kann. In dem Fall von positiven Photoresist-Materialien werden die belichteten Gebiete entfernt und in dem Fall negativer Photoresist-Materialien werden die nicht belichteten Gebiete entfernt. Danach wird der Wafer geätzt, um das darunter liegende Material von den Bereichen zu entfernen, die durch das Photoresist-Material nicht länger geschützt sind, und dadurch die gewünschten Merkmale in dem Wafer zu definieren.
  • Es sind verschiedene Generationen von Photoresist bekannt. Tiefultraviolett-Photoresist (DUV-Photoresist) wird durch 248 nm Licht belichtet. Um das Verständnis zu erleichtern, ist 1A eine schematische Querschnittsansicht einer Schicht 108 über einem Substrat 104 mit einer strukturierten Photoresistschicht 112 über einer Antireflektivschicht (ARL von anti-reflective layer) 110 über der Schicht 108, die geätzt werden soll, um einen Stapel 100 auszubilden. Die Photoresiststruktur weist eine kritische Dimension bzw. Abmessungen (CD von critical dimension) auf, welche die Breite 116 des kleinsten Merkmals sein kann. Aufgrund von optischen Eigenschaften, die von der Wellenlänge abhängen, weist ein Photoresist, der durch ein Licht mit längerer Wellenlänge belichtet wird, größere theoretische minimale kritische Dimensionen bzw. Abmessungen auf.
  • Ein Merkmal 120 kann dann durch die Photoresiststruktur geätzt werden, wie in 1B gezeigt ist. Idealerweise ist die CD des Merkmals (die Breite des Merkmals) gleich der CD 116 des Merkmals in dem Photoresist 112. In der Praxis kann die CD des Merkmals 116 größer als die CD des Photoresist 112 aufgrund einer Facettierung, einer Erosion des Photoresist oder eines Unterätzens sein. Das Merkmal kann auch verjüngt sein, wobei die CD des Merkmals mindestens so groß wie die CD des Photoresist ist, sich das Merkmal aber verjüngt, um in der Nähe des Merkmalbodens eine kleinere Breite aufzuweisen. Ein derartiges Verjüngen kann unzuverlässige Merkmale schaffen.
  • Um Merkmale mit einer kleineren CD zu schaffen, wird Merkmalen nachgegangen, die unter Verwendung eines Lichts mit kürzerer Wellenlänge gebildet werden. 193 nm Photoresist wird durch ein 193 nm Licht belichtet. Unter Verwendung von Phasenretikeln (phase shift reticles) mit Phasenverschiebung und anderen Technologien kann eine Photoresiststruk tur mit einer 90-100 nm CD gebildet werden, wobei ein 193 nm Photoresist verwendet wird. Dies ermöglicht das Schaffen eines Merkmals mit einer CD von 90-100 nm. Ein 157 nm Photoresist wird durch ein 157 nm Licht belichtet. Unter Verwendung von Phasenretikeln und anderen Technologien können Photoresiststrukturen mit einer CD unter 90 nm gebildet werden. Damit kann ein Merkmal mit einer CD unter 90 nm geschaffen werden.
  • Die Verwendung von Photoresists mit kürzerer Wellenlänge kann zusätzliche Probleme im Vergleich zu Photoresists, die längere Wellenlängen verwenden, schaffen. Um CDs zu erhalten, die nahe bei der theoretischen Grenze liegen, sollte die Lithografievorrichtung genauer sein, was eine teurere Lithografieausrichtung erfordert. Es kann sein, dass 193 nm Photoresist und 157 nm Photoresist gegenwärtig nicht so hohe Selektivitäten aufweisen, wie Photoresists mit längerer Wellenlänge und dass sie sich unter Plasmaätzbedingungen leichter verformen.
  • Bei dem Ätzen leitfähiger Schichten, beispielsweise bei der Bildung von Speichereinrichtungen, ist es wünschenswert, die Einrichtungsdichte zu erhöhen, ohne die Leistungsfähigkeit zu verringern.
  • 2A ist eine Querschnittsansicht einer Photoresistmaske zur Erzeugung leitfähiger Leitungen, wenn ein Zwischenraum zwischen den Leitungen gemäß dem Stand der Technik zu eng ist. Über ein Substrat 204, beispielsweise einen Wafer, kann eine Sperrschicht 206 platziert werden. Über der Sperrschicht 206 wird eine dielektrische Schicht 208, beispielsweise eine Metallschicht oder eine Polysiliziumschicht, gebildet. Über der dielektrischen Schicht 208 wird eine Antireflektivschicht (ARL) 210, beispielsweise eine DARC-Schicht gebildet. Eine Photoresistmaske 212a wird über der ARL 210 gebildet. Bei diesem Beispiel weisen die Leitungsmasken 214a, wie gezeigt, eine Breite auf, die als die Leitungsbreite "L" definiert ist. Die Zwischenräume 222 weisen, wie gezeigt, eine Breite "S" auf. Die Abstandslänge "P" ist, wie gezeigt, als die Summe der Leitungsbreite und der Zwischenraumbreite P = L + S definiert. Es ist wünschenswert, die Abstandslänge zu verringern.
  • Ein Weg zur Verringerung der Abstandsbreite besteht in einer Verringerung der Zwischenraumbreite. 2B ist eine Querschnittsansicht einer Photoresistmaske zur Erzeugung leitfähiger oder dielektrischer Grabenleitungen, wenn ein Zwischenraum zwischen den Leitungen gemäß dem Stand der Technik zu eng ist. Über einem Substrat 204, beispielsweise einen Wafer, kann eine Sperrschicht 206 platziert werden. Über der Sperrschicht 206 wird eine leitfähige oder dielektrische Schicht 208, beispielsweise eine Metallschicht oder eine Polysiliziumschicht oder eine dielektrische Schicht gebildet. Über der Schicht 208 wird eine Antireflektivschicht (ARL) 210, beispielsweise eine DARC-Schicht, gebildet. Eine Photoresistmaske 212 wird über der ARL 210 gebildet. Bei diesem Beispiel bildet die Photoresistmaske 212b Leitungsmasken 214b aus, wobei in Zwischenräumen zwischen den Leitungsmasken 214b Photoresistrückstände 218 gebildet werden. Das Vorhandensein der Photoresistrückstände 218 wird durch ein Vorsehen eines zu kleinen Zwischenraums zwischen den Leitungsmasken 214b verursacht, da es schwieriger ist, Rückstände aus einem kleinen Zwischenraum zu entfernen. Dies kann die Dichte der leitfähigen Leitungen, die vorgesehen werden können, begrenzen.
  • ZUSAMMENFASSUNG DER ERFINDUNG
  • Um das Voranstehende zu erreichen und gemäß dem Zweck der vorliegenden Erfindung ist ein Verfahren zur Bildung von Merkmalen in einer Ätzschicht bereitgestellt. Über der Ätzschicht wird eine erste Maske gebil det, wobei die erste Maske mehrere Zwischenräume mit Breiten definiert. Über der ersten Maske wird eine Seitenwandschicht gebildet, wobei die Seitenwandschicht die Breiten der durch die erste Maske definierten Zwischenräume verringert. Merkmale werden durch die Seitenwandschicht in die Ätzschicht geätzt, wobei die Merkmale Breiten aufweisen, die kleiner als die Breiten der Zwischenräume sind, die durch die erste Maske definiert sind. Die Maske und die Seitenwandschicht werden entfernt. Es wird ein zusätzlicher Merkmalsschritt ausgeführt, indem die nachfolgenden Schritte ausgeführt werden. Über der Ätzschicht wird eine zusätzliche Maske gebildet, wobei die zusätzliche Maske mehrere Zwischenräume mit Breiten definiert. Eine Seitenwandschicht wird über der zusätzlichen Maske gebildet, wobei die Seitenwandschicht die Breiten der Zwischenräume verringert, welche durch die zusätzliche Maske definiert sind. Merkmale werden durch die Seitenwandschicht in die Ätzschicht geätzt, wobei die Breiten der Merkmale kleiner als die Breiten der Zwischenräume sind, die durch die erste Maske definiert sind. Die Maske und die Seitenwandschicht werden entfernt.
  • Bei einer anderen Ausführungsform der Erfindung ist ein Verfahren zur Bildung von Merkmalen in einer Ätzschicht bereitgestellt. Eine erste Maske wird über der Ätzschicht gebildet, wobei die erste Maske mehrere Zwischenräume mit Breiten definiert und wobei die mehreren Zwischenräume eine kritische Dimension und einen Abstand aufweisen. Über der ersten Maske wird eine Seitenwandschicht gebildet, wobei die Seitenwandschicht die Breiten der durch die erste Maske definierten Zwischenräume verringert. Durch die Seitenwandschicht werden Merkmale in die Ätzschicht geätzt, wobei die Breiten und die kritische Dimension der Zwischenräume mindestens 50 % kleiner als die Breiten der Zwischenräume und die kritische Dimension der Zwischenräume in der ersten Maske sind. Die Maske und die Seitenwandschicht werden entfernt. Durch die nachfolgen den Schritte wird ein zusätzlicher Merkmalsschritt ausgeführt. Über der Ätzschicht wird eine zusätzliche Maske gebildet, wobei die zusätzliche Maske mehrere Zwischenräume mit Breiten definiert und wobei die mehreren Zwischenräume eine kritische Dimension und einen Abstand aufweisen. Über der zusätzlichen Maske wird eine Seitenwandschicht gebildet, wobei die Seitenwandschicht die Breiten der durch die zusätzliche Maske definierten Zwischenräume verringert. Durch die Seitenwandschicht werden Merkmale in die Ätzschicht geätzt, wobei die Breiten und die kritische Dimension der Merkmale mindestens 50 % kleiner als die Breiten der Zwischenräume und die kritische Dimension der Zwischenräume in der ersten Maske sind und wobei die Merkmale einen Abstand aufweisen, welcher mindestens 50 % kleiner als der Abstand der Zwischenräume in der ersten Maske und der Abstand der Zwischenräume in der zusätzlichen Maske ist. Die Maske und die Seitenwandschicht werden entfernt. Zusätzliche Masken können die CD sogar weiter verringern. Beispielsweise können 3 Masken etwa 65 % und 4 Masken können 75 % Schrumpfung bereitstellen und so weiter.
  • Diese und andere Merkmale der vorliegenden Erfindung werden nachfolgend in der genauen Beschreibung der Erfindung und in Verbindung mit den nachfolgenden Figuren genauer beschrieben.
  • KURZBESCHREIBUNG DER ZEICHNUNGEN
  • Die vorliegende Erfindung wird mittels eines Beispiels und nicht mittels einer Begrenzung in den Figuren der beiliegenden Zeichnungen dargestellt, in welchen gleiche Bezugszeichen ähnliche Elemente bezeichnen und in welchen:
  • 1A-B schematische Querschnittsansichten eines Stapels sind, der gemäß dem Stand der Technik geätzt wurde;
  • 2A-B schematische Querschnittsansichten von Masken sind, die gemäß dem Stand der Technik gebildet wurden;
  • 3 ein Flussdiagramm auf hoher Ebene eines Prozesses ist, der bei einer Ausführungsform der Erfindung verwendet werden kann;
  • 4A-H schematische Querschnittsansichten eines Stapels sind, der gemäß einer Ausführungsform der Erfindung verarbeitet wurde;
  • 5A-F schematische Querschnittsansichten eines Stapels sind, der gemäß einer anderen Ausführungsform der Erfindung verarbeitet wurde;
  • 6 ein genaueres Flussdiagramm des Schritts des Abscheidens einer Seitenwandschicht ist;
  • 7 eine schematische Ansicht einer Plasmaverarbeitungskammer ist, die bei der Ausführung der Erfindung verwendet werden kann;
  • 8A-B ein Computersystem darstellen, das zur Implementierung eines Controllers geeignet ist, der bei Ausführungsformen der vorliegenden Erfindung verwendet wird;
  • 9A-C Querschnittsansichten von Abscheidungen sind; und
  • 10 eine schematische Darstellung einer Einrichtung ist, die zur Ausführung der Erfindung zum Ätzen leitfähiger Schichten verwendet werden kann.
  • GENAUE BESCHREIBUNG DER BEVORZUGTEN AUSFÜHRUNGSFORMEN
  • Die vorliegende Erfindung wird nun mit Bezug auf einige wenige bevorzugte Ausführungsformen davon, wie in den beiliegenden Zeichnungen dargestellt, genau beschrieben. Bei der nachfolgenden Beschreibung werden zahlreiche spezielle Details dargelegt, um ein gründliches Verständnis der vorliegenden Erfindung zu schaffen. Für einen Fachmann ist es jedoch offensichtlich, dass die vorliegende Erfindung ohne einige oder alle dieser speziellen Details ausgeführt werden kann. Bei anderen Fällen wurden wohlbekannte Prozessschritte und/oder Strukturen nicht genau beschrieben, um die vorliegende Erfindung nicht unnötig zu verschleiern.
  • Die Erfindung schafft Merkmale mit kleinen kritischen Dimensionen (CD). Insbesondere schafft die Erfindung Merkmale mit CD's, die kleiner als die CD der Photoresiststruktur sind, welches zum Ätzen der Merkmale verwendet wird.
  • Zur Erleichterung des Verständnisses ist 3 ein Flussdiagramm auf hoher Ebene eines Prozesses, der bei einer Ausführungsform der Erfindung verwendet werden kann. Es wird ein erster Merkmalsschritt ausgeführt (Schritt 302). Eine erste strukturierte Maske wird bereitgestellt (Schritt 304). 4A ist eine Querschnittsansicht einer strukturierten Maske bei einer Ausführungsform der Erfindung. Über einem Substrat 404, beispielsweise einen Wafer, kann eine Sperrschicht 406 platziert werden. Über der Sperrschicht 406 wird eine Ätzschicht 408, beispielsweise eine leitfähige Metallschicht oder eine Polysiliziumschicht oder eine dielektrische Schicht gebildet. Über der Ätzschicht 408 wird eine Antireflektivschicht (ARL) 410, beispielsweise eine DARC-Schicht gebildet. Eine erste strukturierte Maske 412 wird über der ARL 410 gebildet. Bei diesem Beispiel weisen die Leitungsmasken 414, wie gezeigt, die als die Leitungsbreite "Lp" definierte Breite auf. Die Zwischenräume 422 in der Photoresistmaske weisen, wie gezeigt, eine Breite "Sp" auf. Die Abstandslänge "Pp" der Photoresistmaske ist, wie gezeigt, als die Summe der Leitungsbreite und der Zwischenraumbreite Pp = Lp + Sp definiert. Diese Breiten sind durch die Auflösung der lithografischen Techniken bestimmt, welche zur Bildung der Photoresistmasken verwendet werden. Es ist wünschenswert, die Abstandslänge zu verringern.
  • Über der Maske wird eine Seitenwandschicht gebildet, um die CD zu verringern (Schritt 308). 4B ist eine schematische Querschnittsansicht der ersten strukturierten Maske 412 mit einer Seitenwandschicht 420, die über den Seitenwänden der ersten Maske abgeschieden wurde. Die Seitenwandschicht 420 bildet ein Seitenwandschichtmerkmal 424 in den Zwischenräumen der Maske aus, wobei das Seitenwandschichtmerkmal 424 eine CD des verringerten Zwischenraums aufweist, die kleiner als die CD des Zwischenraums der ersten Maske ist. Vorzugsweise ist die CD des verringerten Zwischenraums der abgeschiedenen ersten Maske 50 % kleiner als die CD des Zwischenraums des Merkmals der ersten Maske. Es ist auch wünschenswert, dass die Seitenwandschicht im Wesentlichen vertikale Seitenwände 428 aufweist, welche, wie gezeigt, hochgradig konform sind. Ein Beispiel einer im Wesentlichen vertikalen Seitenwand ist eine Seitenwand, die von unten nach oben einen Winkel zwischen 88° und 90° mit dem Boden des Merkmals bildet. Konforme Seitenwände weisen eine abgeschiedene Schicht auf, welche von der Spitze bis zu dem Boden des Merkmals im Wesentlichen die gleiche Dicke aufweist. Nicht konforme Seitenwände können ein facettiertes oder brotlaibförmiges Gebilde bilden, welche im Wesentlichen nicht vertikale Seitenwände bereitstellen. Verjüngte Seitenwände (aus dem facettierten Gebilde) oder brotlaibförmige Seitenwände können die CD der abgeschiedenen Schicht erhöhen und eine Ätzmaske schlechter Qualität bereitstellen. Vorzugsweise ist die Abscheidung an der Seitenwand dicker als die Abscheidung auf dem Boden des Merkmals der ersten Maske. Noch bevorzugter wird über dem Boden des Merkmals der ersten Maske keine Schicht abgeschieden.
  • Ein erster Satz von Merkmalen wird dann durch die Zwischenräume der Seitenwandschicht in die Ätzschicht 408 geätzt (Schritt 312). 4C zeigt einen in die Ätzschicht 408 geätzten ersten Satz von Merkmalen 432. Bei diesem Beispiel weist der in die Ätzschicht 408 geätzte erste Satz von Merkmalen 432 eine Breite der CD auf, welche gleich der CD des Zwischenraums des Merkmals der abgeschiedenen Schicht ist. In der Praxis kann die CD der Merkmale des ersten Satzes von Merkmalen 432 ein wenig größer als die CD des Merkmals der abgeschiedenen Schicht 420 sein. Da die CD des Merkmals der abgeschiedenen Schicht jedoch deutlich kleiner als die CD des Photoresist 412 ist, ist die CD der Merkmale in der Ätzschicht 408 immer noch kleiner als die CD des Photoresist 412. Wenn die CD der abgeschiedenen Schicht nur ein klein wenig kleiner als die CD des Photoresist wäre oder wenn die abgeschiedene Schicht facettiert oder brotlaibförmig wäre, dann könnte es sein, dass die CD der zu ätzenden Schicht nicht kleiner als die CD des Photoresist ist. Zusätzlich kann eine facettierte oder brotlaibförmige abgeschiedene Schicht ein facettiertes oder irregulär geformtes Merkmal in der zu ätzenden Schicht verursachen. Es ist auch wünschenswert, eine Abscheidung auf dem Boden des Photoresistmerkmals zu minimieren. Bei diesem Beispiel ist die CD der Merkmale, die in die zu ätzende Schicht 408 eingeätzt werden, etwa 50 % kleiner als die CD des Photoresistmerkmals.
  • Der Photoresist und die abgeschiedene Schicht werden dann gestrippt bzw. entfernt (Schritt 316). Dies kann in einem einzigen Schritt durchgeführt werden oder in zwei getrennten Schritten mit einem separaten Schritt zur Entfernung der abgeschiedenen Schicht und einem Schritt zum Strippen bzw. zur Entfernung des Photoresist. Für den Stripping-Prozess kann eine Veraschung verwendet werden. 4D zeigt das Substrat 400, nachdem die abgeschiedene Schicht und die Photoresistmaske entfernt wurden. Der erste Merkmalsschritt (302) ist abgeschlossen.
  • Dann werden die zusätzlichen Merkmalsschritte (Schritt 318) durchgeführt. Eine zusätzliche strukturierte Maske wird über den geätzten Merkmalen gebildet (Schritt 320), welche in diesem Fall der erste Satz von geätzten Merkmalen sind. 4E zeigt das Substrat 404, auf dem eine zweite Photoresistmaske 442 über der Ätzschicht 408 gebildet wurde, wobei die zweite Photoresistmaske 442 den ersten Satz von Merkmalen 432 bedeckt und wobei Zwischenräume 444 in der zweiten Photoresistmaske zwischen dem ersten Satz von geätzten Merkmalen 432 gebildet sind.
  • Eine Seitenwandschicht wird dann über den Seitenwänden der Merkmale der zusätzlichen Maske abgeschieden, um die CD zu verringern (Schritt 324). 4F ist eine schematische Querschnittsansicht der zusätzlichen Maske 442, wobei eine Seitenwandschicht 450 über den Seitenwänden der zusätzlichen Maske 442 abgeschieden ist. Die Seitenwandschicht 450 bildet ein Seitenwandschichtmerkmal 454 in dem Maskenzwischenraum aus, wobei das Seitenwandschichtmerkmal 454 eine CD des verringerten Zwischenraums aufweist, die kleiner als die CD des Zwischenraums der zusätzlichen Maske ist. Vorzugsweise ist der verringerte Zwischenraum des Seitenwandschichtmerkmals 50 % kleiner als die CD des Zwischenraums des Merkmals der zusätzlichen Maske. Es ist auch wünschenswert, dass das Maskenschichtmerkmal 422 im Wesentlichen vertikale Seitenwände aufweist, welche, wie gezeigt, hochgradig konform sind. Ein Beispiel einer im Wesentlichen vertikalen Seitenwand ist eine Seitenwand, die von unten nach oben einen Winkel zwischen 88° bis 90° mit dem Boden des Merkmals bildet. Vorzugsweise ist die Abscheidung an der Seitenwand dicker als die Abscheidung auf dem Boden des Photoresistmerkmals. Noch bevorzugter wird keine Schicht über dem Boden des Photoresistmerkmals abgeschieden.
  • Merkmale werden in die Ätzschicht geätzt (Schritt 324), die einen zweiten Satz von Ätzmerkmalen 452 zwischen dem ersten Satz von Ätzmerkmalen 432 bilden, wie in 4G gezeigt ist. Der Photoresist und die abgeschiedene Schicht werden dann entfernt bzw. gestrippt (Schritt 332), wie in 4H gezeigt ist. Die Leitungsbreite der Ätzschicht ist als Lf gezeigt. Die Zwischenraumbreite der Merkmale in der Ätzschicht ist als Sf gezeigt. Die Abstandslänge der Merkmale ist als Pf gezeigt, wobei Pf = Lf + Sf. Zum Vergleich sind der Photoresistmaskenabstand Pp, die Photoresistleitungsbreite Lp und die Photoresistzwischenraumbreite Sp von 4A in 4G gezeigt, um sie mit dem Merkmalsabstand Pf, der Merkmalsleitungsbreite Lf und der Merkmalszwischenraumbreite Sf zu vergleichen. Bei dieser Ausführungsform ist die Länge des Abstands für die Merkmale Pf die Hälfte der Länge des Abstands der Photoresistmaske Pp, da die Leitungsbreite zwischen Merkmalen Lf die Hälfte der Leitungsbreite der Photoresistmaske Lp ist und die Merkmalszwischenraumbreite Sf die Hälfte des Zwischenraums in der Photoresistmaske Sp ist. Daher ist der erfinderische Prozess in der Lage, zwei Maskenschritte zu verwenden, um die Auflösung von Ätzmerkmalen zu verdoppeln, indem die Abstandslänge, die Leitungs breite und die Merkmalsbreite um die Hälfte verringert wird, während der gleiche Photoresist-Lithografieprozess verwendet wird.
  • Da diese Ausführungsform nur zwei Masken verwendet, wird bei dem Wiederholungsschritt (Schritt 336) ermittelt, dass der Prozess nicht wiederholt wird.
  • Beispiel mit drei Masken
  • Bei einem anderen Beispiel kann ein Dreimaskenprozess verwendet werden, um die Abstandslänge, die Leitungsbreite und die Merkmalsgröße um 67 % zu verringern. Ein erster Merkmalsschritt wird ausgeführt (Schritt 302). Eine strukturierte Photoresistmaske wird bereitgestellt (Schritt 304). 5A ist eine Querschnittsansicht einer Photoresistmaske bei einer Ausführungsform der Erfindung. Über einem Substrat 504, beispielsweise einem Wafer, kann eine Sperrschicht 506 platziert werden. Über der Sperrschicht 506 wird eine Ätzschicht 508, beispielsweise eine Metallschicht oder eine Polysiliziumschicht oder eine dielektrische Schicht gebildet. Über der Ätzschicht 508 wird eine Antireflektivschicht (ARL) 510, beispielsweise eine DARC-Schicht, gebildet. Eine Photoresistmaske 512 wird über der ARL 510 gebildet. Bei diesem Beispiel weisen die Leitungsmasken 514, wie gezeigt, eine Breite auf, die als die Leitungsbreite "Lp" definiert ist. Die Zwischenräume 522 in der Photoresistmaske weisen, wie gezeigt, eine Breite "S" auf. Die Abstandslänge "Pp" der Photoresistmaske ist, wie gezeigt, als die Summe der Leitungsbreite und der Zwischenraumbreite Pp = Lp + Sp definiert. Diese Breiten sind durch die Auflösung der lithografischen Techniken bestimmt, die zur Bildung der Photoresistmaske verwendet werden. Es ist wünschenswert, die Abstandslänge zu verringern.
  • Eine Seitenwandschicht wird dann über den Seitenwänden der Photoresistmerkmale abgeschieden, um die CD zu verringern (Schritt 308). 5B ist eine schematische Querschnittsansicht der strukturierten Photoresistmaske 512 mit einer über den Seitenwänden des Merkmals 514 abgeschiedenen Seitenwandschicht 520. Die Seitenwandschicht 520 bildet ein Seitenwandschichtmerkmal 524 in dem Photoresistmerkmal 514 aus, wobei das Seitenwandschichtmerkmal 524 eine CD des verringerten Zwischenraums aufweist, die kleiner als die CD des Zwischenraums des Photoresistmerkmals ist. Vorzugsweise ist der verringerte Zwischenraum des Seitenwandschichtmerkmals mindestens 66 % kleiner als die CD des Zwischenraums des Photoresistmerkmals. Es ist auch wünschenswert, dass das Seitenwandschichtmerkmal im Wesentlichen vertikale Seitenwände aufweist, welche, wie gezeigt, hochgradig konform sind.
  • Ein erster Satz von Merkmalen wird dann durch die Seitenwandschichtmerkmale in die Ätzschicht 508 geätzt (Schritt 512). 5B zeigt einen ersten Satz von Merkmalen 532, die in die Ätzschicht 508 geätzt wurden. Bei diesem Beispiel weist der in die Ätzschicht 508 geätzte erste Satz von Merkmalen 532 eine Breite der CD auf, welche gleich der CD des Zwischenraums des Seitenwandschichtmerkmals ist. In der Praxis kann die CD der Merkmale des ersten Satzes von Merkmalen 532 ein klein wenig größer als die CD des Merkmals der Seitenwandschicht 420 sein. Bei diesem Beispiel ist die CD der Merkmale, die in die zu ätzende Schicht 508 geätzt wurden, etwa 67 % kleiner als die CD des Photoresistmerkmals.
  • Der Photoresist und die Seitenwandschicht werden dann entfernt bzw. gestrippt (Schritt 316). Dies kann als ein einziger Schritt oder als zwei separate Schritte ausgeführt werden, mit einem separaten Seitenwandschichtentfernungsschritt und einem Photoresist-Stripschritt. Für den Stripping-Prozess kann eine Veraschung verwendet werden. Der erste Merkmalsschritt (302) ist abgeschlossen.
  • Dann werden die zusätzlichen Merkmalsschritte (Schritt 318) durchgeführt. Eine strukturierte Photoresistmaske wird über den geätzten Merkmalen gebildet (Schritt 320), welche in diesem Fall der erste Satz von geätzten Merkmalen sind. 5C zeigt das Substrat 504, auf dem eine zweite Photoresistmaske 542 über der Ätzschicht 508 gebildet wurde, wobei die zweite Photoresistmaske 542 den ersten Satz von Merkmalen 532 bedeckt und wobei Zwischenräume 544 in der zweiten Photoresistmaske zwischen dem ersten Satz von geätzten Merkmalen 532 gebildet sind.
  • Eine Seitenwandschicht 550 wird dann über den Seitenwänden der zweiten Photoresistmerkmale abgeschieden, um die CD zu verringern (Schritt 324). Die Seitenwandschicht 550 bildet ein Seitenwandschichtmerkmal in dem Photoresistmerkmal aus, wobei das Seitenwandschichtmerkmal 554 eine verringerte CD des Zwischenraums aufweist, die kleiner als die CD des Zwischenraums des Photoresistmerkmals ist. Vorzugsweise ist der verringerte Zwischenraum des Seitenwandschichtmerkmals etwa 66 % kleiner als die CD des Zwischenraums des Photoresistmerkmals. Es ist auch wünschenswert, dass das Merkmal der abgeschiedenen Schicht im Wesentlichen vertikale Seitenwände aufweist, die, wie gezeigt, hochgradig konform sind.
  • Wie in 5D gezeigt ist, werden Merkmale in die Ätzschicht geätzt (Schritt 324), die einen zweiten Satz von Ätzmerkmalen 552 bilden. Jedes Merkmal des zweiten Satzes von Merkmalen 552 liegt zwischen zwei Merkmalen des ersten Satzes von Merkmalen 532, sofern das Merkmal des zweiten Satzes von Merkmalen 552 nicht an einem Ende der Merkma le liegt. Der Photoresist und die abgeschiedene Schicht werden dann entfernt bzw. gestrippt (Schritt 332). Der zusätzliche Merkmalsschritt (318) ist abgeschlossen.
  • Dann wird eine Ermittlung durchgeführt, ob die zusätzlichen Merkmalsschritte wiederholt werden sollen (Schritt 336). Da dieser Prozess drei Masken verwendet und nur zwei erzeugt wurden, wird der zusätzliche Merkmalsschritt (Schritt 318) wiederholt. Eine strukturierte Photoresistmaske wird über den geätzten Merkmalen gebildet (Schritt 320), welche in diesem Fall der erste Satz und der zweite Satz von geätzten Merkmalen sind. 5E zeigt das Substrat 504, auf dem eine dritte Photoresistmaske 562 über der Ätzschicht 508 gebildet wurde, wobei die dritte Photoresistmaske 562 den ersten Satz von Merkmalen 532 und den zweiten Satz von Merkmalen 552 bedeckt und wobei Zwischenräume 564 in der dritten Photoresistmaske zwischen dem ersten Satz von geätzten Merkmalen 532 und dem zweiten Satz von geätzten Merkmalen 552 gebildet sind.
  • Eine Seitenwandschicht 570 wird dann über den Seitenwänden der zweiten Photoresistmerkmale abgeschieden, um die CD zu verringern (Schritt 324). Die Seitenwandschicht 570 bildet ein Seitenwandschichtmerkmal in dem Photoresistmerkmal aus, wobei das Seitenwandschichtmerkmal eine CD des verringerten Zwischenraums aufweist, die kleiner als die CD des Zwischenraums des Photoresistmerkmals ist. Vorzugsweise ist der verringerte Zwischenraum des Seitenwandschichtmerkmals etwa 66 % kleiner als die CD des Zwischenraums des Photoresistmerkmals. Es ist auch wünschenswert, dass das Seitenwandschichtmerkmal im Wesentlichen vertikale Seitenwände aufweist, welche, wie gezeigt, hochgradig konform sind.
  • Merkmale werden in die Ätzschicht geätzt (Schritt 324), die einen dritten Satz von Ätzmerkmalen 572 bilden, wie in 5F gezeigt ist. Jedes Merkmal des dritten Satzes von Ätzmerkmalen 572 liegt zwischen einem Merkmal des zweiten Satzes von Merkmalen 552 und einem Merkmal des ersten Satzes von Merkmalen 532, sofern das Merkmal des dritten Satzes von Merkmalen nicht an einem Ende liegt. Der Photoresist und die abgeschiedene Schicht werden dann entfernt bzw. gestrippt (Schritt 332). Der zusätzliche Merkmalsschritt (Schritt 318) ist abgeschlossen. Da dies die dritte Maske eines Dreimaskenprozesses ist, wird die Wiederholbedingung (Schritt 336) mit "Nein" beantwortet und der Prozess wird gestoppt.
  • Die Leitungsbreite der Ätzschicht ist als Lf gezeigt. Die Zwischenraumbreite der Merkmale in der Ätzschicht ist als Sf gezeigt. Die Abstandslänge der Merkmale ist als Pf gezeigt, wobei Pf = Lf + Sf. Zum Vergleich sind die Photoresistmaskenabstandslänge Pp, die Photoresistleitungsbreite Lp und die Photoresistzwischenraumbreite Sp von 5A in 5G gezeigt, um sie mit der Merkmalsabstandslänge Pf, der Merkmalsleitungsbreite Lf und der Merkmalszwischenraumbreite Sf zu vergleichen. Bei dieser Ausführungsform ist die Abstandslänge der Merkmale Pf 1/3 der Abstandslänge der Photoresistmaske Pp, da die Leitungsbreite zwischen Merkmalen Lf 1/3 der Leitungsbreite der Photoresistmaske Lp ist und die Zwischenraumbreite des Merkmals Sf 1/3 des Zwischenraums in der Photoresistmaske Sp ist. Der erfinderische Prozess ist daher in der Lage, drei Maskenschritte zu verwenden, um die Ätzmerkmalsauflösung zu verdreifachen, indem die Abstandslänge, die Leitungsbreite und die Merkmalsbreite um 1/3 verringert werden, während der gleiche Photoresist-Lithografieprozess verwendet wird.
  • Theoretisch können n Masken verwendet werden, um die Auflösung um einen Faktor von n zu erhöhen.
  • Verwendung einer Gasmodulation zur Bildung von Seitenwänden
  • Bei der bevorzugten Ausführungsform wird eine Gasmodulation verwendet, um die konformen Seitenwände auszubilden. Vorzugsweise werden die Seitenwände aus einem Polymermaterial gebildet und die Maske ist ein Photoresist-Polymer, so dass das Abscheiden der Seitenwandschicht in situ in der gleichen Kammer durchgeführt werden kann, in der das Ätzen und das Stripping durchgeführt werden, und so, dass das Stripping sowohl die Maske als auch die Seitenwandschicht entfernen kann.
  • 6 ist ein genaueres Flussdiagramm des Bildens einer Seitenwandschicht über der Maske, um die CD zu verringern (Schritte 308 und 324), welches eine Gasmodulation verwendet. Bei dieser Ausführungsform umfasst das Bilden der Seitenwandschicht über der Maske zur Verringerung der CD (Schritte 308 und 324) eine Abscheidungsphase 604 und eine Profilgestaltungsphase 608. Die Abscheidungsphase verwendet eine erste Gaschemie, um ein Plasma zu bilden, welches eine Seitenwandschicht über die Seitenwände der Maske abscheidet.
  • Die Erfindung kann verwendet werden, um eine dielektrische Schicht oder eine leitfähige Schicht zu ätzen. Beispielrezepte, die zur Ausführung der Erfindung für dielektrische oder leitfähige Schichten verwendet werden können, sind nachfolgend bereitgestellt:
  • Beispiel eines dielektrischen Ätzens
  • 7 ist eine schematische Ansicht einer Plasmaverarbeitungskammer 700, die für das Abscheiden der Seitenwandschicht, das Ätzen und das Stripping verwendet werden kann. Die Plasmaverarbeitungskammer 700 umfasst Begrenzungsringe 702, eine obere Elektrode 704, eine untere Elektrode 708, eine Gasquelle 710 und eine Abgaspumpe 720. Die Gasquelle 710 umfasst eine Abscheidungsgasquelle 712 und eine Profilgestaltungsgasquelle 716. Die Gasquelle 710 kann zusätzliche Gasquellen umfassen, beispielsweise eine Ätzgasquelle 718. Innerhalb der Plasmaverarbeitungskammer 700 wird das Substrat 404 auf der unteren Elektrode 708 angeordnet. Die untere Elektrode 708 umfasst eine geeignete Substratspannvorrichtung (z.B. elektrostatisch, eine mechanische Klemmvorrichtung oder dergleichen) zum Festhalten des Substrats 404. Die Reaktoroberseite 728 umfasst die obere Elektrode 704, welche unmittelbar gegenüber der unteren Elektrode 708 angeordnet ist. Die obere Elektrode 704, die untere Elektrode 708 und die Begrenzungsringe 702 definieren das begrenzte Plasmavolumen. Ein Gas wird dem begrenzten Plasmavolumen durch die Gasquelle 710 zugeführt und wird aus dem begrenzten Plasmavolumen von der Abgaspumpe 720 durch die Begrenzungsringe 702 und einen Abgasanschluss ausgestoßen. Eine erste RF-Quelle 744 ist mit der oberen oder unteren Elektrode 704 elektrisch verbunden. Eine zweite RF-Quelle 748 ist mit der unteren Elektrode 708 elektrisch verbunden. Kammerwände 752 umgeben die Begrenzungsringe 702, die obere Elektrode 704 und die untere Elektrode 708. Sowohl die erste RF-Quelle 744 als auch die zweite RF-Quelle 748 können eine Hochfrequenzenergiequelle (27 bis 300 MHz) und eine Niederfrequenzenergiequelle (2 bis 14 MHz) umfassen. Es sind verschiedene Kombinationen zur Verbindung von RF-Energie mit der Elektrode möglich. Ein Controller 735 ist mit den RF-Quellen 744, 748, der Abgaspumpe 720 und der Gasquelle 710 steuerbar verbunden.
  • 8A und 8B stellen ein Computersystem 1300 dar, das zur Implementierung eines Controllers 735, der bei Ausführungsformen der vorliegenden Erfindung verwendet wird, geeignet ist. 8A zeigt eine mögliche physikalische Form des Computersystems. Das Computersystem kann selbstverständlich viele physikalische Formen aufweisen, die von einem integrierten Schaltkreis, einer gedruckten Schaltung, und einer kleinen tragbaren Einrichtung bis zu einem riesigen Supercomputer reichen. Das Computersystem 1300 umfasst einen Monitor 1302, eine Anzeige 1304, ein Gehäuse 1306, ein Diskettenlaufwerk 1308, eine Tastatur 1310 und eine Maus 1312. Eine Diskette 1314 ist ein computerlesbares Medium, das zur Übertragung von Daten zu und von dem Computersystem 1300 verwendet wird.
  • 8B ist ein Beispiel eines Blockdiagramms für das Computersystem 1300. Eine große Vielzahl von Untersystemen ist an einen Systembus 1320 angebunden. Prozessor(en) 1322 (auch als zentrale Verarbeitungseinheiten oder CPUs bezeichnet) sind mit Speichereinrichtungen gekoppelt, die einen Speicher 1324 umfassen. Der Speicher 1324 umfasst einen Speicher mit wahlfreiem Zugriff (RAM) und einen Nurlesespeicher (ROM). Wie in der Technik wohlbekannt ist, wirkt ein ROM, um Daten und Befehle in eine Richtung an die CPU zu übertragen, und ein RAM wird typischerweise verwendet, um Daten und Befehle auf eine bidirektionale Weise zu übertragen. Diese beiden oder andere Speichertypen können eine beliebige geeignete Form der nachfolgend beschriebenen computerlesbaren Medien umfassen. Eine fest installierte Platte 1326 ist mit der CPU 1322 auch bidirektional gekoppelt; sie stellt eine zusätzliche Datenspeicherkapazität bereit und kann auch beliebige der nachfolgend beschriebenen computerlesbaren Medien umfassen. Die fest installierte Platte 1326 kann zur Speicherung von Programmen, Daten und dergleichen verwendet werden und ist typischerweise ein sekundäres Speichermedium (beispielsweise eine Festplatte), das langsamer als ein primärer Speicher ist. Es ist festzustellen, dass die in der fest installierten Platte 1326 enthaltene Information in geeigneten Fällen auf eine Standardweise als ein virtuel ler Speicher in den Speicher 1324 integriert werden kann. Die Wechselplatte 1314 kann die Form eines beliebigen der nachfolgend beschriebenen computerlesbaren Medien annehmen.
  • Die CPU 1322 ist auch mit einer Vielzahl von Eingabe/Ausgabeeinrichtungen gekoppelt, beispielsweise einer Anzeige 1304, einer Tastatur 1310, einer Maus 1312 und Lautsprechern 1330 und einem Regelungs- und Steuerungssystem zur Steuerung des Prozesses. Allgemein kann eine Eingabe/Ausgabeeinrichtung eine beliebige sein von: Videoanzeigen, Trackballs, Mäusen, Tastaturen, Mikrofonen, berührungsempfindlichen Anzeigen, Transducerkartenlesern, Magnet- oder Papierbandlesern, Tabletten, Griffeln, Sprach- oder Handschriftenerkennern, biometrischen Lesern oder anderen Computer. Die CPU 1322 kann optional unter Verwendung einer Netzwerkschnittstelle 1340 mit einem anderen Computer oder einem Telekommunikationsnetzwerk gekoppelt sein. Bei einer derartigen Netzwerkschnittstelle wird angenommen, dass die CPU Informationen aus dem Netzwerk empfangen kann oder dass sie im Zuge der Ausführung der voranstehend beschriebenen Verfahrensschritte Informationen an das Netzwerk ausgeben kann. Darüber hinaus können Ausführungsformen des Verfahrens der vorliegenden Erfindung auf der CPU 1322 allein ablaufen oder über ein Netzwerk wie das Internet in Verbindung mit einer entfernten CPU ablaufen, die einen Teil der Verarbeitung übernimmt.
  • Zusätzlich betreffen Ausführungsformen der vorliegenden Erfindung ferner Computerspeicherprodukte mit einem computerlesbaren Medium, die einen Computercode darauf aufweisen, um verschiedene computerimplementierte Operationen durchzuführen. Die Medien und der Computercode können für die Zwecke der vorliegenden Erfindung speziell entworfen und gebaut sein oder sie können von der Art sein, die denjenigen wohlbekannt und für diejenigen verfügbar ist, welche über Kenntnisse in der Computersoftwaretechnik verfügen. Beispiele computerlesbarer Medien umfassen, sind aber nicht beschränkt auf: magnetische Medien, wie z.B. Festplatten, Disketten und Magnetband; optische Medien wie z.B. CD-ROMs und holografische Einrichtungen; magnetooptische Medien, wie z.B. flexible optische Disketten; und Hardwareeinrichtungen, die speziell ausgestaltet sind, um einen Programmcode zu speichern und auszuführen, wie z.B. anwendungsspezifische integrierte Schaltkreise (ASICs), programmierbare Logikeinrichtungen (PLDs) und ROM- und RAM-Einrichtungen. Beispiele eines Computercodes umfassen einen Maschinencode, wie er beispielsweise von einem Compiler erzeugt wird, und Dateien, die einen Code auf höherer Ebene enthalten, welche von einem Computer unter Verwendung eines Interpreters ausgeführt werden. Computerlesbare Medien können auch ein Computercode sein, der durch ein Computerdatensignal übertragen wird, welches in einer Trägerwelle ausgeführt ist und eine Folge von Befehlen darstellt, die durch einen Prozessor ausführbar sind.
  • Andere Beispiele können andere Abscheidungseinrichtungen verwenden.
  • Ein Beispiel einer Abscheidungsphase 604 kann eine CH3F-Abscheidung unter Verwendung einer Chemie von 250 sccm (Standardkubikzentimeter pro Minute) Ar und 50 sccm CH3F bei einem Druck von 60 mTorr sein, welcher durch Setzen eines VAT-Ventils der Turbopumpe auf 1000 eingerichtet wird. Die 27 MHz RF-Quelle stellt eine Leistung von 500 W bereit, während die 2 MHz RF-Quelle eine Leistung von 100 W bereitstellt. Die Kammertemperatur wird bei 20°C gehalten. Ein Heliumkühlungsdruck zur Kühlung des Substrats beträgt 15 Torr. Ein derartiges Rezept bewirkt die Bildung einer Polymerseitenwandschicht.
  • Ein Beispiel einer Profilgestaltungsphase 608 kann eine C4F6/O2/CO-Abscheidung unter Verwendung einer Chemie von 270 sccm Ar, 12 sccm C4F6, 8 sccm O2 und 100 sccm CO bei einem Druck von 50 mTorr sein, der durch ein Setzen eines VAT-Ventils der Turbopumpe auf 1000 eingerichtet wird. Die 27 MHz RF-Quelle stellt eine Leistung von 1500 W bereit, während die 2 MHz RF-Quelle eine Leistung von 480 W bereitstellt. Die Kammertemperatur wird bei 20°C gehalten. Ein Heliumkühlungsdruck zur Kühlung des Substrats beträgt 15 Torr.
  • 9A ist eine Querschnittsansicht einer Abscheidungsschicht 920 aus der Abscheidungsphase. Die Abscheidungsschicht 920 ist über einer Maske 912 gebildet. Bei diesem Beispiel bildet die Abscheidungsphase eine "brotlaibförmige" Abscheidungsschicht, wie durch Umrisse 924 angezeigt ist. Die brotlaibförmige Abscheidungsschicht ist durch eine dickere Seitenwandabscheidung in der Nähe der Oberseite des Merkmals und eine dünnere (oder keine) Seitenwandabscheidung in der Nähe des Bodens des Merkmals gekennzeichnet. Zusätzlich bildet die Brotlaibform bei diesem Beispiel, wie gezeigt, eine Schicht direkt über der Bodenoberfläche des Merkmals. Daher liefert diese Abscheidung eine nicht konforme Seitenwandabscheidung. Eine derartige Abscheidung stellt die gewünschten im Wesentlichen vertikalen Seitenwände nicht bereit. Die Brotlaibform schnürt schließlich die Oberseite ab, welche dann nicht als eine Maskenschicht verwendet werden kann, da der Kontakt verschlossen sein wird und kein Ätzen durchgeführt werden kann.
  • 9B ist eine Querschnittsansicht einer Abscheidungsschicht 930, bei der nur die Profilgestaltungsphase verwendet wurde. Bei diesem Beispiel bildet die Profilgestaltungsphase eine "facettierte" Abscheidungsschicht aus, wie durch Umrisse 934 angezeigt ist. Die facettierte Abscheidungsschicht ist durch einen dünnere (oder keine) Seitenwandabscheidung in der Nähe der Oberseite des Merkmals und eine dickere Seitenwandabscheidung in der Nähe des Bodens des Merkmals gekennzeichnet. Die "facettierte" Abscheidung scheidet sich nicht direkt auf der Bodenoberfläche des Merkmals ab. Daher stellt auch diese Abscheidung eine nicht konforme Seitenwandabscheidung bereit. Wenn die Seitenwände in der Nähe der Oberseite zu dünn sind, kann das zu einem Facettieren der Photoresistmaske führen. Eine derartige Abscheidung stellt die gewünschten im Wesentlichen vertikalen Seitenwände nicht bereit. Das Facettieren der Ecken der Photoresistmaske kann eine niedrigere Ätzselektivität und eine schnelle Maskenerosion verursachen. Das Facettieren der Maske wird auch zu einem Facettieren des geätzten Profils führen. Bei fast allen Fällen wird, sobald die Maske facettiert ist, auch das endgültig geätzte Profil facettiert sein, da sich das vertikale Maskenprofil allgemein in das geätzte Material überträgt.
  • Die 9C ist eine Querschnittsansicht einer Abscheidungsschicht 940, die durch sechs Zyklen einer 2 Sekunden dauernden Abscheidung und einer 25 Sekunden dauernden Profilgestaltung gebildet wurde. Wie zu sehen ist, weist die Abscheidungsschicht vertikale Seitenwände und eine geringe oder keine Abscheidung an der Bodenoberfläche des Merkmals auf. Um einen Mehrphasenprozess mit sechs Zyklen bereitzustellen, ist eine Gasmodulationseinrichtung, welche zu einer schnellen Änderung von Gasrezepturen in der Lage ist, eine bevorzugte Vorrichtung.
  • Die Fähigkeit zur Steuerung der Zeitverhältnisse der Abscheidungsphase 604 und der Profilgestaltungsphase 608 stellt eine weitere Steuerungsvariable zur Verfügung. Ein geeignetes Verhältnis wird im Wesentlichen vertikale und konforme Seitenwände bereitstellen, wie in 9C dargestellt ist. Eine derartige Abscheidungsschicht ist auch in der Lage, die Photoresistmaske zu schützen, um eine Ätzselektivität zu erhöhen. Andere durch die Erfindung bereitgestellte Steuerungsparameter, die zur Steuerung der Abscheidungsprofile benutzt werden können, sind: die Anzahl der Zyklen, die gesamte Abscheidungszeit, das Zeitverhältnis von Abscheidungs-/Gestaltungsphase, Typen und Verhältnisse der Gaschemie (beispielsweise CH3F/O2, C4F6/O2, CH2F2, CHF3, CF4, H2, CH4, C2H4, SiH4, etc.). Vorzugsweise verwendet die Abscheidungsphase eine Chemie aus Kohlenwasserstoff und einem Fluorkohlenwasserstoff. Vorzugsweise ist der Kohlenwasserstoff CH4 und/oder C2H4. Der Fluorkohlenwasserstoff ist vorzugsweise CH3F, CH2F2, CHF3, C4F6 und/oder C4F8. Andere Gasmischungen, die während der Abscheidungsphase vorzugsweise verwendet werden können, sind CF4 und H2. Die Profilgestaltungsphase verwendet vorzugsweise eine Chemie eines Fluorkohlenwasserstoffs mit oder ohne andere Zusätze. Der Fluorkohlenwasserstoff ist vorzugsweise CH2F2, CHF3 und/oder CF4. Der andere Zusatz ist vorzugsweise Ar, Xe, He, Ne, O2, N2 und/oder H2.
  • Die Mehrphasenabscheidung ermöglicht es einem Profilgestaltungsschritt, ungewünschte Abscheidungen zu entfernen. Zusätzlich kann eine einzige lange Abscheidung eine Blasenbildung verursachen. Vorzugsweise verdichtet der Profilgestaltungsschritt das Polymer auch durch ein Bombardement des Polymers mit Ionen. Eine Verwendung mehrerer Zyklen zur Bildung der Abscheidungsschichten stellt auch eine feinere Steuerung der CD bereit. Zusätzlich stellt die Mehrzyklen-Mehrphasenabscheidung eine Profilsteuerung bereit. Die Verringerung einer Brotlaibbildung verringert eine Beschattung, was Ätzprofile verbessert. Zusätzlich verringert die Mehrzyklen-Mehrphasenabscheidung ein Schlängeln der Leitungen, das durch die Belastung einer Abscheidungsschicht verursacht wird, welche bewirkt, dass sich die Photoresistleitungen schlängen. Zusätzlich verhindert oder verringert der Profilgestaltungsschritt eine Abscheidung auf dem Boden des Maskenmerkmals, um Rückstände von der Abscheidung zu verhindern, welche von zurückgespritztem Material von der sich auf dem Boden des Maskenmerkmals befindenden Abscheidungsschicht stammen.
  • Vorzugsweise werden mindestens zwei Zyklen bei der Bildung der Abscheidungsschicht verwendet. Noch bevorzugter werden mindestens sechs Zyklen bei der Bildung der Abscheidungsschicht verwendet. Die Anzahl der Zyklen hängt von dem Betrag der Verringerung der CD ab und ist nicht auf 2 oder 6 begrenzt.
  • Die Fähigkeit zur Bildung von Merkmalen mit kleineren kritischen Dimensionen, ohne den Photoresist zu ändern, ermöglicht kleinere Merkmale ohne den Erwerb einer neuen Lithografieausrüstung. Wenn neuere Photoresistgenerationen verwendet werden, stellt die Erfindung kleine CD's für die neueren Photoresistgenerationen bereit, was eine Erweiterung der neueren Lithografie- und Photoresistsysteme ermöglicht.
  • Bei anderen Ausführungsformen können drei oder mehr verschiedene Gaschemien verwendet werden, die drei oder mehr verschiedene Abscheidungs- oder Ätzphasen bei der Bildung der Seitenwandschicht bereitstellen.
  • Beispiel eines Ätzens einer leitfähigen Schicht
  • Ein Beispielrezept, eine Einrichtung, die sowohl zum Abscheiden als auch zum Ätzen der Seitenwandschicht und der leitfähigen Schicht verwendet werden kann, ist in 10 dargestellt. 10 ist eine schematische Darstellung einer derartigen Einrichtung 1000, die sowohl für die Abscheidung als auch die Gestaltung der Abscheidungsschicht verwendet wird. Die Plasmaverarbeitungskammer 1000 umfasst eine induktive Antenne (oder Spule) 1002, eine Gasverteilungsplatte (GDP) 1004, einen Substratträger 1008, eine Gasquelle 1010 und eine Abgaspumpe 1020. Die Gasquelle 1010 steht in Fluidverbindung mit der Gasverteilungsplatte 1004 und umfasst eine Abscheidungsgasquelle 1012 und eine Ätzgasquelle 1016. Die Gasquelle 1010 kann zusätzliche Gasquellen umfassen, beispielsweise eine zweite Ätz- oder Abscheidungsgasquelle. Innerhalb der Plasmaverarbeitungskammer 1000 wird das Substrat 404 auf dem Substratträger 1008 angeordnet. Der Substratträger 1008 umfasst eine geeignete Substratspannvorrichtung (z.B. elektrostatisch, eine mechanische Klemmvorrichtung oder dergleichen) zum Festhalten des Substrats 404. Die Reaktoroberseite 1028 umfasst ein dielektrisches Quarzfenster 1076, welches die Übertragung von Energie von der Antenne 1002 in die Kammer ermöglicht. Das dielektrische Fenster 1076, der Substratträger 1008 und eloxierte Aluminiumkammerwände 1052 definierte das begrenzte Plasmavolumen. Ein Gas wird dem begrenzten Plasmavolumen durch die Gasquelle 1010 zugeführt und aus dem begrenzten Plasmavolumen von der Abgaspumpe 1020 durch einen Abgasanschluss ausgestoßen. Eine erste RF-Quelle 1044 ist mit der Antenne elektrisch verbunden. Eine zweite RF-Quelle 1048 ist mit dem Substratträger 1008 elektrisch verbunden. Bei diesem Beispiel stellt die erste RF-Quelle 1044 ein Signal mit einer Frequenz von 13,56 MHz bereit und die zweite RF-Quelle 1048 stellt ein Signal mit einer Frequenz von 13,56 MHz bereit.
  • Andere Ausführungsformen können eine Hartmaske für die Maske verwenden. Bei derartigen Ausführungsformen kann eine Photoresistmaske verwendet werden, um eine Hartmaske zu öffnen. Die Seitenwandschicht kann über der Hartmaske platziert werden, um die Zwischenräume zu verringern. Bei der Alternative kann die Seitenwandschicht über dem Photoresist platziert werden, bevor die Hartmaske geätzt wird.
  • Diese Erfindung ermöglicht auch eine Erforschung von Einrichtungen, die aktuellen Einrichtungen um mehrere Generationen voraus sind. Es ist möglich, dass noch keine Lithografiesysteme verfügbar sind, um derartige Einrichtungen zu erzeugen. Die Erfindung ermöglicht es einem aktuellen Lithografiesystem, eine Einrichtung zu schaffen, die einige Generationen kleiner als die aktuelle Lithografieeinrichtung ist, wodurch sie die Erzeugung von Einrichtungen mit kritischen Dimensionen ermöglicht, die als außerhalb der Reichweite aktueller Lithografieeinrichtungen betrachtet wurden.
  • Zwischenräume in der Maske können verwendet werden, um Löcher oder Gräben in die Ätzschicht zu ätzen.
  • Die Erfindung kann mit vielen verschiedenen Typen von Plasmaverarbeitungswerkzeugen verwendet werden, wie z.B. Ätzvorrichtungen vom Exelan- und TCP-Typ, hybridem PVD, CVD, MW, RIE, MORIE, TCP, ICP, etc.
  • Obwohl diese Erfindung unter Verwendung einiger bevorzugter Ausführungsformen beschrieben wurde, gibt es Änderungen, Permutationen und verschiedene Ersatzäquivalente, die in den Schutzumfang dieser Erfindung fallen. Es wird auch darauf hingewiesen, dass es viele alternative Wege zur Implementierung der Verfahren und Vorrichtungen der vorliegenden Erfindung gibt. Es ist daher beabsichtigt, dass die nachfolgenden beigefügten Ansprüche als alle derartigen Veränderungen, Permutationen und verschiedenen Ersatzäquivalente umfassend interpretiert werden, wie sie in den wahren Geist und Schutzumfang der vorliegenden Erfindung fallen.
  • Zusammenfassung
  • Es wird ein Verfahren zur Bildung von Merkmalen in einer Ätzschicht bereitgestellt. Eine erste Maske wird über der Ätzschicht gebildet, wobei die erste Maske mehrere Zwischenräume mit Breiten definiert. Eine Seitenwandschicht wird über der ersten Maske gebildet. Durch die Seitenwandschicht werden Merkmale in die Ätzschicht geätzt, wobei die Merkmale Breiten aufweisen, die kleiner als die Breiten der Zwischenräume sind, die durch die erste Maske definiert sind. Die Maske und die Seitenwandschicht werden entfernt. Über der Ätzschicht wird eine zusätzliche Maske gebildet, wobei die zusätzliche Maske mehrere Zwischenräume mit Breiten definiert. Eine Seitenwandschicht wird über der zusätzlichen Maske gebildet. Durch die Seitenwandschicht werden Merkmale in die Ätzschicht geätzt, wobei die Breiten kleiner als die Breiten der Zwischenräume sind, die durch die erste Maske definiert sind. Die Maske und die Seitenwandschicht werden entfernt.

Claims (20)

  1. Verfahren zur Bildung von Merkmalen in einer Ätzschicht, das umfasst: Bilden einer ersten Maske über der Ätzschicht, wobei die erste Maske mehrere Zwischenräume mit Breiten definiert; Bilden einer Seitenwandschicht über der ersten Maske, wobei die Seitenwandschicht die Breiten der Zwischenräume verringert, die durch die erste Maske definiert sind; Ätzen von Merkmalen durch die Seitenwandschicht in die Ätzschicht, wobei die Merkmale Breiten aufweisen, die kleiner als die Breiten der Zwischenräume sind, die durch die erste Maske definiert sind; Entfernen der Maske und der Seitenwandschicht; und Durchführen eines zusätzlichen Merkmalsschritts, der umfasst: Bilden einer zusätzlichen Maske über der Ätzschicht, wobei die zusätzliche Maske mehrere Zwischenräume mit Breiten definiert; Bilden einer Seitenwandschicht über der zusätzlichen Maske, wobei die Seitenwandschicht die Breiten der Zwischenräume verringert, welche durch die zusätzliche Maske definiert sind; Ätzen von Merkmalen durch die Seitenwandschicht in die Ätzschicht, wobei die Merkmale Breiten aufweisen, die kleiner als die Breiten der Zwischenräume sind, die durch die zusätzliche Maske definiert sind; und Entfernen der Maske und der Seitenwandschicht.
  2. Verfahren nach Anspruch 1, das ferner ein mindestens einmaliges Wiederholen des zusätzlichen Merkmalsschritts umfasst.
  3. Verfahren nach Anspruch 1 oder 2, wobei das Bilden der Seitenwandschicht über der ersten Maske mindestens ein Zyklus ist, der umfasst: eine Abscheidungsphase mit einer ersten Gaschemie zum Bilden eines Abscheidungsplasmas, um eine Abscheidung über den Seitenwänden der ersten Maske zu bilden; und eine Profilgestaltungsphase mit einer zweiten Gaschemie, um das Profil der Abscheidung über den Seitenwänden der ersten Maske zu gestalten, wobei die erste Gaschemie anders als die zweite Gaschemie ist; und wobei das Bilden der Seitenwandschicht über der zusätzlichen Maske mindestens ein Zyklus ist, der umfasst: eine Abscheidungsphase mit einer dritten Gaschemie zum Bilden eines Abscheidungsplasmas, um eine Abscheidung über den Seitenwänden der zusätzlichen Maske zu bilden; und eine Profilgestaltungsphase mit einer vierten Gaschemie, um das Profil der Abscheidung über den Seitenwänden der zusätzlichen Maske zu gestalten, wobei die dritte Gaschemie anders als die vierte Gaschemie ist.
  4. Verfahren nach Anspruch 3, wobei das Bilden der Seitenwandschichten über der ersten Maske für mindestens zwei Zyklen durchgeführt wird und das Bilden der Seitenwandschichten über der zusätzlichen Maske für mindestens zwei Zyklen durchgeführt wird.
  5. Verfahren nach einem der Ansprüche 1 bis 4, wobei das Bilden der Seitenwandschicht im Wesentlichen vertikale Seitenwände bildet.
  6. Verfahren nach einem der Ansprüche 1 bis 5, das ferner ein Platzieren der Ätzschicht in einer Plasmaverarbeitungskammer umfasst, wobei das Bilden der Seitenwandschicht und das Ätzen in der Plasmaverarbeitungskammer durchgeführt wird.
  7. Verfahren nach einem der Ansprüche 1 bis 5, wobei die erste Maske und die zusätzliche Maske Photoresistmasken sind und wobei die Seitenwandschichten aus einem Polymermaterial gebildet werden.
  8. Verfahren nach Anspruch 7, das ferner ein Entfernen bzw. Stripping der Photoresistmaske und der Seitenwandschicht mit einem einzigen Entfernungs- bzw. Strippingschritt umfasst.
  9. Verfahren nach Anspruch 8, wobei das Stripping der Photoresistmaske und der Seitenwandschicht ein Veraschen der Photoresistmaske und der Seitenwandschicht umfasst.
  10. Verfahren nach Anspruch 9, wobei das Veraschen der Photoresistmaske und der Seitenwandschicht in der gleichen Plasmaverarbeitungskammer wie das Bilden der Seitenwandschicht und das Ätzen durchgeführt wird.
  11. Verfahren nach einem der Ansprüche 1 bis 10, wobei das Bilden der Seitenwandschicht eine Seitenwandschicht bildet, die eine Seitenwanddicke und eine Bodendicke des Photoresistmerkmals aufweist, wobei die Seitenwanddicke größer als eine Bodendicke des Photoresistmerkmals ist.
  12. Verfahren nach einem der Ansprüche 3 bis 11, wobei die Abscheidungsphase eine brotlaibförmige Abscheidung ist.
  13. Verfahren nach einem der Ansprüche 1 bis 12, wobei die Breiten der Merkmale mindestens 50 % kleiner als die Breite der Zwischenräume ist, die durch die erste Maske definiert sind.
  14. Verfahren nach einem der Ansprüche 1 bis 13, wobei die Zwischenräume in der ersten Maske eine Abstandslänge aufweisen und wobei die in der Ätzschicht gebildeten Merkmale eine Abstandslänge aufweisen, die mindestens 50 % kleiner als die Abstandslänge der durch die erste Maske definierten Zwischenräume sind.
  15. Halbleitereinrichtung, die durch das Verfahren nach einem der Ansprüche 1 bis 14 gebildet ist.
  16. Verfahren zur Bildung von Merkmalen in einer Ätzschicht, das umfasst: Bilden einer ersten Maske über der Ätzschicht, wobei die erste Maske mehrere Zwischenräume mit Breiten definiert und wobei die mehreren Zwischenräume eine kritische Dimension und einen Abstand aufweisen; Bilden einer Seitenwandschicht über der ersten Maske, wobei die Seitenwandschicht die Breiten der Zwischenräume verringert, die durch die erste Maske definiert sind; Ätzen von Merkmalen durch die Seitenwandschicht in die Ätzschicht, wobei die Merkmale Breiten und eine kritische Dimension aufweisen, wobei die Merkmalsbreiten mindestens 50 % kleiner als die Breiten der Zwischenräume in der ersten Maske sind und die kritische Dimension der Merkmale mindestens 50 % kleiner als die kritische Dimension der Zwischenräume in der ersten Maske ist; Entfernen der Maske und der Seitenwandschicht; und Durchführen eines zusätzlichen Merkmalsschritts, der umfasst: Bilden einer zusätzlichen Maske über der Ätzschicht, wobei die zusätzliche Maske mehrere Zwischenräume mit Breiten definiert und wobei die mehreren Zwischenräume eine kritische Dimension und einen Abstand aufweisen; Bilden einer Seitenwandschicht über der zusätzlichen Maske, wobei die Seitenwandschicht die Breiten der Zwischenräume verringert, die durch die zusätzliche Maske definiert sind; Ätzen zusätzlicher Merkmale durch die Seitenwandschicht in die Ätzschicht, wobei die zusätzlichen Merkmale Breiten und eine kritische Dimension aufweisen, wobei die Breiten der zusätzlichen Merkmale mindestens 50 % kleiner als die Breiten der Zwischenräume in der zusätzlichen Maske sind und die kritische Dimension der zusätzlichen Merkmale mindestens 50 % kleiner als die kritische Dimension der Zwischenräume in der zusätzlichen Maske ist und wobei die Merkmale und zusätzlichen Merkmale einen Abstand aufweisen, der mindestens 50 % kleiner als der Abstand der Zwischenräume in der ersten Maske und der Abstand der Zwischenräume in der zusätzlichen Maske ist; und Entfernen der Maske und der Seitenwandschicht.
  17. Verfahren nach Anspruch 16, wobei das Bilden der Seitenwandschicht über der ersten Maske mindestens zwei Zyklen umfasst, wobei jeder Zyklus umfasst: eine Abscheidungsphase mit einer ersten Gaschemie zum Bilden eines Abscheidungsplasmas, um eine Abscheidung über den Seitenwänden der ersten Maske zu bilden; und eine Profilgestaltungsphase mit einer zweiten Gaschemie, um das Profil der Abscheidung über den Seitenwänden der ersten Maske zu gestalten, wobei die erste Gaschemie anders als die zweite Gaschemie ist; und wobei das Bilden der Seitenwandschicht über der zusätzlichen Maske mindestens zwei Zyklen umfasst, wobei jeder Zyklus umfasst: eine Abscheidungsphase mit einer dritten Gaschemie zum Bilden eines Abscheidungsplasmas, um eine Abscheidung über den Seitenwänden der zusätzlichen Maske zu bilden; und eine Profilgestaltungsphase mit einer vierten Gaschemie, um das Profil der Abscheidung über den Seitenwänden der zusätzlichen Maske zu gestalten, wobei die dritte Gaschemie anders als die vierte Gaschemie ist.
  18. Verfahren nach Anspruch 16 oder 17, das ferner ein Platzieren der Ätzschicht in einer Plasmaverarbeitungskammer umfasst, wobei das Bilden der Seitenwandschicht, das Ätzen und das Entfernen der Maske und der Seitenwandschicht in der Plasmaverarbeitungskammer durchgeführt werden.
  19. Verfahren nach einem der Ansprüche 16 bis 18, wobei die erste Maske eine Photoresistmaske ist und wobei die Seitenwandschicht aus einem Polymermaterial besteht.
  20. Verfahren nach Anspruch 19, wobei eine Hartmaske zwischen der Photoresistmaske und der zu ätzenden Schicht platziert wird.
DE112006000308T 2005-02-03 2006-01-20 Verfahren zur Verringerung kritischer Abmessungen unter Verwendung mehrerer Maskenschritte Withdrawn DE112006000308T5 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/050,985 US7271107B2 (en) 2005-02-03 2005-02-03 Reduction of feature critical dimensions using multiple masks
US11/050,985 2005-02-03
PCT/US2006/002164 WO2006083592A1 (en) 2005-02-03 2006-01-20 Method for reducing critical dimensions using multiple masking steps

Publications (1)

Publication Number Publication Date
DE112006000308T5 true DE112006000308T5 (de) 2008-03-20

Family

ID=36570427

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112006000308T Withdrawn DE112006000308T5 (de) 2005-02-03 2006-01-20 Verfahren zur Verringerung kritischer Abmessungen unter Verwendung mehrerer Maskenschritte

Country Status (8)

Country Link
US (1) US7271107B2 (de)
JP (2) JP2008529313A (de)
KR (1) KR101184956B1 (de)
CN (1) CN100568458C (de)
DE (1) DE112006000308T5 (de)
IL (1) IL184855A (de)
TW (1) TWI411040B (de)
WO (1) WO2006083592A1 (de)

Families Citing this family (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7449348B1 (en) * 2004-06-02 2008-11-11 Advanced Micro Devices, Inc. Feedback control of imprint mask feature profile using scatterometry and spacer etchback
KR100706780B1 (ko) * 2004-06-25 2007-04-11 주식회사 하이닉스반도체 주변영역의 선폭을 줄일 수 있는 반도체 소자 제조 방법
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US7539969B2 (en) * 2005-05-10 2009-05-26 Lam Research Corporation Computer readable mask shrink control processor
US7465525B2 (en) 2005-05-10 2008-12-16 Lam Research Corporation Reticle alignment and overlay for multiple reticle process
US7120046B1 (en) 2005-05-13 2006-10-10 Micron Technology, Inc. Memory array with surrounding gate access transistors and capacitors with global and staggered local bit lines
US7371627B1 (en) 2005-05-13 2008-05-13 Micron Technology, Inc. Memory array with ultra-thin etched pillar surround gate access transistors and buried data/bit lines
US7429536B2 (en) * 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7695632B2 (en) * 2005-05-31 2010-04-13 Lam Research Corporation Critical dimension reduction and roughness control
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7888721B2 (en) 2005-07-06 2011-02-15 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US7768051B2 (en) 2005-07-25 2010-08-03 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US7413981B2 (en) 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US7273815B2 (en) * 2005-08-18 2007-09-25 Lam Research Corporation Etch features with reduced line edge roughness
US8123968B2 (en) 2005-08-25 2012-02-28 Round Rock Research, Llc Multiple deposition for integration of spacers in pitch multiplication process
US7816262B2 (en) 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7696567B2 (en) 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7829262B2 (en) 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7687342B2 (en) 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7759197B2 (en) 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7557032B2 (en) 2005-09-01 2009-07-07 Micron Technology, Inc. Silicided recessed silicon
US7416943B2 (en) 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7776744B2 (en) 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7682516B2 (en) * 2005-10-05 2010-03-23 Lam Research Corporation Vertical profile fixing
US7842558B2 (en) 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US7476933B2 (en) 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8003310B2 (en) 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7429533B2 (en) * 2006-05-10 2008-09-30 Lam Research Corporation Pitch reduction
US7795149B2 (en) * 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
KR20080012055A (ko) * 2006-08-02 2008-02-11 주식회사 하이닉스반도체 마스크 패턴 형성 방법
US7833427B2 (en) * 2006-08-14 2010-11-16 Micron Technology, Inc. Electron beam etching device and method
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7666578B2 (en) 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
JP2008091720A (ja) * 2006-10-03 2008-04-17 Toshiba Corp 半導体装置の製造方法
US7309646B1 (en) 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8563229B2 (en) * 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
JP5236983B2 (ja) * 2007-09-28 2013-07-17 東京エレクトロン株式会社 半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びプログラム記憶媒体
JP5248902B2 (ja) * 2007-10-11 2013-07-31 東京エレクトロン株式会社 基板処理方法
US7737039B2 (en) 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
US7659208B2 (en) 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
US7790531B2 (en) 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
CN101903977A (zh) * 2007-12-21 2010-12-01 朗姆研究公司 光刻胶两次图案化
WO2009085564A2 (en) * 2007-12-21 2009-07-09 Lam Research Corporation Etch with high etch rate resist mask
JP5710267B2 (ja) * 2007-12-21 2015-04-30 ラム リサーチ コーポレーションLam Research Corporation シリコン構造体の製造及びプロファイル制御を伴うシリコンディープエッチング
US8030218B2 (en) 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
US8277670B2 (en) * 2008-05-13 2012-10-02 Lam Research Corporation Plasma process with photoresist mask pretreatment
US20090286402A1 (en) * 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
US8101497B2 (en) 2008-09-11 2012-01-24 Micron Technology, Inc. Self-aligned trench formation
JP5511168B2 (ja) * 2008-09-19 2014-06-04 スパンション エルエルシー 半導体装置の製造方法
US8173547B2 (en) 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US9018098B2 (en) 2008-10-23 2015-04-28 Lam Research Corporation Silicon etch with passivation using chemical vapor deposition
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
JP5260356B2 (ja) * 2009-03-05 2013-08-14 東京エレクトロン株式会社 基板処理方法
JP5357710B2 (ja) * 2009-11-16 2013-12-04 東京エレクトロン株式会社 基板処理方法,基板処理装置,プログラムを記録した記録媒体
US8304262B2 (en) * 2011-02-17 2012-11-06 Lam Research Corporation Wiggling control for pseudo-hardmask
JP5685762B2 (ja) * 2011-03-07 2015-03-18 みずほ情報総研株式会社 プラズマ加工形状シミュレーション装置及びプログラム
US8980111B2 (en) * 2012-05-15 2015-03-17 Tokyo Electron Limited Sidewall image transfer method for low aspect ratio patterns
CN103928392B (zh) * 2013-01-10 2017-05-17 中芯国际集成电路制造(上海)有限公司 局部互连结构的制作方法
US9177797B2 (en) * 2013-12-04 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
TWI621210B (zh) * 2014-08-27 2018-04-11 聯華電子股份有限公司 一種製作半導體元件的方法
CN106154743B (zh) * 2015-03-24 2019-11-01 中芯国际集成电路制造(上海)有限公司 掩模及其形成方法
US9484202B1 (en) * 2015-06-03 2016-11-01 Applied Materials, Inc. Apparatus and methods for spacer deposition and selective removal in an advanced patterning process
US10546756B2 (en) * 2016-11-29 2020-01-28 Lam Research Corporation Method for generating vertical profiles in organic layer etches
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
CN108344512B (zh) * 2018-01-30 2019-11-12 东南大学 一种电梯闸皮不均匀磨损的检测方法
CN108470678A (zh) * 2018-03-29 2018-08-31 德淮半导体有限公司 半导体结构及其形成方法
CN108919407A (zh) * 2018-07-11 2018-11-30 京东方科技集团股份有限公司 金属线及金属线栅的制备方法以及线栅偏振片、电子装置
US11177160B2 (en) * 2020-03-24 2021-11-16 International Business Machines Corporation Double patterned lithography using spacer assisted cuts for patterning steps
CN113097066B (zh) * 2021-03-30 2024-03-29 上海华力微电子有限公司 半导体器件的制备方法

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6376330A (ja) * 1986-09-18 1988-04-06 Oki Electric Ind Co Ltd 半導体装置の製造方法
US4707218A (en) * 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
US4801350A (en) * 1986-12-29 1989-01-31 Motorola, Inc. Method for obtaining submicron features from optical lithography technology
US4838991A (en) * 1987-10-30 1989-06-13 International Business Machines Corporation Process for defining organic sidewall structures
US5420067A (en) * 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
JP3050965B2 (ja) 1991-09-27 2000-06-12 沖電気工業株式会社 レジストパタンの形成方法
US5874359A (en) * 1995-04-27 1999-02-23 Industrial Technology Research Institute Small contacts for ultra large scale integration semiconductor devices without separation ground rule
US5654238A (en) * 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
US5895740A (en) * 1996-11-13 1999-04-20 Vanguard International Semiconductor Corp. Method of forming contact holes of reduced dimensions by using in-situ formed polymeric sidewall spacers
US5981148A (en) * 1997-07-17 1999-11-09 International Business Machines Corporation Method for forming sidewall spacers using frequency doubling hybrid resist and device formed thereby
JPH11186230A (ja) * 1997-12-19 1999-07-09 Nec Corp 半導体装置の製造方法
US6183937B1 (en) * 1998-05-06 2001-02-06 Taiwan Semiconductor Manufacturing Company Post photodevelopment isotropic radiation treatment method for forming patterned photoresist layer with attenuated linewidth
US6211092B1 (en) * 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
US6416933B1 (en) * 1999-04-01 2002-07-09 Advanced Micro Devices, Inc. Method to produce small space pattern using plasma polymerization layer
US6368974B1 (en) * 1999-08-02 2002-04-09 United Microelectronics Corp. Shrinking equal effect critical dimension of mask by in situ polymer deposition and etching
US6500744B2 (en) * 1999-09-02 2002-12-31 Micron Technology, Inc. Methods of forming DRAM assemblies, transistor devices, and openings in substrates
US6610607B1 (en) * 2000-05-25 2003-08-26 International Business Machines Corporation Method to define and tailor process limited lithographic features using a modified hard mask process
DE10042929A1 (de) * 2000-08-31 2002-03-21 Infineon Technologies Ag OPC-Verfahren zum Erzeugen von korrigierten Mustern für eine Phasensprungmaske und deren Trimmmaske sowie zugehörige Vorrichtung und integrierte Schaltungsstruktur
US6528238B1 (en) * 2000-09-22 2003-03-04 David Seniuk Methods for making patterns in radiation sensitive polymers
JP2002110654A (ja) * 2000-10-04 2002-04-12 Sony Corp 半導体装置の製造方法
US6665856B1 (en) * 2000-12-01 2003-12-16 Numerical Technologies, Inc. Displacing edge segments on a fabrication layout based on proximity effects model amplitudes for correcting proximity effects
US6653026B2 (en) * 2000-12-20 2003-11-25 Numerical Technologies, Inc. Structure and method of correcting proximity effects in a tri-tone attenuated phase-shifting mask
US6589713B1 (en) * 2001-01-29 2003-07-08 Advanced Micro Devices, Inc. Process for reducing the pitch of contact holes, vias, and trench structures in integrated circuits
US20020182549A1 (en) * 2001-05-31 2002-12-05 Ya-Hui Chang Alternate exposure method for improving photolithography resolution
US6528372B2 (en) * 2001-06-27 2003-03-04 Advanced Micro Devices, Inc. Sidewall spacer definition of gates
US6750150B2 (en) * 2001-10-18 2004-06-15 Macronix International Co., Ltd. Method for reducing dimensions between patterns on a photoresist
DE10223249A1 (de) 2002-05-22 2003-12-18 Infineon Technologies Ag Vorrichtung, Verfahren und Maske zur Strukturierung eines Substrates
US6774051B2 (en) * 2002-06-12 2004-08-10 Macronix International Co., Ltd. Method for reducing pitch
EP1597631B1 (de) * 2003-02-27 2009-07-22 The University of Hong Kong Mehrfachbelichtungsverfahren zur schaltungsleistungsverbesserung und maskenset
US7326501B2 (en) * 2003-03-10 2008-02-05 Intel Corporation Method for correcting focus-dependent line shifts in printing with sidewall chrome alternating aperture masks (SCAAM)
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
JP3923927B2 (ja) * 2003-07-07 2007-06-06 沖電気工業株式会社 半導体装置の製造方法
US7250371B2 (en) * 2003-08-26 2007-07-31 Lam Research Corporation Reduction of feature critical dimensions
JP4727171B2 (ja) * 2003-09-29 2011-07-20 東京エレクトロン株式会社 エッチング方法
US6968532B2 (en) * 2003-10-08 2005-11-22 Intel Corporation Multiple exposure technique to pattern tight contact geometries
US6955961B1 (en) * 2004-05-27 2005-10-18 Macronix International Co., Ltd. Method for defining a minimum pitch in an integrated circuit beyond photolithographic resolution
US7105099B2 (en) * 2004-07-14 2006-09-12 Macronix International Co., Ltd. Method of reducing pattern pitch in integrated circuits

Also Published As

Publication number Publication date
TWI411040B (zh) 2013-10-01
KR20070100420A (ko) 2007-10-10
KR101184956B1 (ko) 2012-10-02
JP2008529313A (ja) 2008-07-31
CN100568458C (zh) 2009-12-09
IL184855A0 (en) 2007-12-03
US7271107B2 (en) 2007-09-18
WO2006083592A1 (en) 2006-08-10
IL184855A (en) 2010-12-30
JP2012124535A (ja) 2012-06-28
CN101164143A (zh) 2008-04-16
US20060172540A1 (en) 2006-08-03
TW200707580A (en) 2007-02-16

Similar Documents

Publication Publication Date Title
DE112006000308T5 (de) Verfahren zur Verringerung kritischer Abmessungen unter Verwendung mehrerer Maskenschritte
DE102014118843B4 (de) Verfahren zum Beheben von Problemen eines Linienbruchs und eines Fotolackrandes beim Strukturieren eines dreilagigen Fotolacks
DE69836943T2 (de) Planarisierung von einer nicht-konformen Vorrichtungsschicht in Halbleiterherstellung
US7241683B2 (en) Stabilized photoresist structure for etching process
DE60038423T2 (de) Verfahren zur Herstellung eines Halbleiterbauelements
US7250371B2 (en) Reduction of feature critical dimensions
DE69835032T2 (de) Verbesserte methode eine oxidschicht zu ätzen
KR101564474B1 (ko) 고밀도 패턴 형성 방법
US7465525B2 (en) Reticle alignment and overlay for multiple reticle process
DE4142469B4 (de) Verfahren zur Erzeugung und zur Entfernung von temporären Siliziumdioxid-Strukturen
DE102013221228B4 (de) Verfahren zum Entfernen einer dielektrischen Schicht von einem Boden eines Grabens
DE112012000932T5 (de) Verbessertes Seitenwand-Bildübertragungsverfahren
DE10245179A1 (de) Leitungen auf mehreren Ebenen mit reduziertem Rasterabstand
US7539969B2 (en) Computer readable mask shrink control processor
DE102011056669B4 (de) Verfahren zum Definieren einer Trennstruktur in einem Halbleiterbauelement
DE112015001462T5 (de) Erhöhung einer Ätzgeschwindigkeit für einen Silicium-Ätzprozess durch eine Vorbehandlung einer Ätzkammer
DE10054109A1 (de) Verfahren zum Bilden eines Substratkontakts in einem Feldeffekttransistor, der über einer vergrabenen Isolierschicht gebildet ist
DE10341321B4 (de) Verfahren zur Bildung eines Grabens in einer Schicht oder einem Schichtstapel auf einem Halbleiterwafer
DE102009004550B4 (de) Verfahren zur Bildung von Zwischenverbindungen
DE102014103428A1 (de) Verfahren zum Bearbeiten eines Trägers
DE102005030449A1 (de) Verfahren zur Bildung einer Floating-Gate-Elektrode in einem Flash-Speicherbauelement
DE112005001713B4 (de) Verfahren zum Ätzen und Herstellen einer Mikrospiegelvorrichtung
DE10059836A1 (de) Verfahren zur Strukturierung dielektrischer Schichten
DE102019216291A1 (de) Optische und euv-hybridlithographie
DE10259322B4 (de) Verfahren zum Bilden einer Justiermarke in einer lichtundurchlässigen Schicht auf einem Substrat

Legal Events

Date Code Title Description
R005 Application deemed withdrawn due to failure to request examination

Effective date: 20130122