DE19651075A1 - Einheit zur Verarbeitung von numerischen und logischen Operationen, zum Einsatz in Prozessoren (CPU's), Mehrrechnersystemen, Datenflußprozessoren (DFP's), digitalen Signal Prozessoren (DSP's) oder dergleichen - Google Patents

Einheit zur Verarbeitung von numerischen und logischen Operationen, zum Einsatz in Prozessoren (CPU's), Mehrrechnersystemen, Datenflußprozessoren (DFP's), digitalen Signal Prozessoren (DSP's) oder dergleichen

Info

Publication number
DE19651075A1
DE19651075A1 DE19651075A DE19651075A DE19651075A1 DE 19651075 A1 DE19651075 A1 DE 19651075A1 DE 19651075 A DE19651075 A DE 19651075A DE 19651075 A DE19651075 A DE 19651075A DE 19651075 A1 DE19651075 A1 DE 19651075A1
Authority
DE
Germany
Prior art keywords
unit
data
pae
bus
ealu
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE19651075A
Other languages
English (en)
Inventor
Martin Vorbach
Robert Muench
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
PACT INF TECH GmbH
Original Assignee
PACT INF TECH GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=7814099&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=DE19651075(A1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by PACT INF TECH GmbH filed Critical PACT INF TECH GmbH
Priority to DE19651075A priority Critical patent/DE19651075A1/de
Priority to US08/946,810 priority patent/US6425068B1/en
Priority to PCT/DE1997/002949 priority patent/WO1998026356A1/de
Priority to CA002274532A priority patent/CA2274532A1/en
Priority to DE19781412T priority patent/DE19781412D2/de
Priority to AU56514/98A priority patent/AU5651498A/en
Priority to JP52493898A priority patent/JP3963957B2/ja
Priority to EP09014607A priority patent/EP2166459A1/de
Priority to EA199900441A priority patent/EA004240B1/ru
Priority to EP02028401A priority patent/EP1310881B1/de
Priority to CN97181623A priority patent/CN1247613A/zh
Priority to AT97952730T priority patent/ATE244421T1/de
Priority to EP97952730A priority patent/EP0943129B1/de
Priority to DE59710383T priority patent/DE59710383D1/de
Priority to DE59713029T priority patent/DE59713029D1/de
Publication of DE19651075A1 publication Critical patent/DE19651075A1/de
Priority to US09/329,132 priority patent/US6728871B1/en
Priority to US10/156,397 priority patent/US7237087B2/en
Priority to US10/791,501 priority patent/US7565525B2/en
Priority to JP2007054895A priority patent/JP2007174701A/ja
Priority to US11/820,780 priority patent/US8156312B2/en
Priority to US12/368,709 priority patent/US7822968B2/en
Priority to US12/844,043 priority patent/US20110010523A1/en
Withdrawn legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • G06F1/12Synchronisation of different clock signals provided by a plurality of clock generators
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/08Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
    • B01J19/087Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electric or magnetic energy
    • B01J19/088Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electric or magnetic energy giving rise to electric discharges
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B13/00Oxygen; Ozone; Oxides or hydroxides in general
    • C01B13/10Preparation of ozone
    • C01B13/11Preparation of ozone by electric discharge
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3237Power saving characterised by the action undertaken by disabling clock generation or distribution
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/76Architectures of general purpose stored program computers
    • G06F15/78Architectures of general purpose stored program computers comprising a single central processing unit
    • G06F15/7867Architectures of general purpose stored program computers comprising a single central processing unit with reconfigurable architecture
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/30007Arrangements for executing specific machine instructions to perform operations on data operands
    • G06F9/3001Arithmetic instructions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30098Register arrangements
    • G06F9/30101Special purpose registers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30098Register arrangements
    • G06F9/3012Organisation of register space, e.g. banked or distributed register file
    • G06F9/30134Register stacks; shift registers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3885Concurrent instruction execution, e.g. pipeline, look ahead using a plurality of independent parallel functional units
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3885Concurrent instruction execution, e.g. pipeline, look ahead using a plurality of independent parallel functional units
    • G06F9/3893Concurrent instruction execution, e.g. pipeline, look ahead using a plurality of independent parallel functional units controlled in tandem, e.g. multiplier-accumulator
    • G06F9/3895Concurrent instruction execution, e.g. pipeline, look ahead using a plurality of independent parallel functional units controlled in tandem, e.g. multiplier-accumulator for complex operations, e.g. multidimensional or interleaved address generators, macros
    • G06F9/3897Concurrent instruction execution, e.g. pipeline, look ahead using a plurality of independent parallel functional units controlled in tandem, e.g. multiplier-accumulator for complex operations, e.g. multidimensional or interleaved address generators, macros with adaptable data path
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/08Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
    • B01J2219/0803Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electric or magnetic energy
    • B01J2219/0805Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electric or magnetic energy giving rise to electric discharges
    • B01J2219/0845Details relating to the type of discharge
    • B01J2219/0849Corona pulse discharge
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B2201/00Preparation of ozone by electrical discharge
    • C01B2201/20Electrodes used for obtaining electrical discharge
    • C01B2201/22Constructional details of the electrodes
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B2201/00Preparation of ozone by electrical discharge
    • C01B2201/20Electrodes used for obtaining electrical discharge
    • C01B2201/24Composition of the electrodes
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B2201/00Preparation of ozone by electrical discharge
    • C01B2201/30Dielectrics used in the electrical dischargers
    • C01B2201/32Constructional details of the dielectrics
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F7/00Methods or arrangements for processing data by operating upon the order or content of the data handled
    • G06F7/38Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation
    • G06F7/48Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation using non-contact-making devices, e.g. tube, solid state device; using unspecified devices
    • G06F7/57Arithmetic logic units [ALU], i.e. arrangements or devices for performing two or more of the operations covered by groups G06F7/483 – G06F7/556 or for performing logical operations
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D30/00Reducing energy consumption in communication networks
    • Y02D30/50Reducing energy consumption in communication networks in wire-line communication networks, e.g. low power modes or reduced link rate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S422/00Chemical apparatus and process disinfecting, deodorizing, preserving, or sterilizing
    • Y10S422/907Corona or glow discharge means

Description

1. Hintergrund der Erfindung 1.1 Stand der Technik
In der Offenlegungsschrift DE 44 16 881 A1 ist ein Verfahren zur Verarbeitung von Daten beschrieben. Dabei werden in ihrer Funktion und Vernetzung weitgehend frei konfigurierbare, homogen angeordnete Zellen verwendet.
Unabhängig von der erwähnten Offenlegungsschrift werden zunehmend FPGA-Bau­ steine (frei programmierbare Logikbausteine) verwendet, um Rechenwerke und Da­ tenverarbeitungseinrichtungen aus einer Vielzahl einzelner Logikzellen aufzubauen.
Ein weiteres bekanntes Verfahren ist der Aufbau von Datenverarbeitungseinrich­ tungen aus festen, programmgesteuerten Rechenwerken mit weitgehend fester Ver­ netzung, sogenannten systolischen Prozessoren.
1.2 Probleme 1.2.1 Bausteine nach DE 44 16 881 A1
Bausteine nach dem in DE 44 16 881 A1 beschriebenen Verfahren (im folgen­ den VPUs genannt) sind aufgrund der hohen Zahl kleiner Logikzellen sehr auf­ wendig zu konfigurieren. Zur Steuerung einer Logikzelle sind mehrere Steuer-Bit in einem statischen Speicher (SRAM) anzugeben. Für jede Logikzelle existiert ei­ ne SRAM-Adresse. Die Zahl der zu konfigurierenden SRAM-Zellen ist sehr hoch, was zu einem erheblichen Platzbedarf und Zeitaufwand für die Konfiguration und Umkonfiguration eines solchen Bausteines führt. Der hohe Platzbedarf ist beson­ ders unangenehm, da die Verarbeitungsleistung einer VPU mit steigender Zahl der Zellen zunimmt. Jedoch ist die verwendbare Fläche eines Bausteines durch die Chipfertigungstechnologien begrenzt. Der Preis eines Chips steigt etwa quadratisch zur Chipfläche. Aufgrund der mehrfach abgebildeten Next-Neighbour Vernetzungs­ struktur ist ein Broadcast, also das Senden von Daten an mehrere Empfänger gleich­ zeitig, unmöglich. Sollten VPUs im Betrieb umkonfiguriert werden, ist es zwingend erforderlich kurze Umkonfigurationszeiten zu erreichen. Dagegen steht jedoch die große Anzahl an Konfigurationsdaten die benötigt werden, um den Chip umzukon­ figurieren. Es existiert keine Möglichkeit Zellen von der Versorgungsspannung zu trennen oder langsamer zu takten, um die Verlustleistung zu minimieren.
1.2.2 FPGAs
Für den beschriebenen Einsatzbereich interessante FPGAs bestehen zumeist aus Multiplexer oder Look-Up-Table (LUT) Strukturen. Zur Implementierung werden SRAM-Zellen eingesetzt. Aufgrund der Vielzahl an kleinen SRAM-Zellen sind sie sehr aufwendig zu konfigurieren. Es sind große Datenmengen erforderlich, die einen entsprechend großen Zeitaufwand zum Konfigurieren und Umkonfigurieren erfor­ dern. SRAM-Zellen benötigen sehr viel Platz. Jedoch ist die verwendbare Fläche eines Bausteines durch die Chipfertigungstechnologien begrenzt. Der Preis steigt auch hier etwa-quadratisch zur Chipfläche. SRAM basierende Technologie ist durch die Zugriffszeit auf den SRAM langsamer als direkt integrierte Logik. Obwohl vie­ le FPGAs auf Busstrukturen aufbauen, sind keinerlei Broadcast-Möglichkeiten zum schnellen und effektiven Senden von Daten an mehrere Empfänger gleichzeitig gege­ ben. Sollen FPGAs im Betrieb umkonfiguriert werden, ist es zwingend erforderlich kurze Konfigurationszeiten zu erreichen. Dagegen steht jedoch die große Anzahl an Konfigurationsdaten die benötigt werden. FPGAs bieten keinerlei Unterstützung für eine sinnvolle Umkonfigurierung im Betrieb. Der Programmierer hat dafür zu sor­ gen, daß der Vorgang ordentlich ohne störende Einflüsse auf Daten und umgebende Logik abläuft. Eine intelligente Logik zum Minimieren der Verlustleistung ist nicht vorhanden. Es bestehen keine speziellen Funktionseinheiten, die eine Rückmeldung der internen Betriebszustände an die das FPGA steuernde Logik ermöglichen.
1.2.3 Systolische Prozessoren
Bei systolischen Prozessoren entfällt die Umkonfigurierung komplett, jedoch sind diese Prozessoren unflexibel, da sie einen starren internen Aufbau besitzen. Befehle werden in jedem Zyklus neu dekodiert. Wie bereits in der beiden vorherigen Ab­ schnitten beschrieben fehlen Funktionen, die ein Broadcasting oder die effiziente Minimierung der Verlustleistung beinhalten.
1.3 Verbesserung durch die Erfindung, Aufgabe
Die Erfindung umfaßt ein kaskadierbares Rechenwerk, das flexibel in seiner Funk­ tion und Vernetzung konfigurierbar ist. Es benötigt während der Ausführung des Algorithmus keine Befehlsdekodierung. Es ist im Betrieb umkonfigurierbar, ohne Einfluß auf die umgebenden Rechenwerke, Verarbeitungsmodule und Datenströme zu haben. Die Menge der Konfigurationsdaten ist sehr klein, was sich günstig auf Platzbedarf und Konfigurationsgeschwindigkeit auswirkt. Um große Datenmengen schnell und effizient zu verteilen wird Broadcasting über die internen Bussysteme unterstützt. Das Rechenwerk ist mit einer Stromsparfunktion ausgestattet, wodurch die Stromaufnahme komplett ausgeschaltet wird, ebenso existiert ein Taktteiler, der es ermöglicht das Rechenwerk mit einem geringeren Takt zu betrieben. Für Rück­ meldungen der internen Zustände an die externen Steuerungen stehen besondere Mechanismen zur Verfügung.
2. Beschreibung der Erfindung 2.1 Übersicht über die Erfindung, Abstrakt
Die Erfindung beschreibt den Aufbau einer Zelle im Sinne von DE 44 16 881 A1 oder bekannter FPGA Zellen. In diese Zelle integriert ist eine um Sonderfunktio­ nen erweiterte Arithmetisch-Logische-Einheit (EALU), die die Datenverarbeitung durchführt. Die EALU wird über ein Funktionsregisterregister konfiguriert, dadurch sinkt die für die Konfiguration erforderliche Datenmenge erheblich. Die Zelle ist über ein Bussystem frei kaskadierbar, dabei ist die EALU vom Bussystem über Ein- und Ausgaberegister entkoppelt. Die Ausgaberegister sind auf einen Eingang der EALU zurückgeführt um serielle Operationen zu ermöglichen. Die Aufschaltung auf den Bus übernimmt eine Bussteuereinheit, die den Bus entsprechend dem Busregister vernetzt. Dabei ist die Einheit so konzipiert, daß das Verteilen von Daten an meh­ rere Empfänger (Broadcasting) möglich ist. Eine Synchronisationsschaltung steuert den Datenaustausch zwischen mehreren Zellen über das Bussystem. Die EALU, die Synchronisationsschaltung, die Bussteuereinheit und die Register sind so gestaltet, daß eine Zelle unabhängig von ihren Umgebungszellen im Betrieb umkonfiguriert werden kann. Über das Funktionsregister kann ein Stromsparmodus konfiguriert werden, der die Zelle abschaltet, ebenfalls können Taktteiler eingestellt werden, die die Arbeitsfrequenz verringern.
2.2 Detailbeschreibung der Erfindung
Die Erfindung beschreibt den Aufbau einer Zelle (PAE=Processing Array Element) im Sinne von DE 44 16 881 A1 oder bekannter FPGA Zellen, dabei sind die PAEs zu einem Array (Processing Array = PA) kaskadierbar. Eine PAE ist aus einer Vielzahl von Funktionseinheiten aufgebaut:
2.2.1 EALU
Das Rechenwerk besteht aus einer fest in Logik implementierten erweiterten Arith­ metisch-Logischen-Einheit EALU. Eine EALU ist eine gewöhnliche Arithmetisch- Logische-Einheit nach dem Stand der Technik (ALU), die durch spezielle Funktio­ nen, wie Zähler erweitert ist. Diese EALU ist in der Lage eine Vielzahl von arith­ metischen und logischen Operationen durchzuführen, ohne hier genau spezifiziert werden zu müssen, da auf ALUs die dem Stand der Technik entsprechen zurück­ gegriffen werden kann. Die EALU hat direkten Zugriff auf ihre eigenen Ergebnisse, diese werden wir nachfolgend beschrieben als Operand zurückgeführt. Dadurch sind Zähler oder serielle Operationen, wie die serielle Multiplikation, Division oder Rei­ henentwicklungen, möglich. Die EALU liefert neben ihrem Ergebnis die Signale CarryOut-AlessB und AequalB-0detect. CarryOut-AlessB gibt entweder bei arith­ metischen Operationen das Carry an, oder bei Vergleichen mittels einer Subtrakti­ on zweier Werte gibt der Übertrag, also CarryOut-AlessB, daß A < B, bzw. B < A, abhängig vom negierten Operanden an. Das Signal ist das übliche Carry generiert durch einen Volladdierer. AequalB-0detect gibt an, daß das Ergebnis im Ergebnis­ register R-REGsft gleich 0 ist. Das Signal wird über ein NOR aus dem Ergebnis generiert. Die Signale werden zur einfachen Auswertung von Zuständen verwendet und können an die PLU zurückgeliefert werden. Weitere Statussignale sind je nach Anwendung implementierbar.
Die Funktion der EALU wird in einem Funktionsregister (F-PLUREG) konfiguriert.
2.2.2 O-REG
Die Eingangsoperanden der EALU werden in zwei unabhängige Operandenregister (O-REG) gespeichert. Dadurch stehen sie unabhängig des Zustandes der Einheit, die die Daten liefert (Datensender) zur Verfügung. Dies ist notwendig um eine Entkopp­ lung vom Bus und eine freie Umkonfigurierbarkeit des PAs zu ermöglichen. Eines oder beide O-REG besitzen eine Schiebefunktion, die von der EALU für ggf. jedes O-REG einzeln angesteuert wird. Die Schiebefunktion ermöglicht das Durchführen von seriellen Operationen wie serielles Multiplizieren oder Dividieren im EALU. O-REG mit Scheibefunktion werden als O-REGsft bezeichnet.
2.2.3 R-REGsft
Das Ergebnis der EALU wird in einem Ergebnisregister gespeichert (R-REGsft). Da­ durch wird die zeitliche Unabhängigkeit von der oder den das Ergebnis empfangen­ den Einheiten (Datenempfänger) erreicht. Das R-REGsft besitzt eine Scheibefunk­ tion, die von der EALU angesteuert wird, wodurch serielle Operationen ermöglicht werden.
2.2.4 R2O-MUX
Die Ergebnisdaten, die in R-REGsft stehen, werden über einen Multiplexer (R2O-MUX) zwischen einem der O-REG und der EALU als Operand eingeschleift, um eine Rückkopplung des Ergebnisses für serielle Operationen, Zähler und ähnliche Funktionen zu gewährleisten. Der Multiplexer wird durch das F-PLUREG einge­ stellt.
2.2.5 Taktzyklen
Es ist sinnvoll, jedoch nicht zwingend erforderlich, die O-REG(sft) bei einer Takt­ flanke anzusteuern und die R-REGsft bei der darauffolgend negierten Taktflanke. Dadurch hat die EALU einen Halbtakt zur Ausführung ihrer Funktion zur Verfü­ gung, der zweite Halbtakt steht für Signallaufzeiten und Multiplexer zur Verfügung. Dadurch wird es möglich in jedem Takt eine komplette Operation auszuführen.
2.2.6 StateMachine, SM-Unit
Zur Ablaufsteuerung in der EALU existiert eine SM-UNIT. Diese steuert die O-REG und R-REGsft und deren Schiebefunktion, sowie R2O-MUX. Dadurch wird erreicht, daß serielle Operationen, Schiebe- und Zählfunktionen einfach von der EALU durchgeführt werden können. Die StateMachine ist dabei nach dem Stand der Technik einfach zu implementieren.
2.2.7 Sync-UNIT
Zur Synchronisation einer PAE innerhalb eines Arrays (PA) aus PAEs ist eine Synchronisationseinheit (Sync-UNIT) vorhanden. Diese wertet eine Reihe von Ein­ gangssignalen, die ein HandshakeProtokoll ausführen, aus.
rACK(h/l): Der Datenempfänger quittiert die empfangenen Daten. Dabei ist rACKh die Quittierung des hohen Ergebnisbytes (Bit 8 bis 15) und rACKl die des niederen Ergebnisbytes (Bit 0 bis 7). Beide werden verUNDet (rACKh UND rACKl) und ergeben das Signal rACK. rACK ist nicht wahr, während einer oder beide Datenempfänger mit der Verarbeitung ihrer Daten beschäftigt sind und wird wahr wenn die Verarbeitung der Daten beider Datenempfänger abge­ schlossen ist und das Ergebnis im R-REGsft des jeweiligen Da­ tenempfängers gespeichert ist. Die Signale rACK(h/l) werden im weiteren oftmals in ihrer verUNDeten Form als rACK (= rACKh & rACKl) betrachtet.
oRDY(1/2): Der Datensender signalisiert seine Bereitschaft neue Daten zu sen­ den. oRDY ist nicht wahr, während der Datensender mit der Ver­ arbeitung seiner Daten beschäftigt ist und wird wahr, wenn das Ergebnis des Datensenders, das der Operand der PAE ist, zur Verfügung steht. Dabei ist oRDY1 das Freigabesignal des Daten­ senders des ersten Operanden und oRDY2 das des Zweiten. Beide werden verUNDet (oRDY1 UND oRDY2) und ergeben das Signal oRDY. oRDY ist nur wahr, wenn beide Datensender bereit sind Daten zu versenden. Die Signale oRDY(1/2) werden im weiteren oftmals in ihrer verUNDeten Form als oRDY (=oRDY1 & oRDY2) betrachtet.
Aus den Eingangssignalen und dem Zustand der Sync-UNIT, der zusammen mit der Ablaufsteuerung der EALU den Gesamtzustand der PAE darstellt, werden Aus­ gangssignale generiert, die von den Sync-UNITs der Datensender und -empfänger ihrerseits als Eingangssignale betrachtet werden. Zur Ablaufsteuerung der EALU werden deren Statusinformationen und das Register F-PLUREG verwendet.
rRDY: Zeigt an, daß die PAE ihre Datenverarbeitung beendet hat und ein Er­ gebnis im R-REGsft zur Verfügung steht. rRDY wird als rRDYh und rRDYl an beide Datenempfänger übertragen. Dabei handelt es sich je­ doch um dasselbe Signal!
oACK: Zeigt an, daß die PAE ihre Operanden verarbeitet hat und neue Daten in den O-REG(sft) aufnehmen kann. oACK wird als oACK1 und oACK2 an beide Datensender übertragen. Dabei handelt es sich jedoch um dasselbe Signal!
Die RDY-Signale behalten ihren Pegel bis zum Eingang der Quittierung durch ACK bei. Dies ist erforderlich wenn der Datenempfänger während der Bereitstellung der Daten umkonfiguriert wird. Steht RDY bis zur Quittierung durch ACK an, so er­ kennt der Datenempfänger nach der Umkonfigurierung, daß Daten bereitstehen und nimmt sie an.
Die Verknüpfung der Signale über mehrere PAEs stellt sich wie folgt dar:
Das bedeutet z. B. das Ausgangssignal rRDY des Datensenders stellt das Eingangs­ signal oRDY1 oder oRDY2 der PAE dar. Das Ausgangssignal rRDY der PAE stellt das Eingangssignal oRDY des Datenempfängers dar.
Die Sync UNIT besitzt folgende Ablaufarten:
Die Sync-UNIT stellt einen besonderen Modus zur Verfügung, der das Taktsignal nur freigibt, wenn Operanden zur Verfügung stehen. Dieser Modus ist vor allem dann sinnvoll, wenn die Datensender die Daten nicht in jedem Prozessortakt lie­ fern, sondern nur jeden n. Takt. Dabei entspricht der Takt einer Periode des Nor­ maltaktes und wird über rACK oder oRDY(1/2) freigegeben. Die Freigabe wird als OneShot bezeichnet. Der Modus wird als OneShot-MODE bezeichnet. Dabei wird der Takt über ein UND-Gatter mit einem der Freigabesignale verUNDet. Der Mo­ dus und die Signalauswahl findet über das F-PLUREG statt. Das Freigabesignal, generiert über rACK oder oRDY(1/2), kann von der SM-UNIT verlängert werden. Dies ist erforderlich, damit Operationen, die mehr als einen Takt benötigen im OneShot-MODE durchgeführt werden können. Um dies zu ermöglichen, wird eine entsprechende Signalleitung der SM-UNIT mit dem Freigabesignal verODERt.
Wird der Registereintrag STOP im F-PLUREG gesetzt, so führt die Sync-UNIT die laufende Funktion zu Ende. Danach werden keine weiteren Operanden mehr angenommen und quittiert. Sobald rACK anzeigt, daß das Ergebnis vom Daten­ empfänger angenommen wurde, wird die Umkonfigurierungsbereitschaft der PLU durch das Signal ReConfig angezeigt. Das Signal wird generiert, indem rACK das Stop des F-PLUREG in ein D-FlipFlop speichert. ReConfig kann durch einen lesen­ den Zugriff der PLU auf F-PLUREG an der Bitposition von Stop abgefragt werden.
Ebenfalls kann die Sync-UNIT dazu verwendet werden Fehlerzustände oder weitere Statussignale zu generieren und auszuwerten.
2.2.8 BM-UNIT
Zum Aufschalten der Operanden und des Ergebnisses auf die externen Bussysteme existiert eine Busmultiplexeinheit (BM-UNIT). Diese besteht aus 2 Multiplexern und 2 Tore, wobei die 2 Multiplexer für die Operanden (O-MUX) und 2 Tore für das Ergebnis (R-GATE) existieren, dabei wird jeweils ein Schalter für das höherwertige und niederwertige Ergebnis verwendet. Die Multiplexer und Schalter werden über das Multiplexerregister (M-PLUREG) gesteuert. Die Sync-UNIT-Signale werden über die Schalter auf den Bus gesteuert. Dabei ist die Zusammengehörigkeit der Multiplexer/Schalter und Signale wie folgt:
O-MUX1: oRDY1, oACK
O-MUX2: oRDY2, oACK
RH-GATE: rRDY, rACKh
RL-GATE: rRDY, rACKl.
Das R-GATE kann über das M-PLUREG in einen Zustand gebracht werden, in dem es kein Bussystem treibt.
Die Tabelle gibt eine Beschreibung der Signale, sowie deren jeweiliger Interface- Struktur:
Es ist möglich mehrere Datenempfänger von einem Datensender aus anzuspre­ chen (Broadcasting). Hierzu schalten sich mehrere Datenempfänger auf denselben Bus. Um eine Quittierung der Daten zu gewährleisten ist die Treiberstufe der Quittierungsleitung oACK als Offener-Kollektor-Treiber gestaltet. Dabei arbeitet der Bus als wired-AND, d. h. erst wenn alle Datenempfänger quittieren entsteht der für die Quittierung erforderliche H-Pegel. Dies wird dadurch erreicht, daß je­ der Datenempfänger, der NICHT quittiert den Bus über einen Open-Kollektor- Transistor auf einen L-Pegel zieht. Datenempfänger die quittieren, steuern den Open-Kollektor-Transistor nicht an und belasten somit den Bus nicht. Wenn alle Datenempfänger quittieren wird der Bus nicht mehr belastet und nimmt über einen Pull-Up-Widerstand H-Pegel an.
2.2.9 StateBack-UNIT
Die PAE ist in der Lage Rückmeldungen über ihren Betriebszustand an ihre Lade­ logik, im folgenden PLU genannt, zu liefern (vgl. DE 44 16 881 A1). Die Ladelogik konfiguriert das PA und benötigt, um sinnvolle Umkonfigurationen vornehmen zu können, Informationen über den Status der einzelnen PAEs. Dies geschieht über die StateBack-UNIT. Diese überträgt je nach Eintrag im F-PLUREG entweder die un­ teren 3-Bit des Ergebnisses aus dem R-REGsft - um berechnete Werte an die PLU zu liefern - oder die Signale CarryOut-AlessB und AequalB-0detect auf einen 3-Bit Statusbus. Um das Aufschalten der Signale von mehreren PAEs aus zu ermöglichen wird ein einfaches wired-OR Verfahren über Open-Kollektor-Treibern verwendet. Damit die Umkonfigurierung der PAE erst beginnt, wenn der Empfänger die Da­ ten quittiert hat, kann zwischen den Signalen und den Open-Kollektor-Treibern eine Latch-Stufe eingeschleift werden, die die Signale erst nach Eingang des rACK freigibt. Der Statusbus wird von der PLU überwacht. Diese reagiert in ihrem Pro­ grammfluß und ihrer Umkonfiguration auf den vom Bus gelieferten Status.
2.2.10 Power-UNIT
Die PAE besitzt einen Stromsparmodus (Sleep-MODE), der ebenso wie die Funktion der EALU im F-PLUREG eingestellt wird. Hierfür existiert ein Bit, das, wenn es gesetzt ist, den Sleep-MODE einschaltet. Hierzu kann entweder die Taktleitung der PAE auf konstant logisch 0 oder 1 gesetzt werden, oder über einen Transistor die Spannung der PAE abgeschaltet werden. Das F-PLUREG steht innerhalb der PAE immer unter Spannung und ist nicht abschaltbar. Für die jeweils ausgeführte Funktion unbenutzte Bereiche (Gatter) der PAE werden durch Auswertung des F-PLUREG abgeschaltet. Dies geschieht über einen Transistor, der die Bereiche von der Spannungsversorgung trennt. Um unerwünschte Störeinflüsse zu verhindern sind die Ausgänge der Bereiche über Pull-Up/Pull-Down-Widerstände definiert.
Zusätzlich kann innerhalb des OneShot-MODE, der von der Sync-UNIT gesteuert wird, der PowerSave-MODE verwendet werden. Dabei werden sämtliche Teile der PAE, mit Ausnahme von F-, M-PLUREG und Sync-UNIT von der Versorgungs­ spannung getrennt. Erst wenn die Sync-UNIT einen OneShot feststellt, werden alle benötigten PAE-Teile über die Power-UNIT zugeschaltet. Die Sync-UNIT verzögert das Taktsignal so lange, bis alle neu zugeschalteten Teile arbeitsfähig sind.
2.2.11 Register
Die Register F-PLUREG und M-PLUREG sind mit dem PLU-Bus verbunden. Die Adresse der von der PLU gesendeten Datenpakete werden in einem Vergleicher dekodiert. Ist die Adresse der PAE erkannt, so werden die Daten in die Register gespeichert. Der PLU-Bus ist wie folgt gestaltet:
AX7 . . . 0: X-Adresse der X/Y-Matrix
AY7 . . . 0: Y-Adresse der X/Y-Matrix
RS: Register Select, logisch 0 wählt F-PLUREG, logisch 1 wählt M-PLUREG aus.
AEN: Adress Enable, der Bus enthält eine gültige Adresse. Die Adressen müssen dekodiert werden, solange AEN logisch 0 ist. AEN ist während des gesamten Buszugriffes, also auch während der Datenübertragung logisch 0.
D23 . . . 00: Daten
DEN: Data Enable, der Bus enthält gültige Daten. Die Daten müssen bei steigender Flanke des Signals DEN in das Register übertragen werden
OEN: Output Enable, die PLU liest gültige Daten aus den PLUREGs.
Der Aufbau des F-PLUREG
1. Der Registeraufbau beim Schreibzugriff
Die Funktionen der einzelnen Bit
Der Reset-Zustand ist 0 in allen Bits.
2. Der Registeraufbau beim Lesezugriff
Die Funktionen der einzelnen Bits
Der Reset-Zustand ist 0 in allen Bits.
Der Aufbau des M-PLUREG
Die Werte M(n+5) . . . n = 000000, n ∈ {0, 6, 12, 18} bedeuten, daß die Multiplexer/Schal­ ter offen sind und keinen Buskontakt besitzen. Es kann sinnvoll sein, das M-PLUREG über das Signal ReConfig zu sperren, d. h. sobald ReConfig aktiviert wird, schaltet sich die PAE von allen Bussystemen ab. Der Reset-Zustand ist 0 in allen Bits.
2.3 Zusammenfassung
Durch die Reduzierung der Konfigurationsdaten auf das Register F-PLUREG kann die Funktion des PAE einfacher und schneller konfiguriert und umkonfiguriert wer­ den, als in bekannten Technologien, ins besondere der FPGA-Technologie. Die Vernetzung des Rechenwerkes wird im M-PLUREG angegeben, während in den herkömmlichen Technologien eine Vielzahl einzelner, nicht zusammenhängender Konfigurationsbits besetzt werden müssen. Durch die klare Struktur der Register wird eine (Um)Konfiguration vereinfacht.
Der Platzbedarf des PAE ist durch die direkte Ausgestaltung als Rechenwerk kleiner als in herkömmlichen Technologien, in denen Rechenwerke durch eine Vielzahl von Logikzellen implementiert wurden. Gleichzeitig sind die Durchlaufverzögerungen geringen und die erzielbaren Taktfrequenzen entsprechend höher.
Eine Broadcasting-Funktion ist durch die Ausgestaltung der BM-UNIT gewähr­ leistet, die Quittierung erfolgt automatisch. Durch die ein- und ausgangsseitigen Register (O-REG, R-REG) ist ein zeitlich weitgehend unabhängiger Datentransfer gewährleistet.
Die Konfiguration und Umkonfiguration wird durch die Entkopplung jeder PAE vom Gesamtsystem über die Register O-REG und R-REG erheblich vereinfacht, da die einzelnen PAEs weitgehend voneinander unabhängig sind. Die Rückmeldungen an die PLU, sowie das Zusammenspiel von STOP und ReConfig ermöglichen die effiziente Steuerung der (Um)Konfigurationen.
Es sind Stromsparfunktionen implementiert, die - teilweise automatisch (OneShot- MODE) - zu einer Reduzierung des Leistungsverbrauches führen.
Die PAE-Struktur kann zur Erhöhung der Effizienz von FPGAs in deren Architektur implementiert werden. Dadurch sind arithmetische Opera­ tionen erheblich leistungsfähiger realisierbar.
3. Kurzbeschreibung der Diagramme
Fig. 1 Anordnung mehrerer PAEs zu einem PA mit PLU. Ohne Verbindung zu Ein-/Ausgabesystemen oder Speicher.
Fig. 2 Aufbau einer PAE.
Fig. 3 Aufbau von F-PLUREG und M-PLUREG.
Fig. 4 Aufbau eines O-REG.
Fig. 5 Aufbau eines O-REGsft mit rechtsschiebe-Funktion.
Fig. 6 Aufbau eines R-REGsft mit rechts/links 1-2bit Barrel-Shifter.
Fig. 7 Aufbau des R2O-MUX, sowie Implementierung eines MUX in Transfer-Gate-Technik.
Fig. 8 Taktsynchronisation, Verzögerungen und synchron Signale
Fig. 9 Funktionsweise der Sync-UNIT. Beschreibung.
Fig. 10 Aufbau der Power-UNIT
Fig. 11 Aufbau der Sync-UNIT
Fig. 12 Aufbau der BM-UNIT
Fig. 13 Aufbau eines O-MUX, begrenzt auf 4 Bussysteme
Fig. 14 Aufbau eines R-GATE, begrenzt auf 4 Bussysteme
Fig. 15 Aufbau der StateBack-UNIT
Fig. 16 Funktionsprinzip des OneShot- und OneShot/PowerSave-MODE.
4. Detailbeschreibung der Diagramme
Fig. 1 stellt einen vereinfachten Prozessor gemäß DE 44 16 881 A1 dar. Zudem ist die PLU (0101) und deren Bussystem (0102) angezeigt. Die PAEs (0103) sind als Array eingetragen, das chipinterne Bussystem (0104) ist schematisch dargestellt.
Fig. 2 zeigt den schematisierten Aufbau einer PAE. Das chipinterne Bussystem (0201) wird an die BM-UNIT (0202) geführt, welche die durch M-REG (0203) aus­ gewählten Busse an das O-REG1sft (0204) als Operand 1 und das O-REG (0205) als Operand 2 weiterschaltet. In den Datenpfad von Operand 2 wird über den R2O-MUX (0206) das im Ergebnisregister R-REGsft (0207) stehende Ergebnis wahlwei­ se eingeschleift. Die Daten aus O-REGsft (0204) und R2O-MUX (0206) werden im ELAU (0208) verarbeitet. Über die StateBack-UNIT (0209) finden Rückmeldun­ gen an die PLU statt. Der PLU-Bus (0210) hat Verbindung zu den Registern F-PLUREG (0211) und M-PLUREG (0212), sowie der StateBack-UNIT (0209). Über ihn wird die PAE konfiguriert und überwacht. Das F-PLUREG enthält alle funktio­ nellen Konfigurationsdaten, das M-PLUREG enthält die Vernetzungsinformation der PAE. Die Sync-UNIT (0212), steuert das Zusammenspiel des Datenaustausches zwischen den Datensendern, den Datenempfängern und der Verarbeitungs-PAE. Die SM-UNIT (0213) steuert den gesamten internen Ablauf der PAE. Die Power-UNIT (0214) regelt die Stromversorgung und dient der Reduzierung des Stromverbrauches.
In Fig. 3 wird die Funktionsweise der Register M-PLUREG und F-PLUREG ver­ deutlicht. Die Adressen AX und AY des PLU-Bus (0308) werden in einem Ver­ gleicher (0301) mit der Adresse der PAE verglichen, sofern AEN (Adress Enable) einen gültigen Bustransfer anzeigt. Dabei besitzt jede PAE eine eindeutige Adresse, die sich aus ihrer Spalte und Zeile innerhalb einer PA zusammensetzt. Zeigt DEN (DataEnable) die Datenübertragung an, so wird über RS (RegisterSelect) entweder M-PLUREG (0302) oder F-PLUREG (0303) ausgewählt. Bei der steigenden Flanke von DEN werden die Daten im betreffenden Register gespeichert. Die Register sind als D-FlipFlop (0304) implementiert. Zur Verdeutlichung des Ablaufes dient das Ti­ mingdiagramm 0305. Zum lesenden Zugriff auf das F-PLUREG wird lediglich über das Tor (0306) das Signal ReConfig von der Sync-UNIT an den PLU-Bus gegeben. Die Freigabe geschieht über das Ergebnis des Vergleichers (0301) UND dem Signal OEN.
Fig. 4a zeigt das Blockdiagramm des O-REG. In Fig. 4b ist der Aufbau des O- REG aus D-FlipFlops ersichtlich. Das Timingdiagramm ist in Fig. 4c dargestellt. Der Takt wird von der SYNC-SM generiert.
Fig. 5a zeigt das Blockdiagramm des O-REGsft. In Fig. 5b ist der Aufbau des O-REGsft aus D-FlipFlops (0501) ersichtlich. Die UND-Gatter (0502) und das OR- Gatter (0503) bilden über den Inverter (0504) einen durch Mode gesteuerten Mul­ tiplexer (0506), der entweder die Eingangsdaten auf die D-FlipFlop (0501) schaltet, oder die Ausgangsdaten der D-FlipFlop um ein Bit verschoben an deren Eingang leitet. Das UND-Gatter (0505) ist nicht notwendig, da ein Eingang permanent auf logisch 0 liegt. Es dient nur der Anschaulichkeit. In Fig. 5c ist das Timingdia­ gramm in Abhängigkeit von dem Signal Mode angegeben. Der Takt wird von der SYNC-SM generiert.
Fig. 6a zeigt den Blockaufbau des R-REGsft vor dem Register (0601) liegt ein Multiplexer (0602), der entweder die Eingangsdaten auf das Register (0601) schal­ tet, oder die Ausgangsdaten des Registers (0601) verschoben an dessen Eingang leitet. Der von der SYNC-SM generierte Takt wird um einen Halbtakt verscho­ ben an das Register geführt. In Fig. 6b ist das Blockdiagramm auf Gatterebene dargestellt. Über einen Dekoder (0603) schalten Mode0-2 einen aus UND-Gattern mit nachgeschaltetem ODER-Gatter bestehenden Multiplexer (0606). Dabei sind die gestrichelt eingezeichneten Gatter (0605 und weitere) nur der Verdeutlichung wegen eingezeichnet. Sie sind funktionslos, da ein Eingang ständig auf L liegt. Der Multiplexer schaltet im Zustand Mode0-2 = 010 das Eingangssignal auf die Re­ gister (0607). In den Zuständen Mode0-2 = 000 bis Mode0-2 = 001 werden die Ausgangswerte der Register (0607) nach links, in den Zuständen Mode0-2 = 011 bis Mode0-2 = 100 rechts, um ein bzw. zwei Bit verschoben, an die Eingänge der Register geführt. Die Scheibefunktion wird in Abhängigkeit vom Zustand Mode0-2 im Timingdiagramm Fig. 6c verdeutlicht.
Fig. 7a zeigt den Aufbau des Multiplexers R2O-MUX, der in Abhängigkeit von Mode die Operanden oder das Ergebnis an die EALU weiterleitet. Dabei ist Fig. 7a als herkömmlicher Multiplexer aufgebaut, während in Fig. 7b die platz- und leistungssparende Variante durch die Verwendung von CMOS Transfer-Gates (0701) aufgezeigt wird. Alle in dieser Schrift beschriebenen beschriebenen Multiple­ xer können mittels Transfer-Gates aufgebaut werden.
Ein Tor kann äquivalent zum Multiplexer aus Transfer-Gates aufgebaut werden. Dabei ist jedoch die Richtung des Datenaustausches genau umgekehrt!
Fig. 8 zeigt das Verhältnis des PAE-internen Taktes CLK zu den stattfindenden Aktivitäten. Bei der steigenden Flanke (0801) werden die Operanden in die O-REG gespeichert. Während des H-Pegels (0802) verarbeitet die PAE die Daten (Δ PAE = Verarbeitungsphase). Das umfaßt den Datenverkehr zwischen den O-REG und dem R-REG. Bei der fallenden Flanke (0803) wird das Ergebnis im R-REG gespeichert. Der L-Pegel (0804) wird für die Verteilung der im Bussystem, eingeschlossen der BM-UNIT genutzt (Δ Network = Busphase). Der zeitliche Ablauf der von der SYNC-SM generierten Signale (oRDY und oACK, rRDY und rACK) ist in das Zeitdiagramm eingetragen.
Das Ablaufdiagramm der Sync-UNIT ist in Fig. 9 dargestellt. Die Zustandsma­ schine kennt zwei feste Zustände DATEN (0901) und ERGEBNIS (0902). DATEN wird auf die steigende Flanke synchronisiert, ERGEBNIS auf die Fallende. Dabei wird jeweils der Zustand der Eingangsparameter ausgewertet und je nach Ergebnis in den Zweig ja (0903/0904) oder nein (0905/0906) gesprungen. Sind in DATEN die Operanden nicht bereit, wird nein angesprungen. In den nächsten Schritten wird keine Operation ausgeführt, bis die Maschine nach DATEN zurückspringt und erneut auswertet. Stehen nun Operanden, angezeigt durch oRDY, bereit, werden die Operanden im O-REG (0907) gespeichert. Die Operanden werden verarbeitet (0908) und gleichzeitig wird ausgewertet (0909), ob es sich bei mehrzyklischen Ope­ rationen (serielle Operationen, die mehr als einen Taktzyklus benötigen), um den letzte Zyklus handelt, oder ob eine einzyklische Operation abläuft. In diesen Fällen werden die Operanden durch oACK quittiert (0910). Mit der fallenden Flanke wird ERGEBNIS synchronisiert. Dabei wird überprüft ob das Flag "Ergebnis vorhanden" gesetzt ist (0911). Dieses Flag wird immer gesetzt, wenn ein fertiges Ergebnis durch rRDY signalisiert wird (0912).
In zwei Fällen wird in den JA-Zweig (0904) gesprungen:
  • - Es ist kein vorhergehendes Ergebnis vorhanden (Flag "Ergebnis vorhanden" unwahr).
  • - Es ist ein vorhergehendes Ergebnis vorhanden (Flag "Ergebnis vorhanden" wahr) und dieses ist mit rACK quittiert. In diesem Fall (und nur in diesem Fall(!)) setzt 0902 das Ergebnis zurück (0913).
Ansonsten wird in den NEIN-Zweig (0906) gesprungen und keine Operation aus­ geführt, bis die Zustandsmaschine nach ERGEBNIS (0902) zurückkehrt. Im JA-Zweig (0904) wird das Ergebnis in das Ausgangsregister R-REGsft gespeichert (0914). Danach wird ausgewertet ob es sich um den letzten Zyklus einer mehrzy­ klischen Operation handelt (0915) (vgl. 0909) oder ob eine einzyklische Operation abläuft, wenn ja, wird das Vorhandensein des Ergebnisses durch rRDY signalisiert (0916). Die Zustandsmaschine springt nach DATEN (0901) zurück. Die Erkennung ob es sich um den letzten Zyklus einer Operation - oder um eine einzyklische Ope­ ration - handelt kann über das Signal FINISH (0916) von der SM-UNIT abgefragt werden. Dieses ist aktiv, wenn der letzte - oder einzige - Zyklus stattfindet. Der SM-UNIT wird der Zustand der SYNC-UNIT über RUN (0917) signalisiert. RUN ist im Falle, daß eine Operation stattfindet aktiv, andernfalls inaktiv. Der Mecha­ nismus des STOP-Eintrages im F-PLUREG und des daraus generierten ReConfig ist in Fig. 9 nicht dargestellt, da der Ablauf trivial ist und aus der Beschreibung der SYNC-UNIT hervorgeht.
Fig. 10 zeigt den prinzipiellen Aufbau der Power-UNIT. Das Signal Sleep wird von F-PLUREG auf einen Transistor oder eine Transistorstufe (1001) geleitet. Diese steuert die Spannungsversorgung für alle abschaltbaren Zellfunktionen. Die Sync-UNIT liefert das OneShotPowerSave-Signal (vgl. Fig. 16), über welches die Spannungsversorgung der restlichen Zellfunktionen durch einen Transistor oder eine Transistorstufe (1002) freigegeben wird. Abhängig von den tatsächlich verwendeten Funktionen in der Zelle, schalten die Transitoren oder Transistorstufen (1003) die nicht benötigten Funktionen ab (PowerDown). Verständlich ist, daß für ordentli­ che Spannungsversorgung und EMV-Verhalten weitere entsprechende Vorkehrun­ gen, wie Kondensatoren etc., getroffen werden müssen.
Fig. 11 zeigt die Implementierung der Maschine aus Fig. 9 in das Konzept. Über die BM-UNIT (1101) werden die Signale oRDY(1/2) und rACK (vereinfacht dar­ gestellt: tatsächlich existiert rACKh und rACKl, rACK = rACKl & rACKh) zu den CCLK-gesteuerten Latches (1102) geschaltet. Dabei sind die Latch so geschal­ tet, daß sie in der L-Phase (Busphase) von CCLK transparent sind und in der H-Phase (Verarbeitungsphase) den Zustand halten. Die Ausgänge der Latch stel­ len die Signale für die Sync-StateMachine (1103) zur Verfügung. rRDY (vereinfacht dargestellt: tatsächlich existiert rRDYh und rRDYl, diese sind vollkommen gleich, werden jedoch an verschiedene Empfänger geleitet) von (1103) wird über Tor auf den Bus geschaltet. Die Signale oACK(1/2) von (1103) werden in der BM-UNIT (1101) negiert und an die wiederum invertierenden Open-Kollektor-Bustreiber (1104) ge­ liefert. Der Bus wird über Widerstände (1105) auf H gezogen. Die BNI-UNIT ist dabei geschaltet, daß folgende Fälle eintreten:
  • 1. Wird der entsprechende Bus von der BM-UNIT nicht angesteuert, liegt an der Basis der Transistoren (1104) L an. Dadurch belasten sie den Bus nicht.
  • 2. Wird der entsprechende Bus von der BM-UNIT angesteuert, und das Signal nicht quittiert, liegt an der Basis der Transistoren (1104) H an. Das bedeutet, daß der Bus auf L gezogen wird. Wird ein Ergebnis per Broadcasting an mehrere Datenempfänger verteilt, so ziehen alle PAEs, die die Ergebnisdaten noch nicht quittiert haben und Warte-Zyklen benötigen den Bus auf L.
  • 3. Wird der entsprechende Bus von der BM-UNIT angesteuert, und das Signal quittiert, liegt an der Basis der Transistoren (1104) L an. Das bedeutet, daß der Bus nicht belastet wird. Wird ein Ergebnis per Broadcasting an meh­ rere Datenempfänger verteilt, so belasten alle PAEs, die die Ergebnisdaten quittiert haben und keine Warte-Zyklen benötigen den Bus nicht.
Da der Bus in seinem Grundzustand den H-Pegel, also die Quittierung einnimmt übersteuert die Nichtquittierung gemäß Fall 2 die Quittierung, in dem sie den Bus auf L zieht. Dabei geht der Bus erst dann in den H-Pegel, also in den Quittie­ rungszustand, wenn alle PAEs quittieren. Es ist somit eine Wired-AND-Schaltung realisiert. Die Sync-StateMachine stellt das Signal RUN (1107) der SM-UNIT (1106) zur Verfügung. Diese läuft aufgrund von RUN an. Befindet sich die SM-UNIT im letzten - oder einzigen - ZYklus einer Verarbeitung, so signalisiert sie dies über FINISH (1108) an die Sync-StateMachine. FINISH wird in den Auswerteeinheiten zur Erkennung des letzten Zyklus (0907, 0915) ausgewertet. Die SM-UNIT läuft synchron zum PAE-internen Takt CLK.
Fig. 12 zeigt den Aufbau der BM-UNIT. Abhängig von den Einträgen in das M-PLUREG schalten die Multiplexer (1201,1202) die Operanden vom internen Bus (1203) zu den O-REG. Ebenfalls schalten die Tore (1204, 1205) die untere und obere Hälfte des Ergebnisses auf den Bus. Der Multiplexer 1206 schaltet die oRDY(1/2) gemäß der Stellung von 1201 und 1202 und rACK gemäß der Stellung von 1204 und 1205 vom Bus in die PAE. Dabei werden die rACK beider Datenempfänger miteinander verUNDet. Existiert nur ein Datenempfänger, so ist der Multiplexer so geschaltet, daß er statt des fehlenden rACK eine logische 1 zurückgibt. 1207 beinhaltet ein Gate zum Aufschalten der Signale oACK(1/2) und rRDY auf den Bus. Dabei werden die Signale oACK(1/2) zunächst invertiert und dann über Open- Kollektor-Treiber (1104) auf den Bus geschaltet.
Fig. 13 verdeutlicht den Aufbau eines O-MUX. Dabei existiert ein 3 : 5 Dekoder (1301) zum Auswerten der Mode2. .0 Signale aus dem M-PLUREG. Der Multiplexer ist über UND-Gatter (1302) mit nachgeschaltetem ODER-Gatter (1303) aufgebaut. Das Auswertesignal von Mode2 . . . 0 = 000 des Dekoders (1301) ist dabei direkt auf die ODER-Gatter geschaltet (1304). Das bewirkt, daß in offenem Zustand, d. h. keine Verbindung zu einem Bussystem, immer logisch 1 zurückgeliefert wird. (Vgl. mit Fig. 12 rACK). Zur Vereinfachung ist nur eine reduzierte Busgröße dargestellt.
In Fig. 14 ist der Aufbau eines R-GATE dargestellt. Dabei existiert ein 3 : 4 Dekoder (1401) zum Auswerten der Mode2 . . . 0 Signale aus dem M-PLUREG. Das Auswer­ tesignal von Mode2 . . . 0 = 000 des Dekoders wird nicht verwendet. Dadurch wird keine Busverbindung bei dieser Bit-Kombination hergestellt. Die Gates (1402) sind entweder durch UND-Gatter oder Transmisson-Gates (vgl. 0701) aufgebaut. Da­ bei ist eine Verstärkerstufe zum Treiben der Buslast vor- oder nachgeschaltet. Zur Vereinfachung ist nur eine reduzierte Busgröße dargestellt.
Die StateBack-UNIT ist in Fig. 15 dargestellt. Gemäß der Einstellung im M-PLUREG schaltet ein Multiplexer (1501) entweder die Signale CarryOut-AlessB, AequalB-0detect von der EALU oder die Ausgänge des R-REG R-REGD2 . . . 0 durch. Die Signale gelangen an eine Open-Kollektor-Transistor-Stufe (1502) und werden auf den PLU-Bus geschaltet. Dabei benötigt der PLU-Bus externe, nahe der PLU positionierte Pull-Up-Widerstände (1503). Das Latch 1504 ist optional. Wird es in die Ausgangssignale von 1501 eingeschleift, werden diese erst auf den Bus (1503) ge­ schaltet, nachdem der Datenempfänger die Daten über rACK quittiert hat. Dadurch wird bewirkt daß die Bereitschaft zur Umkonfigurierung über die Statussignale erst angezeigt wird, wenn die Daten auch angenommen wurden. Normalerweise wird dies durch das Zusammenspiel von STOP und ReConfig in der Sync-UNIT geregelt; da­ her ist das Latch optional. Das rACK wird dabei als Latch-Takt verwendet. Dabei ist das Latch bei rACK = 1 transparent und speichert bei rACK = 0.
Fig. 16 verdeutlicht die Funktionsweise des OneShot-MODE. Über einen Multi­ plexer (1601) schalten die Signale
  • - Vcc
  • - oRDY1
  • - oRDY2
  • - (oRDY1 & rACK)
abhängig von der Einstellung im F-PLUREG den Zell-Takt frei. Dabei bewirkt die Freischaltung über Vcc, daß der Takt immer läuft (siehe Timing-Diagramm "Nor­ maler Betrieb"). In den 3 übrigen Modi läuft der Takt erst an, wenn die Signale, oder Signalkombinationen den Takt freigeben. Die Freigabe wird durch ein Latch (
1602
) auf den Takt CCLK synchronisiert, damit die Phase nicht vorzeitig abbricht, wenn das Freigabesignal zu kurz ist. Dabei ist das Latch in der L-Phase von CCLK transparent und hält den Wert in der H-Phase. Das Freigabesignal gelangt auf das UND-Gatter-Paar (
1603
und
1604
), das den Takt freigibt. Über einen Inverter (
1605
) wird das invertierte Taktsignal !CLK erzeugt, CLK läuft um die Phasengleichheit zu gewährleisten durch ein Verzögerungsglied (
1606
) (siehe Timing-Diagramm "One- Shot Betrieb"). Dabei wird CCLK in der Zuleitung zu
1604
über zwei Verzögerungs­ zeitungen (
1610
) verzögert um Phasengleichheit zum an
1603
anliegenden CCLK, das durch den Multiplexer (
1608
) verzögert wurde, zu gewährleisten. Wird eine PAE in den PowerSave-Mode gebracht, wird die Versorgungsspannung der Zel­ le weitgehend ausgeschaltet. Dies geschieht über das ODER-Gatter
1611
. Ist der PowerSave-MODE eingeschaltet, d. h. PowerSave = 1, führt das negierte Signal L. Ist zudem der OneShot-MODE eingeschaltet und das Register
1602
auf L, wird über das OneShotPowerSave-Signal der Spannungsversorgungstransistor in der Power-UNIT (vgl.
Fig.
17) abgeschaltet. Steht das Register
1602
jedoch auf logisch 1 (oder Po­ werSave = 0), wird der Spannungsversorgungstransistor über
1611
eingeschaltet. Die folgende Tabelle gibt einen Überblick über die Funktion:
Beim Einschalten der Versorgungsspannung entsteht eine zeitlich bestimmte Auf­ wachzeit, bis die Zelle arbeitsfähig ist. Um dennoch ordentlich zu funktionieren, müssen die Signale entsprechend verzögert werden. Hierzu wird CCLK über ei­ ne Verzögerungsleitung (1607) geleitet. Ein Multiplexer (1608) wählt entsprechend dem Signal PowerSave aus F-PLUREG aus, ob der normale oder verzögerte Takt an die Zelle geleitet wird. Verzögert wird nur der nicht invertierte Takt um den Zeitraum ΔPowerOn, der invertierte Takt wird nicht verzögert. Dadurch steht das Ergebnis synchron zu den restlichen Bausteinfunktionen zur Verfügung. Dadurch verringert sich die nutzbare Verarbeitungszeit auf Δprocess. Die maximale Takt­ frequenz des Bausteines hängt somit von Σ = ΔPowerOn + Δprocess ab (siehe Timing-Diagramm "OneShot Betrieb mit PowerSave").
5. Begriffsdefinition
AequalB-0detect: Durch die EALU generiertes Signal, das bei arithmetischen Operationen anzeigt, daß das Ergebnis gleich null ist. Bei Ver­ gleichen wird angezeigt, daß Operand A gleich Operand B ist.
ALU: Arithmetisch logische Einheit. Grundeinheit zum Verarbeiten von Daten. Die Einheit kann arithmetische Operationen wie Addition, Subtraktion, unter Umständen auch Multiplikati­ on, Division, Reihenentwicklungen usw. durchführen. Dabei kann die Einheit als ganzzahlige (integer) Einheit oder als Fließkomma-(floating-point)-Einheit gestaltet sein. Ebenfalls kann die Einheit logische Operationen, wie UND, ODER, so­ wie Vergleiche durchführen.
BM-UNIT: Einheit zum Aufschalten der Daten auf die Bussysteme außer­ halb der PAE. Das Aufschalten geschieht über Multiplexer für die Dateneingänge und Tore für die Datenausgänge. oACK-Leitungen sind als Open-Kollektor-Treiber implementiert. Die BM-UNIT wird durch das M-PLUREG gesteuert.
Broadcast: Senden von Daten einer PAE an mehrere Datenempfänger.
CarryOut-AlessB: Durch die EALU generiertes Signal, das bei arithmetischen Operationen einen Übertrag anzeigt. Bei Vergleichen wird an­ gezeigt, daß Operand A kleiner als Operand B ist.
Datenempfänger: Die Einheit(en), die Ergebnisse der PAE weiterverarbeitet/- arbeiten.
Datensender: Die Einheit(en), die Daten für die PAE als Operanden zur Verfügung stellt/stellen.
D-FlipFlop: Speicherelement, welches ein Signal bei der steigenden Flanke eines Taktes speichert.
EALU: Erweiterte arithmetisch logische Einheit. ALU, die um Sonder­ funktionen, die zum Betrieb einer Datenverarbeitungseinrich­ tung gemäß DE 441 16 881 A1 benötigt werden oder sinnvoll sind erweitert wurde. Dies sind ins besondere Zähler.
FPGA: Programmierbarer Logikbaustein. Stand der Technik.
F-PLUREG: Register in dem die Funktion der PAE gesetzt wird. Ebenfalls wird der OneShot- und Sleep-Mode gesetzt. Das Register wird von der PLU beschrieben.
Gatter: Gruppe von Transistoren, die eine logische Grundfunktion durchführen. Grundfunktionen sind z. B. NAND, NOR, Trans­ mission-Gates.
H-Pegel: Logisch 1 Pegel, abhängig von der verwendeten Technologie.
Handshake: Signalprotokoll, bei dem ein Signal A einen Zustand anzeigt und ein anderes Signal B bestätigt, daß es Signal A akzeptiert und darauf, reagiert (hat).
Konfigurieren: Bestimmen der Funktion und Vernetzung einer logischen Ein­ heit, einer (FPGA)-Zelle oder einer PAE (vgl. umkonfigurie­ ren).
Latch: Speicherelement, das ein Signal für gewöhnlich während des H-Pegels transparent weiterleitet und während des L-Pegels speichert. In PAEs werden teilweise Latches gebraucht, bei denen die Funktion der Pegel genau umgekehrt ist. Hierbei wird vor den Takt eines üblichen Latch ein Inverter geschaltet.
L-Pegel: Logisch 0 Pegel, abhängig von der verwendeten Technologie.
M-PLUREG: Register in dem die Vernetzung der PAE gesetzt wird. Das Register wird von der PLU beschrieben.
Next-Neighbour Vernetzung: Vernetzung der Bussysteme mit den an den Kan­ ten anstoßenden Nachbarn.
O-MUX: Multiplexer innerhalb der BM-UNIT, der das Bussystem der Operanden auswählt.
OneShot: Modus in dem eine PAE mit einem geringeren als dem Pro­ zessortakt arbeitet. Der Takt ist synchron zum Prozessortakt und entspricht einer Periode. Eine Phasenverschiebung exi­ stiert nicht. Der Takt wird über eines der Signale oRDY(1/2) oder rRDY freigegeben. Dieser Modus dient der Stromeinspa­ rung, wenn die Datensender oder -empfänger langsamer als der Prozessortakt Daten senden oder empfangen.
Open-Kollektor: Schaltungstechnik, bei der der Kollektor eines Transistors an einem, über einen Pullup auf den H-Pegel gezogenen, Bussignal liegt. Der Emitter der Transistors liegt auf Masse. Schal­ tet der Transistor, so wird das Bussignal auf den L-Pegel gezogen. Vorteil des Verfahrens ist, daß eine Mehrzahl sol­ cher Transistoren den Bus ohne elektrische Kollision steuern können. Dabei sind die Signale ODER-verküpft, es entsteht das sog. wired-OR.
O-REG: Operandenregister zur Speicherung der Operanden der EALU. Ermöglicht die zeitliche und funktionelle Unabhängigkeit der PAE von den Datensendern. Dadurch wird der Transfer der Daten ,vereinfacht, da er asynchron oder paketorientiert stattfinden kann. Gleichzeitig wird die Möglichkeit geschaffen die Datensender unabhängig von der PAE oder die PAE un­ abhängig von den Datensendern umzukonfigurieren.
O-REGsft: O-REG mit durch SM-UNIT gesteuertem Schieberegister.
PA: Processing Array: Array aus PAEs.
PAE: Processing Array Element: EALU mit O-REG, R-REG, R2O-MUX, F-PLUREG, M-PLUREG, BM-, SM-, Sync-, StateBack- und Power-UNIT.
PLU: Einheit zum Konfigurieren und Umkonfigurieren der PAE. Ausgestaltet durch einen speziell an seine Aufgabe angepaßten Mikrokontroller.
PowerSave-MODE: Stromsparmodus Modus innerhalb des OneShot-MODE. Während keine Operation ausgeführt wird werden alle Teile der PAE mit Ausnahme des F-, M-PLUREG und Sync-UNIT nicht mit Spannung versorgt.
Power-UNIT: Einheit die die Stromsparfunktionen regelt.
PullDown: Widerstand, der eine Busleitung auf einen L-Pegel zieht.
PullUp: Widerstand, der eine Busleitung auf einen H-Pegel zieht.
R-GATE: Schalter innerhalb der BM-UNIT, der das Ergebnis auf das entsprechende Bussystem aufschaltet. Dabei sind einige Signal über als Open-Kollektor-Treiber aufgeschaltet. Das R-GATE arbeitet als Bustreiber und kann in einen busneutralen Modus gehen.
R2O-MUX: Multiplexer zum Einschleifen des Ergebnisses in einem R-REG-sft in den Datenpfad zwischen O-REG und EALU.
R-REGsft: Ergebnisregister zur Speicherung des Ergebnis der EALU. Er­ möglicht die zeitliche und funktionelle Unabhängigkeit der PAE von den Datenempfängern. Dadurch wird der Transfer der Daten vereinfacht, da er asynchron oder paketorientiert stattfinden kann. Gleichzeitig wird die Möglichkeit geschaf­ fen die Datenempfänger unabhängig von der PAE oder die PAE unabhängig von den Datenempfängern umzukonfigurie­ ren. Das Register ist mit einer Schiebfunktion versehen, die von der SM-UNIT gesteuert wird.
serielle Operationen: Operationen, die durch serielles Abarbeiten eines Daten­ wortes oder eines Algorithmus durchgeführt werden. Serielle Multiplikation, serielle Division, Reihenentwicklung
Sleep-MODE: Stromsparmodus in dem die PAE mit Ausnahme von F-PLUREG ohne Spannung ist.
SM-UNIT: StateMachine-UNIT. Zustandsmaschine, die die EALU steu­ ert.
StateBack-UNIT: Einheit, die die Rückmeldung der Statussignale an die PLU steuert. Bestehend aus einem Multiplexer und einer Open- Kollektor-Bustreiberstufe
Sync-UNIT: Einheit, die die Synchronisation der PAE mit den Datensen­ dern und -empfängern übernimmt, sowie das Umkonfigurie­ ren von PAEs überwacht. Gleichzeitig werden die OneShot-Funktionen übernommen.
Tor: Schalter, der ein Signal weiterleitet oder sperrt. Einfacher Ver­ gleich: Relais
Umkonfigurieren: Neues Konfigurieren von einer beliebigen Menge von PAEs während eine beliebige Restmenge von PAEs ihre eigenen Funk­ tionen fortsetzen (vgl. konfigurieren).
Zustandsmaschine: Logik, die diversen Zuständen annehmen kann. Die Über­ gänge zwischen den Zuständen sind von verschiedenen Ein­ gangsparametern abhängig. Diese Maschinen werden zur Steue­ rung komplexer Funktionen eingesetzt und entsprechen dem Stand der Technik.
6. Konventionen 6.1 Namenskonvention
Baugruppe: -UNIT
Betriebsart: -MODE
Multiplexer: -MUX
Negiertes Signal: not-
Register für PLU sichtbar: -PLUREG
Register intern: -REG
Schieberegisters: -sft
6.2 Funktionskonvention
Schieberegister: sft
UND-Funktion: &
ODER-Funktion #
NICHT-Funktion !
TOR-Funktion G

Claims (3)

1. Konfigurierbare und zur Laufzeit umkonfigurierbare Einheit zur Verarbei­ tung von numerischen und logischen Operationen (PAE), zum Einsatz in Prozessoren (CPUs), Mehrrechnersystemen, Datenflußprozessoren (DFPs), Digitalen Signal Prozessoren (DSPs), systolischen Prozessoren und program­ mierbaren Logikbausteinen (FPGAs), gesteuert von einer Ladelogik (PLU), dadurch gekennzeichnet, daß
  • 1. eine programmierbare Recheneinheit (EALU) zur Durchführung der mathematischen und logischen Grundfunktionen besteht,
  • 2. die Funktion und Vernetzung der Recheneinheit in Register program­ miert wird und eine Vielzahl von Daten, ohne Umprogrammierung der PAE, verarbeitet werden kann,
  • 3. zur Steuerung der Recheneinheit (EALU) eine Zustandsmaschine (SM- UNIT) existiert,
  • 4. Register für jeden Operanden (O-REG) und das Ergebnis (R-REG) be­ stehen, wobei die Register teilweise als Scheiberegister ausgeführt wer­ den können,
  • 5. eine Rückkopplung der Daten des Ergebnisregisters auf einen Eingang der EALU über einen Multiplexer (R2O-MUX) besteht,
  • 6. eine Buseinheit (BM-UNIT) den Abgriff der Daten von einem Bussy­ stem ermöglicht bzw. das Einspeisen des Ergebnisses auf ein Bussystem, wobei die Buseinheit Daten an mehrere Empfänger versenden kann und die Synchronisierung auch mehrerer Empfänger automatisch erfolgt,
  • 7. der Buszugriff von der Datenverarbeitung in der EALU über die Re­ gister entkoppelt ist und somit jede PAE als unabhängige Einheit be­ trachtet werden kann, ins besondere die Konfiguration und Umkonfi­ guration eine PAE keinen störenden Einfluß auf die Datensender und -empfänger, sowie die unabhängigen PAEs hat,
  • 8. der Ablauf der Bustransfers über eine Zustandsmaschine (SYNC-UNIT) automatisch gesteuert wird und dafür die Handshake-Leitungen oRDY, oACK, rRDY und rACK zur Verfügung stehen,
  • 9. Rückmeldungen an die PLU zur Erkennung des Zustandes der Verar­ beitung und der Umkonfigurierbarkeit der PAE erfolgen (StateBack-UNIT).
2. Einheit nach Anspruch 1, dadurch gekennzeichnet, daß die Datenverarbei­ tung einer PAE angehalten werden kann (Eintrag STOP in F-PLUREG) und die PAE daraufhin nach Vollendung der gerade laufenden Datenverarbeitung ihre Bereitschaft zur Umkonfiguration anzeigt (ReConfig).
3. Einheit nach Anspruch 1, dadurch gekennzeichnet, daß Stromsparmodi exi­ stieren,
  • - in welchen die PAE immer nur dann arbeitet, wenn Operanden vom Datensender am Eingang bereitstehen und das Ergebnis bereits vom Empfänger angenommen wurde, und die PAE ansonsten ohne Takt sta­ tisch bleibt,
  • - in welchen Teilbereiche der PAE, die zur Ausführung der momentanen Datenverarbeitung irrelevant sind, von der Takt- und/oder Stromver­ sorgung getrennt sind,
  • - in welchen die PAE von der Spannungsversorgung getrennt ist.
DE19651075A 1996-12-09 1996-12-09 Einheit zur Verarbeitung von numerischen und logischen Operationen, zum Einsatz in Prozessoren (CPU's), Mehrrechnersystemen, Datenflußprozessoren (DFP's), digitalen Signal Prozessoren (DSP's) oder dergleichen Withdrawn DE19651075A1 (de)

Priority Applications (22)

Application Number Priority Date Filing Date Title
DE19651075A DE19651075A1 (de) 1996-12-09 1996-12-09 Einheit zur Verarbeitung von numerischen und logischen Operationen, zum Einsatz in Prozessoren (CPU's), Mehrrechnersystemen, Datenflußprozessoren (DFP's), digitalen Signal Prozessoren (DSP's) oder dergleichen
US08/946,810 US6425068B1 (en) 1996-12-09 1997-10-08 Unit for processing numeric and logic operations for use in central processing units (cpus), multiprocessor systems, data-flow processors (dsps), systolic processors and field programmable gate arrays (epgas)
DE59713029T DE59713029D1 (de) 1996-12-09 1997-12-09 Rekonfigurierbare Datenverarbeitungseinheit mit Stromsparmodus
CN97181623A CN1247613A (zh) 1996-12-09 1997-12-09 对数字运算和逻辑运算进行处理以及在处理机(cpus)、多计算机系统中使用的装置
DE59710383T DE59710383D1 (de) 1996-12-09 1997-12-09 EINHEIT ZUR VERARBEITUNG VON NUMERISCHEN UND LOGISCHEN OPERATIONEN, ZUM EINSATZ IN PROZESSOREN (CPUs), MEHRRECHNERSYSTEMEN
DE19781412T DE19781412D2 (de) 1996-12-09 1997-12-09 Einheit zur Verarbeitung von numerischen und logischen Operationen, zum Einsatz in Prozessoren (CPUs), Mehrrechnersystemen
AU56514/98A AU5651498A (en) 1996-12-09 1997-12-09 Unit for processing numeric and logical operations, for use in processors (cpus)and in multicomputer systems
JP52493898A JP3963957B2 (ja) 1996-12-09 1997-12-09 算術演算および論理演算を処理し、プロセッサ(cpu)で使用するためのユニット、マルチコンピュータシステム、データフロープロセッサ(dfp)、デジタルシグナルプロセッサ(dsp)、収縮型プロセッサおよびプログラム可能論理構成素子(fpga)
EP09014607A EP2166459A1 (de) 1996-12-09 1997-12-09 Datenverarbeitungschip mit einer Vielzahl rekonfigurierbarer Zelleinheiten welche einen Energiesparmodus aufweisen
EA199900441A EA004240B1 (ru) 1996-12-09 1997-12-09 Реконфигурируемый процессор и способ управления работой процессорной системы
EP02028401A EP1310881B1 (de) 1996-12-09 1997-12-09 Rekonfigurierbare Datenverarbeitungseinheit mit Stromsparmodus
PCT/DE1997/002949 WO1998026356A1 (de) 1996-12-09 1997-12-09 EINHEIT ZUR VERARBEITUNG VON NUMERISCHEN UND LOGISCHEN OPERATIONEN, ZUM EINSATZ IN PROZESSOREN (CPUs), MEHRRECHNERSYSTEMEN
AT97952730T ATE244421T1 (de) 1996-12-09 1997-12-09 Einheit zur verarbeitung von numerischen und logischen operationen, zum einsatz in prozessoren (cpus), mehrrechnersystemen
EP97952730A EP0943129B1 (de) 1996-12-09 1997-12-09 EINHEIT ZUR VERARBEITUNG VON NUMERISCHEN UND LOGISCHEN OPERATIONEN, ZUM EINSATZ IN PROZESSOREN (CPUs), MEHRRECHNERSYSTEMEN
CA002274532A CA2274532A1 (en) 1996-12-09 1997-12-09 Unit for processing numeric and logical operations, for use in processors (cpus) and in multicomputer systems
US09/329,132 US6728871B1 (en) 1996-12-09 1999-06-09 Runtime configurable arithmetic and logic cell
US10/156,397 US7237087B2 (en) 1996-12-09 2002-05-28 Reconfigurable multidimensional array processor allowing runtime reconfiguration of selected individual array cells
US10/791,501 US7565525B2 (en) 1996-12-09 2004-03-01 Runtime configurable arithmetic and logic cell
JP2007054895A JP2007174701A (ja) 1996-12-09 2007-03-05 再構築可能プロセッサ、再構築可能データ処理ユニット、データ処理装置構築可能ユニット、構築可能データプロセッサ
US11/820,780 US8156312B2 (en) 1996-12-09 2007-06-19 Processor chip for reconfigurable data processing, for processing numeric and logic operations and including function and interconnection control units
US12/368,709 US7822968B2 (en) 1996-12-09 2009-02-10 Circuit having a multidimensional structure of configurable cells that include multi-bit-wide inputs and outputs
US12/844,043 US20110010523A1 (en) 1996-12-09 2010-07-27 Runtime configurable arithmetic and logic cell

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE19651075A DE19651075A1 (de) 1996-12-09 1996-12-09 Einheit zur Verarbeitung von numerischen und logischen Operationen, zum Einsatz in Prozessoren (CPU's), Mehrrechnersystemen, Datenflußprozessoren (DFP's), digitalen Signal Prozessoren (DSP's) oder dergleichen

Publications (1)

Publication Number Publication Date
DE19651075A1 true DE19651075A1 (de) 1998-06-10

Family

ID=7814099

Family Applications (4)

Application Number Title Priority Date Filing Date
DE19651075A Withdrawn DE19651075A1 (de) 1996-12-09 1996-12-09 Einheit zur Verarbeitung von numerischen und logischen Operationen, zum Einsatz in Prozessoren (CPU's), Mehrrechnersystemen, Datenflußprozessoren (DFP's), digitalen Signal Prozessoren (DSP's) oder dergleichen
DE59710383T Expired - Lifetime DE59710383D1 (de) 1996-12-09 1997-12-09 EINHEIT ZUR VERARBEITUNG VON NUMERISCHEN UND LOGISCHEN OPERATIONEN, ZUM EINSATZ IN PROZESSOREN (CPUs), MEHRRECHNERSYSTEMEN
DE19781412T Expired - Lifetime DE19781412D2 (de) 1996-12-09 1997-12-09 Einheit zur Verarbeitung von numerischen und logischen Operationen, zum Einsatz in Prozessoren (CPUs), Mehrrechnersystemen
DE59713029T Expired - Lifetime DE59713029D1 (de) 1996-12-09 1997-12-09 Rekonfigurierbare Datenverarbeitungseinheit mit Stromsparmodus

Family Applications After (3)

Application Number Title Priority Date Filing Date
DE59710383T Expired - Lifetime DE59710383D1 (de) 1996-12-09 1997-12-09 EINHEIT ZUR VERARBEITUNG VON NUMERISCHEN UND LOGISCHEN OPERATIONEN, ZUM EINSATZ IN PROZESSOREN (CPUs), MEHRRECHNERSYSTEMEN
DE19781412T Expired - Lifetime DE19781412D2 (de) 1996-12-09 1997-12-09 Einheit zur Verarbeitung von numerischen und logischen Operationen, zum Einsatz in Prozessoren (CPUs), Mehrrechnersystemen
DE59713029T Expired - Lifetime DE59713029D1 (de) 1996-12-09 1997-12-09 Rekonfigurierbare Datenverarbeitungseinheit mit Stromsparmodus

Country Status (10)

Country Link
US (7) US6425068B1 (de)
EP (3) EP1310881B1 (de)
JP (2) JP3963957B2 (de)
CN (1) CN1247613A (de)
AT (1) ATE244421T1 (de)
AU (1) AU5651498A (de)
CA (1) CA2274532A1 (de)
DE (4) DE19651075A1 (de)
EA (1) EA004240B1 (de)
WO (1) WO1998026356A1 (de)

Cited By (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6338106B1 (en) 1996-12-20 2002-01-08 Pact Gmbh I/O and memory bus system for DFPS and units with two or multi-dimensional programmable cell architectures
WO2000077652A3 (de) * 1999-06-10 2002-04-25 Pact Inf Tech Gmbh Sequenz-partitionierung auf zellstrukturen
US6425068B1 (en) 1996-12-09 2002-07-23 Pact Gmbh Unit for processing numeric and logic operations for use in central processing units (cpus), multiprocessor systems, data-flow processors (dsps), systolic processors and field programmable gate arrays (epgas)
US6477643B1 (en) 1996-12-27 2002-11-05 Pact Gmbh Process for automatic dynamic reloading of data flow processors (dfps) and units with two-or-three-dimensional programmable cell architectures (fpgas, dpgas, and the like)
US6480937B1 (en) 1998-02-25 2002-11-12 Pact Informationstechnologie Gmbh Method for hierarchical caching of configuration data having dataflow processors and modules having two-or multidimensional programmable cell structure (FPGAs, DPGAs, etc.)--
US6526520B1 (en) 1997-02-08 2003-02-25 Pact Gmbh Method of self-synchronization of configurable elements of a programmable unit
US6542998B1 (en) 1997-02-08 2003-04-01 Pact Gmbh Method of self-synchronization of configurable elements of a programmable module
WO2003036507A2 (de) * 2001-09-19 2003-05-01 Pact Xpp Technologies Ag Rekonfigurierbare elemente
WO2003060747A2 (de) * 2002-01-19 2003-07-24 Pact Xpp Technologies Ag Reconfigurierbarer prozessor
WO2003071432A2 (de) * 2002-02-18 2003-08-28 Pact Xpp Technologies Ag Bussysteme und rekonfigurationsverfahren
WO2004038599A1 (de) * 2002-09-06 2004-05-06 Pact Xpp Technologies Ag Rekonfigurierbare sequenzerstruktur
US7650448B2 (en) 1996-12-20 2010-01-19 Pact Xpp Technologies Ag I/O and memory bus system for DFPS and units with two- or multi-dimensional programmable cell architectures
US7657877B2 (en) 2001-06-20 2010-02-02 Pact Xpp Technologies Ag Method for processing data
US7657861B2 (en) 2002-08-07 2010-02-02 Pact Xpp Technologies Ag Method and device for processing data
US7822881B2 (en) 1996-12-27 2010-10-26 Martin Vorbach Process for automatic dynamic reloading of data flow processors (DFPs) and units with two- or three-dimensional programmable cell architectures (FPGAs, DPGAs, and the like)
US7840842B2 (en) 2001-09-03 2010-11-23 Martin Vorbach Method for debugging reconfigurable architectures
US7844796B2 (en) 2001-03-05 2010-11-30 Martin Vorbach Data processing device and method
US7996827B2 (en) 2001-08-16 2011-08-09 Martin Vorbach Method for the translation of programs for reconfigurable architectures
US8058899B2 (en) 2000-10-06 2011-11-15 Martin Vorbach Logic cell array and bus system
US8099618B2 (en) 2001-03-05 2012-01-17 Martin Vorbach Methods and devices for treating and processing data
US8156284B2 (en) 2002-08-07 2012-04-10 Martin Vorbach Data processing method and device
US8209653B2 (en) 2001-09-03 2012-06-26 Martin Vorbach Router
US8250503B2 (en) 2006-01-18 2012-08-21 Martin Vorbach Hardware definition method including determining whether to implement a function as hardware or software
US8301872B2 (en) 2000-06-13 2012-10-30 Martin Vorbach Pipeline configuration protocol and configuration unit communication
US8686549B2 (en) 2001-09-03 2014-04-01 Martin Vorbach Reconfigurable elements
US8686475B2 (en) 2001-09-19 2014-04-01 Pact Xpp Technologies Ag Reconfigurable elements
US8812820B2 (en) 2003-08-28 2014-08-19 Pact Xpp Technologies Ag Data processing device and method
US8819505B2 (en) 1997-12-22 2014-08-26 Pact Xpp Technologies Ag Data processor having disabled cores
US8914590B2 (en) 2002-08-07 2014-12-16 Pact Xpp Technologies Ag Data processing method and device
US9037807B2 (en) 2001-03-05 2015-05-19 Pact Xpp Technologies Ag Processor arrangement on a chip including data processing, memory, and interface elements

Families Citing this family (127)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003025781A2 (de) 2001-09-19 2003-03-27 Pact Xpp Technologies Ag Verfahren zur konfiguration der verbindung zwischen datenverarbeitungszellen
DE19704742A1 (de) * 1997-02-11 1998-09-24 Pact Inf Tech Gmbh Internes Bussystem für DFPs, sowie Bausteinen mit zwei- oder mehrdimensionalen programmierbaren Zellstrukturen, zur Bewältigung großer Datenmengen mit hohem Vernetzungsaufwand
US5864703A (en) * 1997-10-09 1999-01-26 Mips Technologies, Inc. Method for providing extended precision in SIMD vector arithmetic operations
US6690677B1 (en) * 1999-07-20 2004-02-10 Serconet Ltd. Network for telephony and data communication
US6728863B1 (en) * 1999-10-26 2004-04-27 Assabet Ventures Wide connections for transferring data between PE's of an N-dimensional mesh-connected SIMD array while transferring operands from memory
US6711558B1 (en) 2000-04-07 2004-03-23 Washington University Associative database scanning and information retrieval
US8095508B2 (en) 2000-04-07 2012-01-10 Washington University Intelligent data storage and processing using FPGA devices
US7139743B2 (en) * 2000-04-07 2006-11-21 Washington University Associative database scanning and information retrieval using FPGA devices
ATE437476T1 (de) * 2000-10-06 2009-08-15 Pact Xpp Technologies Ag Zellenanordnung mit segmentierter zwischenzellstruktur
US20040015899A1 (en) * 2000-10-06 2004-01-22 Frank May Method for processing data
US6691689B2 (en) * 2000-10-13 2004-02-17 Prüfrex-Elektro-Apparatebau, Inh. Helga Müller. Geb Dutschke Rotation direction detector in ignition equipment of an internal combustion engine
EP1220107A3 (de) * 2000-10-26 2005-01-05 Cypress Semiconductor Corporation Programmierbare digitale Vorrichtung
US6982589B2 (en) * 2001-02-28 2006-01-03 Intel Corporation Multi-stage multiplexer
JP2009020909A (ja) * 2001-03-05 2009-01-29 Pact Xpp Technologies Ag グラフのパーティショニング方法
US9250908B2 (en) 2001-03-05 2016-02-02 Pact Xpp Technologies Ag Multi-processor bus and cache interconnection system
US7210129B2 (en) * 2001-08-16 2007-04-24 Pact Xpp Technologies Ag Method for translating programs for reconfigurable architectures
AU2002257615A1 (en) 2001-03-05 2002-09-19 Pact Informationstechnologie Gmbh Methods and devices for treating and/or processing data
US9552047B2 (en) 2001-03-05 2017-01-24 Pact Xpp Technologies Ag Multiprocessor having runtime adjustable clock and clock dependent power supply
US9436631B2 (en) 2001-03-05 2016-09-06 Pact Xpp Technologies Ag Chip including memory element storing higher level memory data on a page by page basis
US9411532B2 (en) 2001-09-07 2016-08-09 Pact Xpp Technologies Ag Methods and systems for transferring data between a processing device and external devices
US7581076B2 (en) * 2001-03-05 2009-08-25 Pact Xpp Technologies Ag Methods and devices for treating and/or processing data
US9141390B2 (en) 2001-03-05 2015-09-22 Pact Xpp Technologies Ag Method of processing data with an array of data processors according to application ID
US7308500B1 (en) * 2001-03-16 2007-12-11 Symantec Operating Corporation Model for cost optimization and QoS tuning in hosted computing environments
US7624204B2 (en) 2001-03-22 2009-11-24 Nvidia Corporation Input/output controller node in an adaptable computing environment
JP3561506B2 (ja) * 2001-05-10 2004-09-02 東京エレクトロンデバイス株式会社 演算システム
US10031733B2 (en) 2001-06-20 2018-07-24 Scientia Sol Mentis Ag Method for processing data
AU2002326641A1 (en) * 2001-08-17 2003-03-03 Incyte Genomics, Inc. Nucleic-acid associated proteins
DE10147772C1 (de) * 2001-09-27 2003-09-11 Siemens Ag Verfahren zum Betreiben eines Übertragungssystems und Übertragungssystem in einem Energieversorgungsnetz
US7594229B2 (en) * 2001-10-09 2009-09-22 Nvidia Corp. Predictive resource allocation in computing systems
US7111179B1 (en) 2001-10-11 2006-09-19 In-Hand Electronics, Inc. Method and apparatus for optimizing performance and battery life of electronic devices based on system and application parameters
US7716330B2 (en) * 2001-10-19 2010-05-11 Global Velocity, Inc. System and method for controlling transmission of data packets over an information network
US20090006659A1 (en) * 2001-10-19 2009-01-01 Collins Jack M Advanced mezzanine card for digital network data inspection
US7644279B2 (en) * 2001-12-05 2010-01-05 Nvidia Corporation Consumer product distribution in the embedded system market
US9170812B2 (en) 2002-03-21 2015-10-27 Pact Xpp Technologies Ag Data processing system having integrated pipelined array data processor
US7093255B1 (en) * 2002-05-31 2006-08-15 Quicksilver Technology, Inc. Method for estimating cost when placing operations within a modulo scheduler when scheduling for processors with a large number of function units or reconfigurable data paths
US7620678B1 (en) 2002-06-12 2009-11-17 Nvidia Corporation Method and system for reducing the time-to-market concerns for embedded system design
US7802108B1 (en) 2002-07-18 2010-09-21 Nvidia Corporation Secure storage of program code for an embedded system
US7711844B2 (en) 2002-08-15 2010-05-04 Washington University Of St. Louis TCP-splitter: reliable packet monitoring methods and apparatus for high speed networks
US7502915B2 (en) * 2002-09-30 2009-03-10 Nvidia Corporation System and method using embedded microprocessor as a node in an adaptable computing machine
US8949576B2 (en) * 2002-11-01 2015-02-03 Nvidia Corporation Arithmetic node including general digital signal processing functions for an adaptive computing machine
EP1431872A1 (de) * 2002-12-19 2004-06-23 Alcatel Digitaler Signalprozessor mit rekonfigurierbarem Datenpfad
US7617100B1 (en) 2003-01-10 2009-11-10 Nvidia Corporation Method and system for providing an excitation-pattern based audio coding scheme
US7076584B2 (en) * 2003-05-09 2006-07-11 Freescale Semiconductor, Inc. Method and apparatus for interconnecting portions of circuitry within a data processing system
US10572824B2 (en) 2003-05-23 2020-02-25 Ip Reservoir, Llc System and method for low latency multi-functional pipeline with correlation logic and selectively activated/deactivated pipelined data processing engines
JP2006526227A (ja) 2003-05-23 2006-11-16 ワシントン ユニヴァーシティー Fpgaデバイスを使用するインテリジェントデータ記憶および処理
US8296764B2 (en) * 2003-08-14 2012-10-23 Nvidia Corporation Internal synchronization control for adaptive integrated circuitry
US7669035B2 (en) * 2004-01-21 2010-02-23 The Charles Stark Draper Laboratory, Inc. Systems and methods for reconfigurable computing
US8018463B2 (en) * 2004-05-10 2011-09-13 Nvidia Corporation Processor for video data
US8130825B2 (en) * 2004-05-10 2012-03-06 Nvidia Corporation Processor for video data encoding/decoding
JP2006011924A (ja) * 2004-06-28 2006-01-12 Fujitsu Ltd 再構成可能演算装置および半導体装置
CN100392661C (zh) * 2004-07-17 2008-06-04 周建龙 一种可编程测控设备的数据处理方法
JP4120631B2 (ja) * 2004-10-05 2008-07-16 株式会社日立製作所 半導体集積回路
TWI256013B (en) * 2004-10-12 2006-06-01 Uli Electronics Inc Sound-effect processing circuit
US7765250B2 (en) * 2004-11-15 2010-07-27 Renesas Technology Corp. Data processor with internal memory structure for processing stream data
JP3810419B2 (ja) * 2004-12-07 2006-08-16 松下電器産業株式会社 再構成可能な信号処理プロセッサ
US7281942B2 (en) * 2005-11-18 2007-10-16 Ideal Industries, Inc. Releasable wire connector
CN101313470B (zh) * 2005-11-25 2010-09-22 松下电器产业株式会社 逻辑块控制系统及逻辑块控制方法
US7702629B2 (en) 2005-12-02 2010-04-20 Exegy Incorporated Method and device for high performance regular expression pattern matching
JP4838009B2 (ja) * 2006-02-22 2011-12-14 富士通セミコンダクター株式会社 リコンフィグラブル回路
WO2007124048A2 (en) * 2006-04-19 2007-11-01 Trustees Of Princeton University A hybrid nanotube/cmos dynamically reconfigurable architecture and an integrated design optimization method and system therefor
US7636703B2 (en) * 2006-05-02 2009-12-22 Exegy Incorporated Method and apparatus for approximate pattern matching
US7921046B2 (en) * 2006-06-19 2011-04-05 Exegy Incorporated High speed processing of financial information using FPGA devices
US7840482B2 (en) 2006-06-19 2010-11-23 Exegy Incorporated Method and system for high speed options pricing
US7982495B2 (en) * 2006-08-25 2011-07-19 St-Ericsson Sa Configurable logic device
US7999820B1 (en) 2006-10-23 2011-08-16 Nvidia Corporation Methods and systems for reusing memory addresses in a graphics system
US20080111923A1 (en) * 2006-11-09 2008-05-15 Scheuermann W James Processor for video data
US8326819B2 (en) 2006-11-13 2012-12-04 Exegy Incorporated Method and system for high performance data metatagging and data indexing using coprocessors
US7660793B2 (en) 2006-11-13 2010-02-09 Exegy Incorporated Method and system for high performance integration, processing and searching of structured and unstructured data using coprocessors
US8169789B1 (en) 2007-04-10 2012-05-01 Nvidia Corporation Graphics processing unit stiffening frame
US7987065B1 (en) 2007-04-17 2011-07-26 Nvidia Corporation Automatic quality testing of multimedia rendering by software drivers
US8572598B1 (en) 2007-04-18 2013-10-29 Nvidia Corporation Method and system for upgrading software in a computing device
US8726283B1 (en) 2007-06-04 2014-05-13 Nvidia Corporation Deadlock avoidance skid buffer
US7944453B1 (en) 2007-06-07 2011-05-17 Nvidia Corporation Extrapolation texture filtering for nonresident mipmaps
US7948500B2 (en) * 2007-06-07 2011-05-24 Nvidia Corporation Extrapolation of nonresident mipmap data using resident mipmap data
US8332680B2 (en) * 2007-08-13 2012-12-11 Rambus Inc. Methods and systems for operating memory in two modes
US8325184B2 (en) * 2007-09-14 2012-12-04 Qualcomm Incorporated Fragment shader bypass in a graphics processing unit, and apparatus and method thereof
US9081901B2 (en) * 2007-10-31 2015-07-14 Raytheon Company Means of control for reconfigurable computers
US7817488B2 (en) * 2007-12-20 2010-10-19 Sandisk Corporation Load balancing by using clock gears
US10229453B2 (en) 2008-01-11 2019-03-12 Ip Reservoir, Llc Method and system for low latency basket calculation
JP5251171B2 (ja) * 2008-03-06 2013-07-31 富士通セミコンダクター株式会社 論理回路装置
US8374986B2 (en) 2008-05-15 2013-02-12 Exegy Incorporated Method and system for accelerated stream processing
EP2310952A4 (de) * 2008-07-01 2014-09-03 S K Nandy Verfahren und system auf chip (soc) zum anpassen einer umkonfigurierbaren hardware für eine anwendung zur laufzeit
US9152427B2 (en) 2008-10-15 2015-10-06 Hyperion Core, Inc. Instruction issue to array of arithmetic cells coupled to load/store cells with associated registers as extended register file
JP5871619B2 (ja) 2008-12-15 2016-03-01 アイ・ピー・リザブワー・エル・エル・シー 金融市場深度データの高速処理のための方法および装置
US8214592B2 (en) * 2009-04-15 2012-07-03 International Business Machines Corporation Dynamic runtime modification of array layout for offset
US9448964B2 (en) 2009-05-04 2016-09-20 Cypress Semiconductor Corporation Autonomous control in a programmable system
GB2471067B (en) 2009-06-12 2011-11-30 Graeme Roy Smith Shared resource multi-thread array processor
US8150902B2 (en) 2009-06-19 2012-04-03 Singular Computing Llc Processing with compact arithmetic processing element
EP2363812B1 (de) * 2010-03-04 2018-02-28 Karlsruher Institut für Technologie Rekonfigurierbare prozessorarchitektur
JP6045505B2 (ja) 2010-12-09 2016-12-14 アイピー レザボア, エルエルシー.IP Reservoir, LLC. 金融市場における注文を管理する方法および装置
US8812287B2 (en) * 2011-02-08 2014-08-19 International Business Machines Corporation Autonomous, scalable, digital system for emulation of wired-or hardware connection
US20140351563A1 (en) * 2011-12-16 2014-11-27 Hyperion Core Inc. Advanced processor architecture
US11436672B2 (en) 2012-03-27 2022-09-06 Exegy Incorporated Intelligent switch for processing financial market data
US10121196B2 (en) 2012-03-27 2018-11-06 Ip Reservoir, Llc Offload processing of data packets containing financial market data
US9990393B2 (en) 2012-03-27 2018-06-05 Ip Reservoir, Llc Intelligent feed switch
US10650452B2 (en) 2012-03-27 2020-05-12 Ip Reservoir, Llc Offload processing of data packets
EP2912579B1 (de) 2012-10-23 2020-08-19 IP Reservoir, LLC Verfahren und vorrichtung zur beschleunigten formatübersetzung von daten in einem begrenzten datenformat
US10133802B2 (en) 2012-10-23 2018-11-20 Ip Reservoir, Llc Method and apparatus for accelerated record layout detection
US9633093B2 (en) 2012-10-23 2017-04-25 Ip Reservoir, Llc Method and apparatus for accelerated format translation of data in a delimited data format
JP6254834B2 (ja) * 2012-12-06 2017-12-27 株式会社半導体エネルギー研究所 半導体装置
US9442559B2 (en) 2013-03-14 2016-09-13 Intel Corporation Exploiting process variation in a multicore processor
GB2541577A (en) 2014-04-23 2017-02-22 Ip Reservoir Llc Method and apparatus for accelerated data translation
US9575778B2 (en) * 2014-05-20 2017-02-21 Via Alliance Semiconductor Co., Ltd. Dynamically configurable system based on cloud-collaborative experimentation
US10372285B2 (en) * 2015-04-14 2019-08-06 Ebay Inc. Standardizing user interface elements
US10693466B2 (en) * 2015-07-31 2020-06-23 Guosheng Wu Self-adaptive chip and configuration method
KR102338863B1 (ko) 2015-09-09 2021-12-13 삼성전자주식회사 연산을 제어하기 위한 장치 및 방법
US10942943B2 (en) 2015-10-29 2021-03-09 Ip Reservoir, Llc Dynamic field data translation to support high performance stream data processing
US10073718B2 (en) 2016-01-15 2018-09-11 Intel Corporation Systems, methods and devices for determining work placement on processor cores
EP3560135A4 (de) 2016-12-22 2020-08-05 IP Reservoir, LLC Rohrleitungen zum hardware-beschleunigten maschinellen lernen
US10963265B2 (en) 2017-04-21 2021-03-30 Micron Technology, Inc. Apparatus and method to switch configurable logic units
US10353709B2 (en) * 2017-09-13 2019-07-16 Nextera Video, Inc. Digital signal processing array using integrated processing elements
US11188497B2 (en) 2018-11-21 2021-11-30 SambaNova Systems, Inc. Configuration unload of a reconfigurable data processor
US10831507B2 (en) 2018-11-21 2020-11-10 SambaNova Systems, Inc. Configuration load of a reconfigurable data processor
US10698853B1 (en) * 2019-01-03 2020-06-30 SambaNova Systems, Inc. Virtualization of a reconfigurable data processor
US10768899B2 (en) 2019-01-29 2020-09-08 SambaNova Systems, Inc. Matrix normal/transpose read and a reconfigurable data processor including same
US11386038B2 (en) 2019-05-09 2022-07-12 SambaNova Systems, Inc. Control flow barrier and reconfigurable data processor
US11055141B2 (en) 2019-07-08 2021-07-06 SambaNova Systems, Inc. Quiesce reconfigurable data processor
CN113568864A (zh) * 2020-04-29 2021-10-29 意法半导体股份有限公司 电路、对应的设备、系统和方法
IT202000009358A1 (it) * 2020-04-29 2021-10-29 St Microelectronics Srl Circuito, dispositivo, sistema e procedimento corrispondenti
US11809908B2 (en) 2020-07-07 2023-11-07 SambaNova Systems, Inc. Runtime virtualization of reconfigurable data flow resources
US11782729B2 (en) 2020-08-18 2023-10-10 SambaNova Systems, Inc. Runtime patching of configuration files
US11409540B1 (en) 2021-07-16 2022-08-09 SambaNova Systems, Inc. Routing circuits for defect repair for a reconfigurable data processor
US11556494B1 (en) 2021-07-16 2023-01-17 SambaNova Systems, Inc. Defect repair for a reconfigurable data processor for homogeneous subarrays
US11327771B1 (en) 2021-07-16 2022-05-10 SambaNova Systems, Inc. Defect repair circuits for a reconfigurable data processor
US11487694B1 (en) 2021-12-17 2022-11-01 SambaNova Systems, Inc. Hot-plug events in a pool of reconfigurable data flow resources
CN113986817B (zh) * 2021-12-30 2022-03-18 中科声龙科技发展(北京)有限公司 运算芯片访问片内存储区域的方法和运算芯片
WO2024054233A1 (en) * 2022-09-09 2024-03-14 Nokia Solutions And Networks Oy Configurable wavefront parallel processor

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0177261A2 (de) * 1984-09-26 1986-04-09 Xilinx, Inc. Konfigurierbare logischer Anordnung
US4870302A (en) * 1984-03-12 1989-09-26 Xilinx, Inc. Configurable electrical circuit having configurable logic elements and configurable interconnects
DE4416881A1 (de) * 1993-05-13 1994-11-17 Martin Vorbach Datenverarbeitungseinrichtung
WO1995004402A1 (en) * 1993-08-03 1995-02-09 Xilinx, Inc. Microprocessor-based fpga
EP0638867A2 (de) * 1993-08-12 1995-02-15 Hughes Aircraft Company Dynamisches rekonfigurbares Prozessorkommunikationsnetzwerk für SIMD-Multiprozessoren und Vorrichtung zu seinem Betrieb
EP0724221A2 (de) * 1995-01-26 1996-07-31 International Business Machines Corporation Verfahren und Vorrichtung zur Ausführung unähnlicher Befehlsfolgen in einem Prozessor eines Einzelbefehl- und Mehrfahrdatenrechners (SIMD)

Family Cites Families (551)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US555434A (en) * 1896-02-25 Pegging-machine
US2067477A (en) * 1931-03-20 1937-01-12 Allis Chalmers Mfg Co Gearing
GB971191A (en) * 1962-05-28 1964-09-30 Wolf Electric Tools Ltd Improvements relating to electrically driven equipment
US3564506A (en) * 1968-01-17 1971-02-16 Ibm Instruction retry byte counter
GB1253309A (en) 1969-11-21 1971-11-10 Marconi Co Ltd Improvements in or relating to data processing arrangements
DE2057312A1 (de) 1970-11-21 1972-05-25 Bhs Bayerische Berg Planetenradgetriebe mit Lastdruckausgleich
US5459846A (en) * 1988-12-02 1995-10-17 Hyatt; Gilbert P. Computer architecture system having an imporved memory
US3855577A (en) * 1973-06-11 1974-12-17 Texas Instruments Inc Power saving circuit for calculator system
US4233667A (en) * 1978-10-23 1980-11-11 International Business Machines Corporation Demand powered programmable logic array
JPS5858672A (ja) * 1981-07-24 1983-04-07 テキサス・インストルメンツ・インコ−ポレ−テツド 再構成可能集積回路
US4414547A (en) 1981-08-05 1983-11-08 General Instrument Corporation Storage logic array having two conductor data column
US4498134A (en) * 1982-01-26 1985-02-05 Hughes Aircraft Company Segregator functional plane for use in a modular array processor
US4590583A (en) 1982-07-16 1986-05-20 At&T Bell Laboratories Coin telephone measurement circuitry
US4498172A (en) * 1982-07-26 1985-02-05 General Electric Company System for polynomial division self-testing of digital networks
US4667190A (en) 1982-07-30 1987-05-19 Honeywell Inc. Two axis fast access memory
JPS5936857A (ja) * 1982-08-25 1984-02-29 Nec Corp プロセツサユニツト
US4663706A (en) * 1982-10-28 1987-05-05 Tandem Computers Incorporated Multiprocessor multisystem communications network
US4739474A (en) * 1983-03-10 1988-04-19 Martin Marietta Corporation Geometric-arithmetic parallel processor
US4566102A (en) * 1983-04-18 1986-01-21 International Business Machines Corporation Parallel-shift error reconfiguration
US5123109A (en) * 1983-05-31 1992-06-16 Thinking Machines Corporation Parallel processor including a processor array with plural data transfer arrangements including (1) a global router and (2) a proximate-neighbor transfer system
US4571736A (en) 1983-10-31 1986-02-18 University Of Southwestern Louisiana Digital communication system employing differential coding and sample robbing
US4646300A (en) * 1983-11-14 1987-02-24 Tandem Computers Incorporated Communications method
USRE34363E (en) 1984-03-12 1993-08-31 Xilinx, Inc. Configurable electrical circuit having configurable logic elements and configurable interconnects
JPS60198618A (ja) * 1984-03-21 1985-10-08 Oki Electric Ind Co Ltd ダイナミツク論理回路
US4761755A (en) * 1984-07-11 1988-08-02 Prime Computer, Inc. Data processing system and method having an improved arithmetic unit
US4682284A (en) * 1984-12-06 1987-07-21 American Telephone & Telegraph Co., At&T Bell Lab. Queue administration method and apparatus
US4623997A (en) 1984-12-13 1986-11-18 United Technologies Corporation Coherent interface with wraparound receive and transmit memories
EP0190813B1 (de) 1985-01-29 1991-09-18 The Secretary of State for Defence in Her Britannic Majesty's Government of the United Kingdom of Great Britain and Verarbeitungszelle für fehlertolerante Matrixanordnungen
US4720778A (en) * 1985-01-31 1988-01-19 Hewlett Packard Company Software debugging analyzer
US5023775A (en) 1985-02-14 1991-06-11 Intel Corporation Software programmable logic array utilizing "and" and "or" gates
US5247689A (en) 1985-02-25 1993-09-21 Ewert Alfred P Parallel digital processor including lateral transfer buses with interrupt switches to form bus interconnection segments
US4706216A (en) 1985-02-27 1987-11-10 Xilinx, Inc. Configurable logic element
US5015884A (en) 1985-03-29 1991-05-14 Advanced Micro Devices, Inc. Multiple array high performance programmable logic device family
US5225719A (en) 1985-03-29 1993-07-06 Advanced Micro Devices, Inc. Family of multiple segmented programmable logic blocks interconnected by a high speed centralized switch matrix
US4972314A (en) 1985-05-20 1990-11-20 Hughes Aircraft Company Data flow signal processor method and apparatus
US4967340A (en) 1985-06-12 1990-10-30 E-Systems, Inc. Adaptive processing system having an array of individually configurable processing components
GB8517376D0 (en) 1985-07-09 1985-08-14 Jesshope C R Processor array
US4720780A (en) * 1985-09-17 1988-01-19 The Johns Hopkins University Memory-linked wavefront array processor
EP0221360B1 (de) 1985-11-04 1992-12-30 International Business Machines Corporation Digitale Nachrichtenübertragungsnetzwerke und Aufbau von Übertragungswegen in diesen Netzwerken
US4852048A (en) 1985-12-12 1989-07-25 Itt Corporation Single instruction multiple data (SIMD) cellular array processing apparatus employing a common bus where a first number of bits manifest a first bus portion and a second number of bits manifest a second bus portion
US5021947A (en) 1986-03-31 1991-06-04 Hughes Aircraft Company Data-flow multiprocessor architecture with three dimensional multistage interconnection network for efficient signal and data processing
US4882687A (en) 1986-03-31 1989-11-21 Schlumberger Technology Corporation Pixel processor
US5034914A (en) 1986-05-15 1991-07-23 Aquidneck Systems International, Inc. Optical disk data storage method and apparatus with buffered interface
GB8612396D0 (en) 1986-05-21 1986-06-25 Hewlett Packard Ltd Chain-configured interface bus system
US4791603A (en) 1986-07-18 1988-12-13 Honeywell Inc. Dynamically reconfigurable array logic
US4860201A (en) 1986-09-02 1989-08-22 The Trustees Of Columbia University In The City Of New York Binary tree parallel processor
US4910665A (en) * 1986-09-02 1990-03-20 General Electric Company Distributed processing system including reconfigurable elements
US5367208A (en) 1986-09-19 1994-11-22 Actel Corporation Reconfigurable programmable interconnect architecture
US4884231A (en) 1986-09-26 1989-11-28 Performance Semiconductor Corporation Microprocessor system with extended arithmetic logic unit
GB2211638A (en) 1987-10-27 1989-07-05 Ibm Simd array processor
FR2606184B1 (fr) * 1986-10-31 1991-11-29 Thomson Csf Dispositif de calcul reconfigurable
US4918440A (en) 1986-11-07 1990-04-17 Furtek Frederick C Programmable logic cell and array
US4811214A (en) * 1986-11-14 1989-03-07 Princeton University Multinode reconfigurable pipeline computer
US5226122A (en) 1987-08-21 1993-07-06 Compaq Computer Corp. Programmable logic system for filtering commands to a microprocessor
CA1299757C (en) 1987-08-28 1992-04-28 Brent Cameron Beardsley Device initiated partial system quiescing
US5115510A (en) * 1987-10-20 1992-05-19 Sharp Kabushiki Kaisha Multistage data flow processor with instruction packet, fetch, storage transmission and address generation controlled by destination information
US5113498A (en) 1987-11-10 1992-05-12 Echelon Corporation Input/output section for an intelligent cell which provides sensing, bidirectional communications and control
US4918690A (en) 1987-11-10 1990-04-17 Echelon Systems Corp. Network and intelligent cell for providing sensing, bidirectional communications and control
NL8800053A (nl) 1988-01-11 1989-08-01 Philips Nv Videoprocessorsysteem, alsmede afbeeldingssysteem en beeldopslagsysteem, voorzien van een dergelijk videoprocessorsysteem.
NL8800071A (nl) 1988-01-13 1989-08-01 Philips Nv Dataprocessorsysteem en videoprocessorsysteem, voorzien van een dergelijk dataprocessorsysteem.
USRE34444E (en) 1988-01-13 1993-11-16 Xilinx, Inc. Programmable logic device
EP0325421B1 (de) 1988-01-20 1994-08-10 Advanced Micro Devices, Inc. Organisation eines integrierten Cachespeichers zur flexiblen Anwendung zur Unterstützung von Multiprozessor-Operationen
US5303172A (en) 1988-02-16 1994-04-12 Array Microsystems Pipelined combination and vector signal processor
US4959781A (en) 1988-05-16 1990-09-25 Stardent Computer, Inc. System for assigning interrupts to least busy processor that already loaded same class of interrupt routines
JPH06101043B2 (ja) 1988-06-30 1994-12-12 三菱電機株式会社 マイクロコンピュータ
US5287511A (en) 1988-07-11 1994-02-15 Star Semiconductor Corporation Architectures and methods for dividing processing tasks into tasks for a programmable real time signal processor and tasks for a decision making microprocessor interfacing therewith
JPH03500461A (ja) 1988-07-22 1991-01-31 アメリカ合衆国 データ駆動式計算用のデータ流れ装置
US5010401A (en) 1988-08-11 1991-04-23 Mitsubishi Denki Kabushiki Kaisha Picture coding and decoding apparatus using vector quantization
US4901268A (en) 1988-08-19 1990-02-13 General Electric Company Multiple function data processor
US5204935A (en) 1988-08-19 1993-04-20 Fuji Xerox Co., Ltd. Programmable fuzzy logic circuits
US5353432A (en) 1988-09-09 1994-10-04 Compaq Computer Corporation Interactive method for configuration of computer system and circuit boards with user specification of system resources and computer resolution of resource conflicts
EP0363631B1 (de) * 1988-09-22 1993-12-15 Siemens Aktiengesellschaft Schaltungsanordnung für Fernmeldevermittlungsanlagen, insbesondere PCM-Zeitmultiplex-Fernsprechvermittlungsanlagen mit Zentralkoppelfeld und angeschlossenen Teilkoppelfeldern
EP0437491B1 (de) 1988-10-05 1995-12-13 Quickturn Systems Inc Verfahren zur verwendung einer elektronisch wiederkonfigurierbaren gatterfeld-logik und dadurch hergestelltes gerät
WO1990004235A1 (en) 1988-10-07 1990-04-19 Martin Marietta Corporation Parallel data processor
US5014193A (en) 1988-10-14 1991-05-07 Compaq Computer Corporation Dynamically configurable portable computer system
JPH02130023A (ja) * 1988-11-10 1990-05-18 Fujitsu Ltd マルチファンクション・プログラマブル・ロジック・デバイス
US5136717A (en) 1988-11-23 1992-08-04 Flavors Technology Inc. Realtime systolic, multiple-instruction, single-data parallel computer system
US5041924A (en) 1988-11-30 1991-08-20 Quantum Corporation Removable and transportable hard disk subsystem
US5043879A (en) * 1989-01-12 1991-08-27 International Business Machines Corporation PLA microcode controller
US5081375A (en) 1989-01-19 1992-01-14 National Semiconductor Corp. Method for operating a multiple page programmable logic device
GB8906145D0 (en) 1989-03-17 1989-05-04 Algotronix Ltd Configurable cellular array
US5203005A (en) 1989-05-02 1993-04-13 Horst Robert W Cell structure for linear array wafer scale integration architecture with capability to open boundary i/o bus without neighbor acknowledgement
US5237686A (en) 1989-05-10 1993-08-17 Mitsubishi Denki Kabushiki Kaisha Multiprocessor type time varying image encoding system and image processor with memory bus control table for arbitration priority
US5109503A (en) 1989-05-22 1992-04-28 Ge Fanuc Automation North America, Inc. Apparatus with reconfigurable counter includes memory for storing plurality of counter configuration files which respectively define plurality of predetermined counters
JP2584673B2 (ja) 1989-06-09 1997-02-26 株式会社日立製作所 テストデータ変更回路を有する論理回路テスト装置
CA2021192A1 (en) * 1989-07-28 1991-01-29 Malcolm A. Mumme Simplified synchronous mesh processor
US5343406A (en) 1989-07-28 1994-08-30 Xilinx, Inc. Distributed memory architecture for a configurable logic array and method for using distributed memory
US5233539A (en) 1989-08-15 1993-08-03 Advanced Micro Devices, Inc. Programmable gate array with improved interconnect structure, input/output structure and configurable logic block
US5489857A (en) 1992-08-03 1996-02-06 Advanced Micro Devices, Inc. Flexible synchronous/asynchronous cell structure for a high density programmable logic device
US5212652A (en) 1989-08-15 1993-05-18 Advanced Micro Devices, Inc. Programmable gate array with improved interconnect structure
US5128559A (en) 1989-09-29 1992-07-07 Sgs-Thomson Microelectronics, Inc. Logic block for programmable logic devices
JP2968289B2 (ja) 1989-11-08 1999-10-25 株式会社リコー 中央演算処理装置
GB8925721D0 (en) 1989-11-14 1990-01-04 Amt Holdings Processor array system
GB8925723D0 (en) 1989-11-14 1990-01-04 Amt Holdings Processor array system
US5212777A (en) 1989-11-17 1993-05-18 Texas Instruments Incorporated Multi-processor reconfigurable in single instruction multiple data (SIMD) and multiple instruction multiple data (MIMD) modes and method of operation
US5522083A (en) 1989-11-17 1996-05-28 Texas Instruments Incorporated Reconfigurable multi-processor operating in SIMD mode with one processor fetching instructions for use by remaining processors
DE58908974D1 (de) * 1989-11-21 1995-03-16 Itt Ind Gmbh Deutsche Datengesteuerter Arrayprozessor.
US5099447A (en) 1990-01-22 1992-03-24 Alliant Computer Systems Corporation Blocked matrix multiplication for computers with hierarchical memory
US5125801A (en) 1990-02-02 1992-06-30 Isco, Inc. Pumping system
US5142469A (en) 1990-03-29 1992-08-25 Ge Fanuc Automation North America, Inc. Method for converting a programmable logic controller hardware configuration and corresponding control program for use on a first programmable logic controller to use on a second programmable logic controller
US5555201A (en) 1990-04-06 1996-09-10 Lsi Logic Corporation Method and system for creating and validating low level description of electronic design from higher level, behavior-oriented description, including interactive system for hierarchical display of control and dataflow information
EP0463721A3 (en) * 1990-04-30 1993-06-16 Gennum Corporation Digital signal processing device
WO1991017507A1 (en) 1990-05-07 1991-11-14 Mitsubishi Denki Kabushiki Kaisha Parallel data processing system
US5198705A (en) 1990-05-11 1993-03-30 Actel Corporation Logic module with configurable combinational and sequential blocks
US5483620A (en) 1990-05-22 1996-01-09 International Business Machines Corp. Learning machine synapse processor system apparatus
US5193202A (en) * 1990-05-29 1993-03-09 Wavetracer, Inc. Processor array with relocated operand physical address generator capable of data transfer to distant physical processor for each virtual processor while simulating dimensionally larger array processor
US5111079A (en) 1990-06-29 1992-05-05 Sgs-Thomson Microelectronics, Inc. Power reduction circuit for programmable logic device
SE9002558D0 (sv) 1990-08-02 1990-08-02 Carlstedt Elektronik Ab Processor
US5274593A (en) 1990-09-28 1993-12-28 Intergraph Corporation High speed redundant rows and columns for semiconductor memories
US5144166A (en) 1990-11-02 1992-09-01 Concurrent Logic, Inc. Programmable logic cell and array
US5590345A (en) 1990-11-13 1996-12-31 International Business Machines Corporation Advanced parallel array processor(APAP)
US5625836A (en) 1990-11-13 1997-04-29 International Business Machines Corporation SIMD/MIMD processing memory element (PME)
US5794059A (en) 1990-11-13 1998-08-11 International Business Machines Corporation N-dimensional modified hypercube
US5765011A (en) * 1990-11-13 1998-06-09 International Business Machines Corporation Parallel processing system having a synchronous SIMD processing with processing elements emulating SIMD operation using individual instruction streams
US5713037A (en) 1990-11-13 1998-01-27 International Business Machines Corporation Slide bus communication functions for SIMD/MIMD array processor
US5617577A (en) 1990-11-13 1997-04-01 International Business Machines Corporation Advanced parallel array processor I/O connection
US5734921A (en) * 1990-11-13 1998-03-31 International Business Machines Corporation Advanced parallel array processor computer package
US5588152A (en) 1990-11-13 1996-12-24 International Business Machines Corporation Advanced parallel processor including advanced support hardware
EP0485690B1 (de) * 1990-11-13 1999-05-26 International Business Machines Corporation Paralleles Assoziativprozessor-System
CA2051222C (en) * 1990-11-30 1998-05-05 Pradeep S. Sindhu Consistent packet switched memory bus for shared memory multiprocessors
US5613128A (en) 1990-12-21 1997-03-18 Intel Corporation Programmable multi-processor interrupt controller system with a processor integrated local interrupt controller
US5276836A (en) 1991-01-10 1994-01-04 Hitachi, Ltd. Data processing device with common memory connecting mechanism
US5301284A (en) * 1991-01-16 1994-04-05 Walker-Estes Corporation Mixed-resolution, N-dimensional object space method and apparatus
US5301344A (en) 1991-01-29 1994-04-05 Analogic Corporation Multibus sequential processor to perform in parallel a plurality of reconfigurable logic operations on a plurality of data sets
JP2867717B2 (ja) 1991-02-01 1999-03-10 日本電気株式会社 マイクロコンピュータ
US5212716A (en) 1991-02-05 1993-05-18 International Business Machines Corporation Data edge phase sorting circuits
US5218302A (en) 1991-02-06 1993-06-08 Sun Electric Corporation Interface for coupling an analyzer to a distributorless ignition system
EP0499695B1 (de) 1991-02-22 1996-05-01 Siemens Aktiengesellschaft Speicherprogrammierbare Steuerung
JPH04290155A (ja) 1991-03-19 1992-10-14 Fujitsu Ltd 並列データ処理方式
JPH04293151A (ja) 1991-03-20 1992-10-16 Fujitsu Ltd 並列データ処理方式
US5617547A (en) 1991-03-29 1997-04-01 International Business Machines Corporation Switch network extension of bus architecture
WO1992018935A1 (en) 1991-04-09 1992-10-29 Fujitsu Limited Data processor and data processing method
JPH04328657A (ja) * 1991-04-30 1992-11-17 Toshiba Corp キャッシュメモリ
WO1992020850A1 (en) 1991-05-14 1992-11-26 Idemitsu Kosan Co., Ltd. Nonwoven fabric and method of manufacturing said fabric
US5551033A (en) * 1991-05-17 1996-08-27 Zenith Data Systems Corporation Apparatus for maintaining one interrupt mask register in conformity with another in a manner invisible to an executing program
JPH06507990A (ja) 1991-05-24 1994-09-08 ブリティッシュ・テクノロジー・グループ・ユーエスエイ・インコーポレーテッド コンピュータのための最適化コンパイラ
US5659797A (en) 1991-06-24 1997-08-19 U.S. Philips Corporation Sparc RISC based computer system including a single chip processor with memory management and DMA units coupled to a DRAM interface
JP3259969B2 (ja) 1991-07-09 2002-02-25 株式会社東芝 キャッシュメモリ制御装置
US5347639A (en) 1991-07-15 1994-09-13 International Business Machines Corporation Self-parallelizing computer system and method
US5317209A (en) 1991-08-29 1994-05-31 National Semiconductor Corporation Dynamic three-state bussing capability in a configurable logic array
US5581731A (en) 1991-08-30 1996-12-03 King; Edward C. Method and apparatus for managing video data for faster access by selectively caching video data
US5260610A (en) 1991-09-03 1993-11-09 Altera Corporation Programmable logic element interconnections for programmable logic array integrated circuits
US5550782A (en) 1991-09-03 1996-08-27 Altera Corporation Programmable logic array integrated circuits
US5633830A (en) 1995-11-08 1997-05-27 Altera Corporation Random access memory block circuitry for programmable logic array integrated circuit devices
FR2681791B1 (fr) * 1991-09-27 1994-05-06 Salomon Sa Dispositif d'amortissement des vibrations pour club de golf.
US5329178A (en) * 1991-11-27 1994-07-12 North American Philips Corporation Integrated circuit device with user-programmable conditional power-down means
CA2073516A1 (en) 1991-11-27 1993-05-28 Peter Michael Kogge Dynamic multi-mode parallel processor array architecture computer system
WO1993011503A1 (en) 1991-12-06 1993-06-10 Norman Richard S Massively-parallel direct output processor array
US5208491A (en) 1992-01-07 1993-05-04 Washington Research Foundation Field programmable gate array
FR2686175B1 (fr) 1992-01-14 1996-12-20 Andre Thepaut Systeme de traitement de donnees multiprocesseur.
US5412795A (en) 1992-02-25 1995-05-02 Micral, Inc. State machine having a variable timing mechanism for varying the duration of logical output states of the state machine based on variation in the clock frequency
JP2791243B2 (ja) 1992-03-13 1998-08-27 株式会社東芝 階層間同期化システムおよびこれを用いた大規模集積回路
US5452401A (en) * 1992-03-31 1995-09-19 Seiko Epson Corporation Selective power-down for high performance CPU/system
JP2647327B2 (ja) 1992-04-06 1997-08-27 インターナショナル・ビジネス・マシーンズ・コーポレイション 大規模並列コンピューティング・システム装置
US5493663A (en) * 1992-04-22 1996-02-20 International Business Machines Corporation Method and apparatus for predetermining pages for swapping from physical memory in accordance with the number of accesses
US5258668A (en) * 1992-05-08 1993-11-02 Altera Corporation Programmable logic array integrated circuits with cascade connections between logic modules
US5611049A (en) * 1992-06-03 1997-03-11 Pitts; William M. System for accessing distributed data cache channel at each network node to pass requests and data
WO1993024895A2 (en) 1992-06-04 1993-12-09 Xilinx, Inc. Timing driven method for laying out a user's circuit onto a programmable integrated circuit device
DE4221278C2 (de) 1992-06-29 1996-02-29 Martin Vorbach Busgekoppeltes Mehrrechnersystem
US5475803A (en) 1992-07-10 1995-12-12 Lsi Logic Corporation Method for 2-D affine transformation of images
JP3032382B2 (ja) 1992-07-13 2000-04-17 シャープ株式会社 デジタル信号のサンプリング周波数変換装置
US5386154A (en) 1992-07-23 1995-01-31 Xilinx, Inc. Compact logic cell for field programmable gate array chip
US5365125A (en) 1992-07-23 1994-11-15 Xilinx, Inc. Logic cell for field programmable gate array having optional internal feedback and optional cascade
US5590348A (en) 1992-07-28 1996-12-31 International Business Machines Corporation Status predictor for combined shifter-rotate/merge unit
US5802290A (en) * 1992-07-29 1998-09-01 Virtual Computer Corporation Computer network of distributed virtual computers which are EAC reconfigurable in response to instruction to be executed
US5581778A (en) * 1992-08-05 1996-12-03 David Sarnoff Researach Center Advanced massively parallel computer using a field of the instruction to selectively enable the profiling counter to increase its value in response to the system clock
ATE194247T1 (de) 1992-09-03 2000-07-15 Sony Corp Datenaufzeichnungsgerät und -verfahren
US5572710A (en) 1992-09-11 1996-11-05 Kabushiki Kaisha Toshiba High speed logic simulation system using time division emulation suitable for large scale logic circuits
US5425036A (en) * 1992-09-18 1995-06-13 Quickturn Design Systems, Inc. Method and apparatus for debugging reconfigurable emulation systems
JPH06180653A (ja) * 1992-10-02 1994-06-28 Hudson Soft Co Ltd 割り込み処理方法および装置
GB9223226D0 (en) 1992-11-05 1992-12-16 Algotronix Ltd Improved configurable cellular array (cal ii)
US5497498A (en) 1992-11-05 1996-03-05 Giga Operations Corporation Video processing module using a second programmable logic device which reconfigures a first programmable logic device for data transformation
US5857109A (en) 1992-11-05 1999-01-05 Giga Operations Corporation Programmable logic device for real time video processing
US5392437A (en) * 1992-11-06 1995-02-21 Intel Corporation Method and apparatus for independently stopping and restarting functional units
US5361373A (en) 1992-12-11 1994-11-01 Gilson Kent L Integrated circuit computing device comprising a dynamically configurable gate array having a microprocessor and reconfigurable instruction execution means and method therefor
US5311079A (en) * 1992-12-17 1994-05-10 Ditlow Gary S Low power, high performance PLA
US5428526A (en) * 1993-02-03 1995-06-27 Flood; Mark A. Programmable controller with time periodic communication
US5386518A (en) 1993-02-12 1995-01-31 Hughes Aircraft Company Reconfigurable computer interface and method
GB9303084D0 (en) 1993-02-16 1993-03-31 Inmos Ltd Programmable logic circuit
JPH06276086A (ja) 1993-03-18 1994-09-30 Fuji Xerox Co Ltd フィールドプログラマブルゲートアレイ
US5548773A (en) 1993-03-30 1996-08-20 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Digital parallel processor array for optimum path planning
US5596742A (en) 1993-04-02 1997-01-21 Massachusetts Institute Of Technology Virtual interconnections for reconfigurable logic systems
US5418953A (en) 1993-04-12 1995-05-23 Loral/Rohm Mil-Spec Corp. Method for automated deployment of a software program onto a multi-processor architecture
US5473266A (en) 1993-04-19 1995-12-05 Altera Corporation Programmable logic device having fast programmable logic array blocks and a central global interconnect array
AU6774894A (en) 1993-04-26 1994-11-21 Comdisco Systems, Inc. Method for scheduling synchronous data flow graphs
US5497107A (en) * 1993-05-13 1996-03-05 Texas Instruments Incorporated Multiple, selectable PLAS having shared inputs and outputs
US5349193A (en) 1993-05-20 1994-09-20 Princeton Gamma Tech, Inc. Highly sensitive nuclear spectrometer apparatus and method
IT1260848B (it) 1993-06-11 1996-04-23 Finmeccanica Spa Sistema a multiprocessore
US5444394A (en) 1993-07-08 1995-08-22 Altera Corporation PLD with selective inputs from local and global conductors
JPH0736858A (ja) 1993-07-21 1995-02-07 Hitachi Ltd 信号処理プロセッサ
US5457644A (en) 1993-08-20 1995-10-10 Actel Corporation Field programmable digital signal processing array integrated circuit
GB2282244B (en) 1993-09-23 1998-01-14 Advanced Risc Mach Ltd Integrated circuit
US5440538A (en) 1993-09-23 1995-08-08 Massachusetts Institute Of Technology Communication system with redundant links and data bit time multiplexing
US6219688B1 (en) 1993-11-30 2001-04-17 Texas Instruments Incorporated Method, apparatus and system for sum of plural absolute differences
US5455525A (en) 1993-12-06 1995-10-03 Intelligent Logic Systems, Inc. Hierarchically-structured programmable logic array and system for interconnecting logic elements in the logic array
US5535406A (en) 1993-12-29 1996-07-09 Kolchinsky; Alexander Virtual processor module including a reconfigurable programmable matrix
US5680583A (en) 1994-02-16 1997-10-21 Arkos Design, Inc. Method and apparatus for a trace buffer in an emulation system
AU700629B2 (en) 1994-03-22 1999-01-07 Hyperchip Inc. Efficient direct cell replacement fault tolerant architecture supporting completely integrated systems with means for direct communication with system operator
US5561738A (en) 1994-03-25 1996-10-01 Motorola, Inc. Data processor for executing a fuzzy logic operation and method therefor
US5761484A (en) 1994-04-01 1998-06-02 Massachusetts Institute Of Technology Virtual interconnections for reconfigurable logic systems
US5430687A (en) 1994-04-01 1995-07-04 Xilinx, Inc. Programmable logic device including a parallel input device for loading memory cells
US5504439A (en) 1994-04-01 1996-04-02 Xilinx, Inc. I/O interface cell for use with optional pad
US5781756A (en) 1994-04-01 1998-07-14 Xilinx, Inc. Programmable logic device with partially configurable memory cells and a method for configuration
US5896551A (en) * 1994-04-15 1999-04-20 Micron Technology, Inc. Initializing and reprogramming circuitry for state independent memory array burst operations control
US5426378A (en) 1994-04-20 1995-06-20 Xilinx, Inc. Programmable logic device which stores more than one configuration and means for switching configurations
US5677909A (en) * 1994-05-11 1997-10-14 Spectrix Corporation Apparatus for exchanging data between a central station and a plurality of wireless remote stations on a time divided commnication channel
JP2671804B2 (ja) 1994-05-27 1997-11-05 日本電気株式会社 階層型資源管理方法
US5532693A (en) 1994-06-13 1996-07-02 Advanced Hardware Architectures Adaptive data compression system with systolic string matching logic
EP0690378A1 (de) 1994-06-30 1996-01-03 Tandem Computers Incorporated Werkzeug und Verfahren zur Fehlerdiagnose und -korrektur in einem Rechnerprogramm
JP3308770B2 (ja) 1994-07-22 2002-07-29 三菱電機株式会社 情報処理装置および情報処理装置における計算方法
US5600845A (en) * 1994-07-27 1997-02-04 Metalithic Systems Incorporated Integrated circuit computing device comprising a dynamically configurable gate array having a microprocessor and reconfigurable instruction execution means and method therefor
JP3365581B2 (ja) * 1994-07-29 2003-01-14 富士通株式会社 自己修復機能付き情報処理装置
US5574930A (en) 1994-08-12 1996-11-12 University Of Hawaii Computer system and method using functional memory
US5513366A (en) 1994-09-28 1996-04-30 International Business Machines Corporation Method and system for dynamically reconfiguring a register file in a vector processor
US5619720A (en) 1994-10-04 1997-04-08 Analog Devices, Inc. Digital signal processor having link ports for point-to-point communication
US5450022A (en) 1994-10-07 1995-09-12 Xilinx Inc. Structure and method for configuration of a field programmable gate array
EP0707269A1 (de) 1994-10-11 1996-04-17 International Business Machines Corporation Cachespeicherkohärenznetzwerk für Multiprozessor-Datenverarbeitungssystem
US5530946A (en) * 1994-10-28 1996-06-25 Dell Usa, L.P. Processor failure detection and recovery circuit in a dual processor computer system and method of operation thereof
US5815726A (en) 1994-11-04 1998-09-29 Altera Corporation Coarse-grained look-up table architecture
JPH08137824A (ja) 1994-11-15 1996-05-31 Mitsubishi Semiconductor Software Kk セルフテスト機能内蔵シングルチップマイコン
EP0721157A1 (de) * 1994-12-12 1996-07-10 Advanced Micro Devices, Inc. Mikroprozessor mit auswählbarer Taktfrequenz
US5537580A (en) 1994-12-21 1996-07-16 Vlsi Technology, Inc. Integrated circuit fabrication using state machine extraction from behavioral hardware description language
US5682491A (en) 1994-12-29 1997-10-28 International Business Machines Corporation Selective processing and routing of results among processors controlled by decoding instructions using mask value derived from instruction tag and processor identifier
US6128720A (en) 1994-12-29 2000-10-03 International Business Machines Corporation Distributed processing array with component processors performing customized interpretation of instructions
US5581199A (en) * 1995-01-04 1996-12-03 Xilinx, Inc. Interconnect architecture for field programmable gate array using variable length conductors
US5778237A (en) * 1995-01-10 1998-07-07 Hitachi, Ltd. Data processor and single-chip microcomputer with changing clock frequency and operating voltage
US5696791A (en) 1995-01-17 1997-12-09 Vtech Industries, Inc. Apparatus and method for decoding a sequence of digitally encoded data
US5532957A (en) 1995-01-31 1996-07-02 Texas Instruments Incorporated Field reconfigurable logic/memory array
US5493239A (en) 1995-01-31 1996-02-20 Motorola, Inc. Circuit and method of configuring a field programmable gate array
US6052773A (en) 1995-02-10 2000-04-18 Massachusetts Institute Of Technology DPGA-coupled microprocessors
US5742180A (en) 1995-02-10 1998-04-21 Massachusetts Institute Of Technology Dynamically programmable gate array with multiple contexts
US5659785A (en) 1995-02-10 1997-08-19 International Business Machines Corporation Array processor communication architecture with broadcast processor instructions
US5537057A (en) 1995-02-14 1996-07-16 Altera Corporation Programmable logic array device with grouped logic regions and three types of conductors
EP0809825A1 (de) * 1995-02-14 1997-12-03 Vlsi Technology, Inc. Verfahren und vorrichtung zur reduzierung des leistungsverbrauches in digitalen elektronischen schaltungen
US5892961A (en) 1995-02-17 1999-04-06 Xilinx, Inc. Field programmable gate array having programming instructions in the configuration bitstream
DE69632424T2 (de) * 1995-02-17 2005-05-12 Kabushiki Kaisha Toshiba, Kawasaki Server für kontinuierliche Daten und Datentransferschema für mehrfache gleichzeitige Datenzugriffe
US5675743A (en) 1995-02-22 1997-10-07 Callisto Media Systems Inc. Multi-media server
JP3351452B2 (ja) * 1995-03-08 2002-11-25 日本電信電話株式会社 プログラマブルゲートアレイ
US5570040A (en) 1995-03-22 1996-10-29 Altera Corporation Programmable logic array integrated circuit incorporating a first-in first-out memory
US5757207A (en) 1995-03-22 1998-05-26 Altera Corporation Programmable logic array integrated circuit incorporating a first-in first-out memory
US5752035A (en) 1995-04-05 1998-05-12 Xilinx, Inc. Method for compiling and executing programs for reprogrammable instruction set accelerator
US5748979A (en) 1995-04-05 1998-05-05 Xilinx Inc Reprogrammable instruction set accelerator using a plurality of programmable execution units and an instruction page table
JP3313007B2 (ja) * 1995-04-14 2002-08-12 三菱電機株式会社 マイクロコンピュータ
US6077315A (en) 1995-04-17 2000-06-20 Ricoh Company Ltd. Compiling system and method for partially reconfigurable computing
US5933642A (en) 1995-04-17 1999-08-03 Ricoh Corporation Compiling system and method for reconfigurable computing
US5794062A (en) * 1995-04-17 1998-08-11 Ricoh Company Ltd. System and method for dynamically reconfigurable computing using a processing unit having changeable internal hardware organization
WO1996034346A1 (en) * 1995-04-28 1996-10-31 Xilinx, Inc. Microprocessor with distributed registers accessible by programmable logic device
US5701091A (en) 1995-05-02 1997-12-23 Xilinx, Inc. Routing resources for hierarchical FPGA
US5600597A (en) 1995-05-02 1997-02-04 Xilinx, Inc. Register protection structure for FPGA
GB9508931D0 (en) 1995-05-02 1995-06-21 Xilinx Inc Programmable switch for FPGA input/output signals
US5541530A (en) 1995-05-17 1996-07-30 Altera Corporation Programmable logic array integrated circuits with blocks of logic regions grouped into super-blocks
US5649179A (en) * 1995-05-19 1997-07-15 Motorola, Inc. Dynamic instruction allocation for a SIMD processor
US5821774A (en) 1995-05-26 1998-10-13 Xilinx, Inc. Structure and method for arithmetic function implementation in an EPLD having high speed product term allocation structure
JPH08328941A (ja) 1995-05-31 1996-12-13 Nec Corp メモリアクセス制御回路
JP3677315B2 (ja) 1995-06-01 2005-07-27 シャープ株式会社 データ駆動型情報処理装置
US5671432A (en) 1995-06-02 1997-09-23 International Business Machines Corporation Programmable array I/O-routing resource
US5652529A (en) 1995-06-02 1997-07-29 International Business Machines Corporation Programmable array clock/reset resource
US5815715A (en) 1995-06-05 1998-09-29 Motorola, Inc. Method for designing a product having hardware and software components and product therefor
US5646544A (en) 1995-06-05 1997-07-08 International Business Machines Corporation System and method for dynamically reconfiguring a programmable gate array
US5889982A (en) * 1995-07-01 1999-03-30 Intel Corporation Method and apparatus for generating event handler vectors based on both operating mode and event type
US5559450A (en) 1995-07-27 1996-09-24 Lucent Technologies Inc. Field programmable gate array with multi-port RAM
US5978583A (en) 1995-08-07 1999-11-02 International Business Machines Corp. Method for resource control in parallel environments using program organization and run-time support
US5649176A (en) * 1995-08-10 1997-07-15 Virtual Machine Works, Inc. Transition analysis and circuit resynthesis method and device for digital circuit modeling
US5996083A (en) * 1995-08-11 1999-11-30 Hewlett-Packard Company Microprocessor having software controllable power consumption
GB2304438A (en) 1995-08-17 1997-03-19 Kenneth Austin Re-configurable application specific device
US5778439A (en) 1995-08-18 1998-07-07 Xilinx, Inc. Programmable logic device with hierarchical confiquration and state storage
US5583450A (en) 1995-08-18 1996-12-10 Xilinx, Inc. Sequencer for a time multiplexed programmable logic device
US5646545A (en) 1995-08-18 1997-07-08 Xilinx, Inc. Time multiplexed programmable logic device
US5784313A (en) 1995-08-18 1998-07-21 Xilinx, Inc. Programmable logic device including configuration data or user data memory slices
US5737565A (en) 1995-08-24 1998-04-07 International Business Machines Corporation System and method for diallocating stream from a stream buffer
US5737516A (en) * 1995-08-30 1998-04-07 Motorola, Inc. Data processing system for performing a debug function and method therefor
US5734869A (en) 1995-09-06 1998-03-31 Chen; Duan-Ping High speed logic circuit simulator
US6430309B1 (en) 1995-09-15 2002-08-06 Monogen, Inc. Specimen preview and inspection system
US5652894A (en) * 1995-09-29 1997-07-29 Intel Corporation Method and apparatus for providing power saving modes to a pipelined processor
US5745734A (en) 1995-09-29 1998-04-28 International Business Machines Corporation Method and system for programming a gate array using a compressed configuration bit stream
US5754827A (en) * 1995-10-13 1998-05-19 Mentor Graphics Corporation Method and apparatus for performing fully visible tracing of an emulation
US5815004A (en) 1995-10-16 1998-09-29 Xilinx, Inc. Multi-buffered configurable logic block output lines in a field programmable gate array
US5642058A (en) 1995-10-16 1997-06-24 Xilinx , Inc. Periphery input/output interconnect structure
US5608342A (en) 1995-10-23 1997-03-04 Xilinx, Inc. Hierarchical programming of electrically configurable integrated circuits
US5675262A (en) 1995-10-26 1997-10-07 Xilinx, Inc. Fast carry-out scheme in a field programmable gate array
US5656950A (en) 1995-10-26 1997-08-12 Xilinx, Inc. Interconnect lines including tri-directional buffer circuits
US5943242A (en) 1995-11-17 1999-08-24 Pact Gmbh Dynamically reconfigurable data processing system
US5732209A (en) * 1995-11-29 1998-03-24 Exponential Technology, Inc. Self-testing multi-processor die with internal compare points
US5773994A (en) * 1995-12-15 1998-06-30 Cypress Semiconductor Corp. Method and apparatus for implementing an internal tri-state bus within a programmable logic circuit
JPH09231788A (ja) * 1995-12-19 1997-09-05 Fujitsu Ltd シフトレジスタ及びプログラマブル論理回路並びにプログラマブル論理回路システム
US7266725B2 (en) * 2001-09-03 2007-09-04 Pact Xpp Technologies Ag Method for debugging reconfigurable architectures
US5804986A (en) 1995-12-29 1998-09-08 Cypress Semiconductor Corp. Memory in a programmable logic device
JP3247043B2 (ja) 1996-01-12 2002-01-15 株式会社日立製作所 内部信号で障害検出を行う情報処理システムおよび論理lsi
JP2795244B2 (ja) 1996-01-17 1998-09-10 日本電気株式会社 プログラムデバッグシステム
US5760602A (en) * 1996-01-17 1998-06-02 Hewlett-Packard Company Time multiplexing a plurality of configuration settings of a programmable switch element in a FPGA
US5854918A (en) 1996-01-24 1998-12-29 Ricoh Company Ltd. Apparatus and method for self-timed algorithmic execution
US5936424A (en) 1996-02-02 1999-08-10 Xilinx, Inc. High speed bus with tree structure for selecting bus driver
US5635851A (en) 1996-02-02 1997-06-03 Xilinx, Inc. Read and writable data bus particularly for programmable logic devices
US5754459A (en) 1996-02-08 1998-05-19 Xilinx, Inc. Multiplier circuit design for a programmable logic device
KR0165515B1 (ko) 1996-02-17 1999-01-15 김광호 그래픽 데이터의 선입선출기 및 선입선출 방법
GB9604496D0 (en) 1996-03-01 1996-05-01 Xilinx Inc Embedded memory for field programmable gate array
US6020758A (en) * 1996-03-11 2000-02-01 Altera Corporation Partially reconfigurable programmable logic device
US5841973A (en) 1996-03-13 1998-11-24 Cray Research, Inc. Messaging in distributed memory multiprocessing system having shell circuitry for atomic control of message storage queue's tail pointer structure in local memory
US5698338A (en) 1996-03-15 1997-12-16 Valence Technology, Inc. Solid secondary lithium cell based on Lix Niy Co1-y VO4< or=x< or=1.1 and 0<y<1 cathode material
US6279077B1 (en) 1996-03-22 2001-08-21 Texas Instruments Incorporated Bus interface buffer control in a microprocessor
US6311265B1 (en) 1996-03-25 2001-10-30 Torrent Systems, Inc. Apparatuses and methods for programming parallel computers
US6154049A (en) 1998-03-27 2000-11-28 Xilinx, Inc. Multiplier fabric for use in field programmable gate arrays
US5956518A (en) 1996-04-11 1999-09-21 Massachusetts Institute Of Technology Intermediate-grain reconfigurable processing device
US5687325A (en) 1996-04-19 1997-11-11 Chang; Web Application specific field programmable gate array
US6173434B1 (en) * 1996-04-22 2001-01-09 Brigham Young University Dynamically-configurable digital processor using method for relocating logic array modules
US5960200A (en) 1996-05-03 1999-09-28 I-Cube System to transition an enterprise to a distributed infrastructure
US5894565A (en) 1996-05-20 1999-04-13 Atmel Corporation Field programmable gate array with distributed RAM and increased cell utilization
US5784636A (en) * 1996-05-28 1998-07-21 National Semiconductor Corporation Reconfigurable computer architecture for use in signal processing applications
JP2000513523A (ja) * 1996-06-21 2000-10-10 オーガニック システムズ インコーポレイテッド プロセスの即時制御を行う動的に再構成可能なハードウェアシステム
US5892370A (en) * 1996-06-21 1999-04-06 Quicklogic Corporation Clock network for field programmable gate array
US6785826B1 (en) * 1996-07-17 2004-08-31 International Business Machines Corporation Self power audit and control circuitry for microprocessor functional units
US6023742A (en) * 1996-07-18 2000-02-08 University Of Washington Reconfigurable computing architecture for providing pipelined data paths
US6023564A (en) 1996-07-19 2000-02-08 Xilinx, Inc. Data processing system using a flash reconfigurable logic device as a dynamic execution unit for a sequence of instructions
KR100280285B1 (ko) 1996-08-19 2001-02-01 윤종용 멀티미디어 신호에 적합한 멀티미디어 프로세서
US5838165A (en) 1996-08-21 1998-11-17 Chatter; Mukesh High performance self modifying on-the-fly alterable logic FPGA, architecture and method
US5933023A (en) 1996-09-03 1999-08-03 Xilinx, Inc. FPGA architecture having RAM blocks with programmable word length and width and dedicated address and data lines
US6624658B2 (en) 1999-02-04 2003-09-23 Advantage Logic, Inc. Method and apparatus for universal program controlled bus architecture
US5859544A (en) * 1996-09-05 1999-01-12 Altera Corporation Dynamic configurable elements for programmable logic devices
US6049866A (en) 1996-09-06 2000-04-11 Silicon Graphics, Inc. Method and system for an efficient user mode cache manipulation using a simulated instruction
US5828858A (en) 1996-09-16 1998-10-27 Virginia Tech Intellectual Properties, Inc. Worm-hole run-time reconfigurable processor field programmable gate array (FPGA)
US6178494B1 (en) 1996-09-23 2001-01-23 Virtual Computer Corporation Modular, hybrid processor and method for producing a modular, hybrid processor
US5694602A (en) 1996-10-01 1997-12-02 The United States Of America As Represented By The Secretary Of The Air Force Weighted system and method for spatial allocation of a parallel load
SG125044A1 (en) 1996-10-14 2006-09-29 Mitsubishi Gas Chemical Co Oxygen absorption composition
US5901279A (en) * 1996-10-18 1999-05-04 Hughes Electronics Corporation Connection of spares between multiple programmable devices
US5892962A (en) * 1996-11-12 1999-04-06 Lucent Technologies Inc. FPGA-based processor
US5844422A (en) 1996-11-13 1998-12-01 Xilinx, Inc. State saving and restoration in reprogrammable FPGAs
US5860119A (en) 1996-11-25 1999-01-12 Vlsi Technology, Inc. Data-packet fifo buffer system with end-of-packet flags
US6005410A (en) 1996-12-05 1999-12-21 International Business Machines Corporation Interconnect structure between heterogeneous core regions in a programmable array
DE19651075A1 (de) * 1996-12-09 1998-06-10 Pact Inf Tech Gmbh Einheit zur Verarbeitung von numerischen und logischen Operationen, zum Einsatz in Prozessoren (CPU's), Mehrrechnersystemen, Datenflußprozessoren (DFP's), digitalen Signal Prozessoren (DSP's) oder dergleichen
DE19654593A1 (de) 1996-12-20 1998-07-02 Pact Inf Tech Gmbh Umkonfigurierungs-Verfahren für programmierbare Bausteine zur Laufzeit
DE19654595A1 (de) 1996-12-20 1998-07-02 Pact Inf Tech Gmbh I0- und Speicherbussystem für DFPs sowie Bausteinen mit zwei- oder mehrdimensionaler programmierbaren Zellstrukturen
US6338106B1 (en) * 1996-12-20 2002-01-08 Pact Gmbh I/O and memory bus system for DFPS and units with two or multi-dimensional programmable cell architectures
EP1329816B1 (de) 1996-12-27 2011-06-22 Richter, Thomas Verfahren zum selbständigen dynamischen Umladen von Datenflussprozessoren (DFPs) sowie Bausteinen mit zwei- oder mehrdimensionalen programmierbaren Zellstrukturen (FPGAs, DPGAs, o.dgl.)
DE19654846A1 (de) 1996-12-27 1998-07-09 Pact Inf Tech Gmbh Verfahren zum selbständigen dynamischen Umladen von Datenflußprozessoren (DFPs) sowie Bausteinen mit zwei- oder mehrdimensionalen programmierbaren Zellstrukturen (FPGAs, DPGAs, o. dgl.)
US6427156B1 (en) 1997-01-21 2002-07-30 Xilinx, Inc. Configurable logic block with AND gate for efficient multiplication in FPGAS
EP0858167A1 (de) 1997-01-29 1998-08-12 Hewlett-Packard Company Feldprogrammierbarer Prozessor
EP0858168A1 (de) 1997-01-29 1998-08-12 Hewlett-Packard Company Feldprogrammierbarer Gatterprozessor
DE19704044A1 (de) * 1997-02-04 1998-08-13 Pact Inf Tech Gmbh Verfahren zur automatischen Adressgenerierung von Bausteinen innerhalb Clustern aus einer Vielzahl dieser Bausteine
US5865239A (en) * 1997-02-05 1999-02-02 Micropump, Inc. Method for making herringbone gears
US6055619A (en) 1997-02-07 2000-04-25 Cirrus Logic, Inc. Circuits, system, and methods for processing multiple data streams
DE19704728A1 (de) 1997-02-08 1998-08-13 Pact Inf Tech Gmbh Verfahren zur Selbstsynchronisation von konfigurierbaren Elementen eines programmierbaren Bausteines
US6542998B1 (en) * 1997-02-08 2003-04-01 Pact Gmbh Method of self-synchronization of configurable elements of a programmable module
DE19704742A1 (de) * 1997-02-11 1998-09-24 Pact Inf Tech Gmbh Internes Bussystem für DFPs, sowie Bausteinen mit zwei- oder mehrdimensionalen programmierbaren Zellstrukturen, zur Bewältigung großer Datenmengen mit hohem Vernetzungsaufwand
US6150837A (en) 1997-02-28 2000-11-21 Actel Corporation Enhanced field programmable gate array
WO1998038958A1 (en) 1997-03-05 1998-09-11 Massachusetts Institute Of Technology A reconfigurable footprint mechanism for omnidirectional vehicles
US5884075A (en) * 1997-03-10 1999-03-16 Compaq Computer Corporation Conflict resolution using self-contained virtual devices
US6125408A (en) 1997-03-10 2000-09-26 Compaq Computer Corporation Resource type prioritization in generating a device configuration
US5857097A (en) 1997-03-10 1999-01-05 Digital Equipment Corporation Method for identifying reasons for dynamic stall cycles during the execution of a program
GB2323188B (en) * 1997-03-14 2002-02-06 Nokia Mobile Phones Ltd Enabling and disabling clocking signals to elements
US6085317A (en) 1997-08-15 2000-07-04 Altera Corporation Reconfigurable computer architecture using programmable logic devices
AUPO647997A0 (en) 1997-04-30 1997-05-22 Canon Information Systems Research Australia Pty Ltd Memory controller architecture
US6507898B1 (en) 1997-04-30 2003-01-14 Canon Kabushiki Kaisha Reconfigurable data cache controller
US6389379B1 (en) * 1997-05-02 2002-05-14 Axis Systems, Inc. Converification system and method
US6321366B1 (en) 1997-05-02 2001-11-20 Axis Systems, Inc. Timing-insensitive glitch-free logic system and method
US6035371A (en) * 1997-05-28 2000-03-07 3Com Corporation Method and apparatus for addressing a static random access memory device based on signals for addressing a dynamic memory access device
US6047115A (en) * 1997-05-29 2000-04-04 Xilinx, Inc. Method for configuring FPGA memory planes for virtual hardware computation
US6421817B1 (en) 1997-05-29 2002-07-16 Xilinx, Inc. System and method of computation in a programmable logic device using virtual instructions
US6011407A (en) * 1997-06-13 2000-01-04 Xilinx, Inc. Field programmable gate array with dedicated computer bus interface and method for configuring both
US6240502B1 (en) * 1997-06-25 2001-05-29 Sun Microsystems, Inc. Apparatus for dynamically reconfiguring a processor
US5966534A (en) 1997-06-27 1999-10-12 Cooke; Laurence H. Method for compiling high level programming languages into an integrated processor with reconfigurable logic
US5970254A (en) 1997-06-27 1999-10-19 Cooke; Laurence H. Integrated processor and programmable data path chip for reconfigurable computing
US6072348A (en) * 1997-07-09 2000-06-06 Xilinx, Inc. Programmable power reduction in a clock-distribution circuit
US6437441B1 (en) 1997-07-10 2002-08-20 Kawasaki Microelectronics, Inc. Wiring structure of a semiconductor integrated circuit and a method of forming the wiring structure
US6020760A (en) * 1997-07-16 2000-02-01 Altera Corporation I/O buffer circuit with pin multiplexing
US6282701B1 (en) 1997-07-31 2001-08-28 Mutek Solutions, Ltd. System and method for monitoring and analyzing the execution of computer programs
US6170051B1 (en) * 1997-08-01 2001-01-02 Micron Technology, Inc. Apparatus and method for program level parallelism in a VLIW processor
US6026478A (en) * 1997-08-01 2000-02-15 Micron Technology, Inc. Split embedded DRAM processor
US6038656A (en) * 1997-09-12 2000-03-14 California Institute Of Technology Pipelined completion for asynchronous communication
JP3612186B2 (ja) 1997-09-19 2005-01-19 株式会社ルネサステクノロジ データ処理装置
US6539415B1 (en) 1997-09-24 2003-03-25 Sony Corporation Method and apparatus for the allocation of audio/video tasks in a network system
US5966143A (en) 1997-10-14 1999-10-12 Motorola, Inc. Data allocation into multiple memories for concurrent access
SG82587A1 (en) 1997-10-21 2001-08-21 Sony Corp Recording apparatus, recording method, playback apparatus, playback method, recording/playback apparatus, recording/playback method, presentation medium and recording medium
JP4128251B2 (ja) 1997-10-23 2008-07-30 富士通株式会社 配線密度予測方法およびセル配置装置
US6076157A (en) 1997-10-23 2000-06-13 International Business Machines Corporation Method and apparatus to force a thread switch in a multithreaded processor
US6247147B1 (en) 1997-10-27 2001-06-12 Altera Corporation Enhanced embedded logic analyzer
US6122719A (en) 1997-10-31 2000-09-19 Silicon Spice Method and apparatus for retiming in a network of multiple context processing elements
US6108760A (en) 1997-10-31 2000-08-22 Silicon Spice Method and apparatus for position independent reconfiguration in a network of multiple context processing elements
US5915123A (en) 1997-10-31 1999-06-22 Silicon Spice Method and apparatus for controlling configuration memory contexts of processing elements in a network of multiple context processing elements
US6127908A (en) 1997-11-17 2000-10-03 Massachusetts Institute Of Technology Microelectro-mechanical system actuator device and reconfigurable circuits utilizing same
JPH11147335A (ja) * 1997-11-18 1999-06-02 Fuji Xerox Co Ltd 描画処理装置
JP4197755B2 (ja) * 1997-11-19 2008-12-17 富士通株式会社 信号伝送システム、該信号伝送システムのレシーバ回路、および、該信号伝送システムが適用される半導体記憶装置
US6212650B1 (en) * 1997-11-24 2001-04-03 Xilinx, Inc. Interactive dubug tool for programmable circuits
US6091263A (en) 1997-12-12 2000-07-18 Xilinx, Inc. Rapidly reconfigurable FPGA having a multiple region architecture with reconfiguration caches useable as data RAM
JP2003526129A (ja) 1997-12-17 2003-09-02 エリクセントリミティド プログラマブル・アレイにおける乗算器の実現
EP0926594B1 (de) 1997-12-17 2007-05-23 Hewlett-Packard Company, A Delaware Corporation Erst- und Zweitprozessoren verwendendes Verfahren
DE69827589T2 (de) 1997-12-17 2005-11-03 Elixent Ltd. Konfigurierbare Verarbeitungsanordnung und Verfahren zur Benutzung dieser Anordnung, um eine Zentraleinheit aufzubauen
DE69841256D1 (de) 1997-12-17 2009-12-10 Panasonic Corp Befehlsmaskierung um Befehlsströme einem Prozessor zuzuleiten
DE19861088A1 (de) 1997-12-22 2000-02-10 Pact Inf Tech Gmbh Verfahren zur Reparatur von integrierten Schaltkreisen
US6172520B1 (en) * 1997-12-30 2001-01-09 Xilinx, Inc. FPGA system with user-programmable configuration ports and method for reconfiguring the FPGA
US6049222A (en) * 1997-12-30 2000-04-11 Xilinx, Inc Configuring an FPGA using embedded memory
US6105106A (en) 1997-12-31 2000-08-15 Micron Technology, Inc. Computer system, memory device and shift register including a balanced switching circuit with series connected transfer gates which are selectively clocked for fast switching times
US6301706B1 (en) 1997-12-31 2001-10-09 Elbrus International Limited Compiler method and apparatus for elimination of redundant speculative computations from innermost loops
US6216223B1 (en) 1998-01-12 2001-04-10 Billions Of Operations Per Second, Inc. Methods and apparatus to dynamically reconfigure the instruction pipeline of an indirect very long instruction word scalable processor
US6034538A (en) * 1998-01-21 2000-03-07 Lucent Technologies Inc. Virtual logic system for reconfigurable hardware
US6230307B1 (en) 1998-01-26 2001-05-08 Xilinx, Inc. System and method for programming the hardware of field programmable gate arrays (FPGAs) and related reconfiguration resources as if they were software by creating hardware objects
AU2562899A (en) * 1998-01-26 1999-08-09 Chameleon Systems, Inc. Reconfigurable logic for table lookup
DE19803593A1 (de) 1998-01-30 1999-08-12 Daimler Chrysler Ag Schaltvorrichtung für ein Zahnräderwechselgetriebe
EP0945788B1 (de) 1998-02-04 2004-08-04 Texas Instruments Inc. Datenverarbeitungssytem mit einem digitalen Signalprozessor und einem Koprozessor und Datenverarbeitungsverfahren
US6086628A (en) 1998-02-17 2000-07-11 Lucent Technologies Inc. Power-related hardware-software co-synthesis of heterogeneous distributed embedded systems
US7152027B2 (en) 1998-02-17 2006-12-19 National Instruments Corporation Reconfigurable test system
US6198304B1 (en) * 1998-02-23 2001-03-06 Xilinx, Inc. Programmable logic device
DE19807872A1 (de) * 1998-02-25 1999-08-26 Pact Inf Tech Gmbh Verfahren zur Verwaltung von Konfigurationsdaten in Datenflußprozessoren sowie Bausteinen mit zwei- oder mehrdimensionalen programmierbaren Zellstruktur (FPGAs, DPGAs, o. dgl.
US6088800A (en) 1998-02-27 2000-07-11 Mosaid Technologies, Incorporated Encryption processor with shared memory interconnect
US6374286B1 (en) 1998-04-06 2002-04-16 Rockwell Collins, Inc. Real time processor capable of concurrently running multiple independent JAVA machines
US6421808B1 (en) 1998-04-24 2002-07-16 Cadance Design Systems, Inc. Hardware design language for the design of integrated circuits
US6084429A (en) 1998-04-24 2000-07-04 Xilinx, Inc. PLD having a window pane architecture with segmented and staggered interconnect wiring between logic block arrays
US6173419B1 (en) 1998-05-14 2001-01-09 Advanced Technology Materials, Inc. Field programmable gate array (FPGA) emulator for debugging software
US5999990A (en) 1998-05-18 1999-12-07 Motorola, Inc. Communicator having reconfigurable resources
US6298396B1 (en) 1998-06-01 2001-10-02 Advanced Micro Devices, Inc. System for loading a current buffer desciptor register with a value different from current value to cause a previously read buffer descriptor to be read again
US6092174A (en) 1998-06-01 2000-07-18 Context, Inc. Dynamically reconfigurable distributed integrated circuit processor and method
JP3123977B2 (ja) * 1998-06-04 2001-01-15 日本電気株式会社 プログラマブル機能ブロック
US6282627B1 (en) 1998-06-29 2001-08-28 Chameleon Systems, Inc. Integrated processor and programmable data path chip for reconfigurable computing
US6202182B1 (en) * 1998-06-30 2001-03-13 Lucent Technologies Inc. Method and apparatus for testing field programmable gate arrays
EP0978944B1 (de) 1998-07-06 2002-01-02 Hewlett-Packard Company, A Delaware Corporation Verdrahtung von Zellen in logischen Feldern
EP0974898A3 (de) 1998-07-24 2008-12-24 Interuniversitair Microelektronica Centrum Vzw Verfahren zur Bestimmung einer speicherbandbreiteoptimierten Speicherorganisation von einer im wesentlichen digitalen Vorrichtung
US6137307A (en) 1998-08-04 2000-10-24 Xilinx, Inc. Structure and method for loading wide frames of data from a narrow input bus
DE19835189C2 (de) 1998-08-04 2001-02-08 Unicor Rohrsysteme Gmbh Vorrichtung zur kontinuierlichen Herstellung von nahtlosen Kunststoffrohren
US20020152060A1 (en) 1998-08-31 2002-10-17 Tseng Ping-Sheng Inter-chip communication system
JP2000076066A (ja) 1998-09-02 2000-03-14 Fujitsu Ltd 信号処理回路
US6205458B1 (en) 1998-09-21 2001-03-20 Rn2R, L.L.C. Adder and multiplier circuits employing logic gates having discrete, weighted inputs and methods of performing combinatorial operations therewith
JP3551353B2 (ja) * 1998-10-02 2004-08-04 株式会社日立製作所 データ再配置方法
US6215326B1 (en) 1998-11-18 2001-04-10 Altera Corporation Programmable logic device architecture with super-regions having logic regions and a memory region
EP1351154A2 (de) 1998-11-20 2003-10-08 Altera Corporation Rechnersystem mit rekonfigurierbarer programmierbarer Logik-Vorrichtung
US6977649B1 (en) 1998-11-23 2005-12-20 3Dlabs, Inc. Ltd 3D graphics rendering with selective read suspend
JP2000181566A (ja) 1998-12-14 2000-06-30 Mitsubishi Electric Corp マルチクロック並列処理装置
US6044030A (en) 1998-12-21 2000-03-28 Philips Electronics North America Corporation FIFO unit with single pointer
US6434695B1 (en) 1998-12-23 2002-08-13 Apple Computer, Inc. Computer operating system using compressed ROM image in RAM
US6757847B1 (en) * 1998-12-29 2004-06-29 International Business Machines Corporation Synchronization for system analysis
JP3585800B2 (ja) 1999-01-13 2004-11-04 株式会社東芝 情報処理装置
US6539438B1 (en) 1999-01-15 2003-03-25 Quickflex Inc. Reconfigurable computing system and method and apparatus employing same
US6490695B1 (en) 1999-01-22 2002-12-03 Sun Microsystems, Inc. Platform independent memory image analysis architecture for debugging a computer program
DE10028397A1 (de) 2000-06-13 2001-12-20 Pact Inf Tech Gmbh Registrierverfahren
US6243808B1 (en) * 1999-03-08 2001-06-05 Chameleon Systems, Inc. Digital data bit order conversion using universal switch matrix comprising rows of bit swapping selector groups
US6512804B1 (en) 1999-04-07 2003-01-28 Applied Micro Circuits Corporation Apparatus and method for multiple serial data synchronization using channel-lock FIFO buffers optimized for jitter
US6286134B1 (en) 1999-04-23 2001-09-04 Sun Microsystems, Inc. Instruction selection in a multi-platform environment
JP2000311156A (ja) 1999-04-27 2000-11-07 Mitsubishi Electric Corp 再構成可能並列計算機
US6381624B1 (en) 1999-04-29 2002-04-30 Hewlett-Packard Company Faster multiply/accumulator
US6298472B1 (en) 1999-05-07 2001-10-02 Chameleon Systems, Inc. Behavioral silicon construct architecture and mapping
US7007096B1 (en) 1999-05-12 2006-02-28 Microsoft Corporation Efficient splitting and mixing of streaming-data frames for processing through multiple processing modules
US6748440B1 (en) 1999-05-12 2004-06-08 Microsoft Corporation Flow of streaming data through multiple processing modules
US6211697B1 (en) 1999-05-25 2001-04-03 Actel Integrated circuit that includes a field-programmable gate array and a hard gate array having the same underlying structure
DE19926538A1 (de) 1999-06-10 2000-12-14 Pact Inf Tech Gmbh Hardware und Betriebsverfahren
EP1061439A1 (de) 1999-06-15 2000-12-20 Hewlett-Packard Company Speicher und Befehlen in Rechnerarchitektur mit Prozessor und Coprozessor
US6757892B1 (en) 1999-06-24 2004-06-29 Sarnoff Corporation Method for determining an optimal partitioning of data among several memories
JP3420121B2 (ja) 1999-06-30 2003-06-23 Necエレクトロニクス株式会社 不揮発性半導体記憶装置
US6347346B1 (en) * 1999-06-30 2002-02-12 Chameleon Systems, Inc. Local memory unit system with global access for use on reconfigurable chips
GB2352548B (en) * 1999-07-26 2001-06-06 Sun Microsystems Inc Method and apparatus for executing standard functions in a computer system
US6745317B1 (en) 1999-07-30 2004-06-01 Broadcom Corporation Three level direct communication connections between neighboring multiple context processing elements
US6370596B1 (en) * 1999-08-03 2002-04-09 Chameleon Systems, Inc. Logic flag registers for monitoring processing system events
US6341318B1 (en) * 1999-08-10 2002-01-22 Chameleon Systems, Inc. DMA data streaming
US6204687B1 (en) 1999-08-13 2001-03-20 Xilinx, Inc. Method and structure for configuring FPGAS
US6438747B1 (en) 1999-08-20 2002-08-20 Hewlett-Packard Company Programmatic iteration scheduling for parallel processors
US6507947B1 (en) 1999-08-20 2003-01-14 Hewlett-Packard Company Programmatic synthesis of processor element arrays
US6606704B1 (en) 1999-08-31 2003-08-12 Intel Corporation Parallel multithreaded processor with plural microengines executing multiple threads each microengine having loadable microcode
US6311200B1 (en) 1999-09-23 2001-10-30 Chameleon Systems, Inc. Reconfigurable program sum of products generator
US6349346B1 (en) * 1999-09-23 2002-02-19 Chameleon Systems, Inc. Control fabric unit including associated configuration memory and PSOP state machine adapted to provide configuration address to reconfigurable functional unit
US6288566B1 (en) 1999-09-23 2001-09-11 Chameleon Systems, Inc. Configuration state memory for functional blocks on a reconfigurable chip
US6631487B1 (en) 1999-09-27 2003-10-07 Lattice Semiconductor Corp. On-line testing of field programmable gate array resources
DE19946752A1 (de) * 1999-09-29 2001-04-12 Infineon Technologies Ag Rekonfigurierbares Gate-Array
US6412043B1 (en) 1999-10-01 2002-06-25 Hitachi, Ltd. Microprocessor having improved memory management unit and cache memory
US6598128B1 (en) 1999-10-01 2003-07-22 Hitachi, Ltd. Microprocessor having improved memory management unit and cache memory
US6665758B1 (en) 1999-10-04 2003-12-16 Ncr Corporation Software sanity monitor
US6434642B1 (en) 1999-10-07 2002-08-13 Xilinx, Inc. FIFO memory system and method with improved determination of full and empty conditions and amount of data stored
JP2001167066A (ja) 1999-12-08 2001-06-22 Nec Corp プロセッサ間通信方法及びマルチプロセッサシステム
US6625654B1 (en) * 1999-12-28 2003-09-23 Intel Corporation Thread signaling in multi-threaded network processor
US6633181B1 (en) 1999-12-30 2003-10-14 Stretch, Inc. Multi-scale programmable array
DE60138145D1 (de) 2000-01-07 2009-05-07 Nippon Telegraph & Telephone Funktionsrekonfigurierbare Halbleitervorrichtung und integrierte Schaltung zum Konfigurieren der Halbleitervorrichtung
JP2001202236A (ja) 2000-01-20 2001-07-27 Fuji Xerox Co Ltd プログラマブル論理回路装置によるデータ処理方法、プログラマブル論理回路装置、情報処理システム、プログラマブル論理回路装置への回路再構成方法
US6701431B2 (en) 2000-01-28 2004-03-02 Infineon Technologies Ag Method of generating a configuration for a configurable spread spectrum communication device
US6496971B1 (en) 2000-02-07 2002-12-17 Xilinx, Inc. Supporting multiple FPGA configuration modes using dedicated on-chip processor
US6487709B1 (en) 2000-02-09 2002-11-26 Xilinx, Inc. Run-time routing for programmable logic devices
US6519674B1 (en) * 2000-02-18 2003-02-11 Chameleon Systems, Inc. Configuration bits layout
JP2001236221A (ja) 2000-02-21 2001-08-31 Keisuke Shindo マルチスレッドを利用するパイプライン並列プロセッサ
US6865663B2 (en) 2000-02-24 2005-03-08 Pts Corporation Control processor dynamically loading shadow instruction register associated with memory entry of coprocessor in flexible coupling mode
JP3674515B2 (ja) 2000-02-25 2005-07-20 日本電気株式会社 アレイ型プロセッサ
US6434672B1 (en) 2000-02-29 2002-08-13 Hewlett-Packard Company Methods and apparatus for improving system performance with a shared cache memory
US6539477B1 (en) * 2000-03-03 2003-03-25 Chameleon Systems, Inc. System and method for control synthesis using a reachable states look-up table
KR100841411B1 (ko) * 2000-03-14 2008-06-25 소니 가부시끼 가이샤 전송장치, 수신장치, 전송방법, 수신방법과 기록매체
US6657457B1 (en) 2000-03-15 2003-12-02 Intel Corporation Data transfer on reconfigurable chip
US6871341B1 (en) * 2000-03-24 2005-03-22 Intel Corporation Adaptive scheduling of function cells in dynamic reconfigurable logic
US6362650B1 (en) 2000-05-18 2002-03-26 Xilinx, Inc. Method and apparatus for incorporating a multiplier into an FPGA
US6373779B1 (en) 2000-05-19 2002-04-16 Xilinx, Inc. Block RAM having multiple configurable write modes for use in a field programmable gate array
US7340596B1 (en) 2000-06-12 2008-03-04 Altera Corporation Embedded processor with watchdog timer for programmable logic
DE50115584D1 (de) * 2000-06-13 2010-09-16 Krass Maren Pipeline ct-protokolle und -kommunikation
US6285624B1 (en) 2000-07-08 2001-09-04 Han-Ping Chen Multilevel memory access method
DE10129237A1 (de) 2000-10-09 2002-04-18 Pact Inf Tech Gmbh Verfahren zur Bearbeitung von Daten
DE10036627A1 (de) 2000-07-24 2002-02-14 Pact Inf Tech Gmbh Integrierter Schaltkreis
JP2002041489A (ja) 2000-07-25 2002-02-08 Mitsubishi Electric Corp 同期信号生成回路、それを用いたプロセッサシステムおよび同期信号生成方法
US7164422B1 (en) * 2000-07-28 2007-01-16 Ab Initio Software Corporation Parameterized graphs with conditional components
US6538468B1 (en) * 2000-07-31 2003-03-25 Cypress Semiconductor Corporation Method and apparatus for multiple boot-up functionalities for a programmable logic device (PLD)
US6542844B1 (en) * 2000-08-02 2003-04-01 International Business Machines Corporation Method and apparatus for tracing hardware states using dynamically reconfigurable test circuits
EP1356400A2 (de) 2000-08-07 2003-10-29 Altera Corporation Kommunikationsschnittstelle zwischen geräten
US6754805B1 (en) 2000-08-07 2004-06-22 Transwitch Corporation Method and apparatus for configurable multi-cell digital signal processing employing global parallel configuration
US7249351B1 (en) 2000-08-30 2007-07-24 Broadcom Corporation System and method for preparing software for execution in a dynamically configurable hardware environment
US6829697B1 (en) 2000-09-06 2004-12-07 International Business Machines Corporation Multiple logical interfaces to a shared coprocessor resource
US6538470B1 (en) 2000-09-18 2003-03-25 Altera Corporation Devices and methods with programmable logic and digital signal processing regions
US7346644B1 (en) 2000-09-18 2008-03-18 Altera Corporation Devices and methods with programmable logic and digital signal processing regions
US6518787B1 (en) * 2000-09-21 2003-02-11 Triscend Corporation Input/output architecture for efficient configuration of programmable input/output cells
US20040015899A1 (en) * 2000-10-06 2004-01-22 Frank May Method for processing data
ATE437476T1 (de) 2000-10-06 2009-08-15 Pact Xpp Technologies Ag Zellenanordnung mit segmentierter zwischenzellstruktur
US6525678B1 (en) * 2000-10-06 2003-02-25 Altera Corporation Configuring a programmable logic device
US20020045952A1 (en) 2000-10-12 2002-04-18 Blemel Kenneth G. High performance hybrid micro-computer
US6398383B1 (en) * 2000-10-30 2002-06-04 Yu-Hwei Huang Flashlight carriable on one's person
JP3636986B2 (ja) 2000-12-06 2005-04-06 松下電器産業株式会社 半導体集積回路
GB2370380B (en) 2000-12-19 2003-12-31 Picochip Designs Ltd Processor architecture
KR20030007434A (ko) 2000-12-20 2003-01-23 코닌클리즈케 필립스 일렉트로닉스 엔.브이. 구성가능한 기능 유닛을 구비한 데이터 프로세싱 디바이스
US6426649B1 (en) 2000-12-29 2002-07-30 Quicklogic Corporation Architecture for field programmable gate array
US6483343B1 (en) 2000-12-29 2002-11-19 Quicklogic Corporation Configurable computational unit embedded in a programmable device
US6392912B1 (en) * 2001-01-10 2002-05-21 Chameleon Systems, Inc. Loading data plane on reconfigurable chip
US7020673B2 (en) 2001-01-19 2006-03-28 Sony Corporation Reconfigurable arithmetic device and arithmetic system including that arithmetic device and address generation device and interleave device applicable to arithmetic system
US6847370B2 (en) 2001-02-20 2005-01-25 3D Labs, Inc., Ltd. Planar byte memory organization with linear access
US7444531B2 (en) 2001-03-05 2008-10-28 Pact Xpp Technologies Ag Methods and devices for treating and processing data
US7210129B2 (en) * 2001-08-16 2007-04-24 Pact Xpp Technologies Ag Method for translating programs for reconfigurable architectures
US6836839B2 (en) 2001-03-22 2004-12-28 Quicksilver Technology, Inc. Adaptive integrated circuitry with heterogeneous and reconfigurable matrices of diverse and adaptive computational units having fixed, application specific computational elements
US6792588B2 (en) 2001-04-02 2004-09-14 Intel Corporation Faster scalable floorplan which enables easier data control flow
US20020143505A1 (en) 2001-04-02 2002-10-03 Doron Drusinsky Implementing a finite state machine using concurrent finite state machines with delayed communications and no shared control signals
WO2002082267A1 (en) 2001-04-06 2002-10-17 Wind River Systems, Inc. Fpga coprocessing system
US6836842B1 (en) 2001-04-24 2004-12-28 Xilinx, Inc. Method of partial reconfiguration of a PLD in which only updated portions of configuration data are selected for reconfiguring the PLD
US6999984B2 (en) 2001-05-02 2006-02-14 Intel Corporation Modification to reconfigurable functional unit in a reconfigurable chip to perform linear feedback shift register function
US6976239B1 (en) * 2001-06-12 2005-12-13 Altera Corporation Methods and apparatus for implementing parameterizable processors and peripherals
JP3580785B2 (ja) * 2001-06-29 2004-10-27 株式会社半導体理工学研究センター ルックアップテーブル、ルックアップテーブルを備えるプログラマブル論理回路装置、および、ルックアップテーブルの構成方法
US7043416B1 (en) 2001-07-27 2006-05-09 Lsi Logic Corporation System and method for state restoration in a diagnostic module for a high-speed microprocessor
US7383421B2 (en) 2002-12-05 2008-06-03 Brightscale, Inc. Cellular engine for a data processing system
US6868476B2 (en) * 2001-08-27 2005-03-15 Intel Corporation Software controlled content addressable memory in a general purpose execution datapath
US7216204B2 (en) 2001-08-27 2007-05-08 Intel Corporation Mechanism for providing early coherency detection to enable high performance memory updates in a latency sensitive multithreaded environment
US6874108B1 (en) * 2001-08-27 2005-03-29 Agere Systems Inc. Fault tolerant operation of reconfigurable devices utilizing an adjustable system clock
US20030056091A1 (en) * 2001-09-14 2003-03-20 Greenberg Craig B. Method of scheduling in a reconfigurable hardware architecture with multiple hardware configurations
US20030055861A1 (en) * 2001-09-18 2003-03-20 Lai Gary N. Multipler unit in reconfigurable chip
US20030052711A1 (en) * 2001-09-19 2003-03-20 Taylor Bradley L. Despreader/correlator unit for use in reconfigurable chip
US6854073B2 (en) * 2001-09-25 2005-02-08 International Business Machines Corporation Debugger program time monitor
US6798239B2 (en) 2001-09-28 2004-09-28 Xilinx, Inc. Programmable gate array having interconnecting logic to support embedded fixed logic circuitry
US7000161B1 (en) 2001-10-15 2006-02-14 Altera Corporation Reconfigurable programmable logic system with configuration recovery mode
US20060264508A1 (en) 2001-10-16 2006-11-23 Stone Richard A Modulation of ocular growth and myopia by gaba drugs
WO2003044962A2 (en) 2001-11-16 2003-05-30 Morpho Technologies Viterbi convolutional coding method and apparatus
US6886092B1 (en) 2001-11-19 2005-04-26 Xilinx, Inc. Custom code processing in PGA by providing instructions from fixed logic processor portion to programmable dedicated processor portion
US6668237B1 (en) 2002-01-17 2003-12-23 Xilinx, Inc. Run-time reconfigurable testing of programmable logic devices
US6476634B1 (en) 2002-02-01 2002-11-05 Xilinx, Inc. ALU implementation in single PLD logic cell
DE10204044A1 (de) 2002-02-01 2003-08-14 Tridonicatco Gmbh & Co Kg Elektronisches Vorschaltgerät für Gasentladungslampe
US6961924B2 (en) 2002-05-21 2005-11-01 International Business Machines Corporation Displaying variable usage while debugging
US6976131B2 (en) 2002-08-23 2005-12-13 Intel Corporation Method and apparatus for shared cache coherency for a chip multiprocessor or multiprocessor system
US6803787B1 (en) 2002-09-25 2004-10-12 Lattice Semiconductor Corp. State machine in a programmable logic device
US6802206B2 (en) 2002-10-11 2004-10-12 American Axle & Manufacturing, Inc. Torsional actuation NVH test method
US7873811B1 (en) * 2003-03-10 2011-01-18 The United States Of America As Represented By The United States Department Of Energy Polymorphous computing fabric
US7870182B2 (en) 2003-12-29 2011-01-11 Xilinx Inc. Digital signal processing circuit having an adder circuit with carry-outs
US7840627B2 (en) 2003-12-29 2010-11-23 Xilinx, Inc. Digital signal processing circuit having input register blocks
US7472155B2 (en) 2003-12-29 2008-12-30 Xilinx, Inc. Programmable logic device with cascading DSP slices
US8495122B2 (en) 2003-12-29 2013-07-23 Xilinx, Inc. Programmable device with dynamic DSP architecture
US7567997B2 (en) 2003-12-29 2009-07-28 Xilinx, Inc. Applications of cascading DSP slices
US7038952B1 (en) 2004-05-04 2006-05-02 Xilinx, Inc. Block RAM with embedded FIFO buffer
JP4396446B2 (ja) 2004-08-20 2010-01-13 ソニー株式会社 情報処理装置および方法、並びにプログラム
US7971051B2 (en) 2007-09-27 2011-06-28 Fujitsu Limited FPGA configuration protection and control using hardware watchdog timer

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4870302A (en) * 1984-03-12 1989-09-26 Xilinx, Inc. Configurable electrical circuit having configurable logic elements and configurable interconnects
EP0177261A2 (de) * 1984-09-26 1986-04-09 Xilinx, Inc. Konfigurierbare logischer Anordnung
DE4416881A1 (de) * 1993-05-13 1994-11-17 Martin Vorbach Datenverarbeitungseinrichtung
WO1995004402A1 (en) * 1993-08-03 1995-02-09 Xilinx, Inc. Microprocessor-based fpga
EP0638867A2 (de) * 1993-08-12 1995-02-15 Hughes Aircraft Company Dynamisches rekonfigurbares Prozessorkommunikationsnetzwerk für SIMD-Multiprozessoren und Vorrichtung zu seinem Betrieb
EP0724221A2 (de) * 1995-01-26 1996-07-31 International Business Machines Corporation Verfahren und Vorrichtung zur Ausführung unähnlicher Befehlsfolgen in einem Prozessor eines Einzelbefehl- und Mehrfahrdatenrechners (SIMD)

Cited By (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6425068B1 (en) 1996-12-09 2002-07-23 Pact Gmbh Unit for processing numeric and logic operations for use in central processing units (cpus), multiprocessor systems, data-flow processors (dsps), systolic processors and field programmable gate arrays (epgas)
US8156312B2 (en) 1996-12-09 2012-04-10 Martin Vorbach Processor chip for reconfigurable data processing, for processing numeric and logic operations and including function and interconnection control units
US7822968B2 (en) 1996-12-09 2010-10-26 Martin Vorbach Circuit having a multidimensional structure of configurable cells that include multi-bit-wide inputs and outputs
US6728871B1 (en) 1996-12-09 2004-04-27 Pact Xpp Technologies Ag Runtime configurable arithmetic and logic cell
US6721830B2 (en) 1996-12-20 2004-04-13 Pact Xpp Technologies Ag I/O and memory bus system for DFPs and units with two- or multi-dimensional programmable cell architectures
US8195856B2 (en) 1996-12-20 2012-06-05 Martin Vorbach I/O and memory bus system for DFPS and units with two- or multi-dimensional programmable cell architectures
US7899962B2 (en) 1996-12-20 2011-03-01 Martin Vorbach I/O and memory bus system for DFPs and units with two- or multi-dimensional programmable cell architectures
US6513077B2 (en) 1996-12-20 2003-01-28 Pact Gmbh I/O and memory bus system for DFPs and units with two- or multi-dimensional programmable cell architectures
US6338106B1 (en) 1996-12-20 2002-01-08 Pact Gmbh I/O and memory bus system for DFPS and units with two or multi-dimensional programmable cell architectures
US7650448B2 (en) 1996-12-20 2010-01-19 Pact Xpp Technologies Ag I/O and memory bus system for DFPS and units with two- or multi-dimensional programmable cell architectures
US6477643B1 (en) 1996-12-27 2002-11-05 Pact Gmbh Process for automatic dynamic reloading of data flow processors (dfps) and units with two-or-three-dimensional programmable cell architectures (fpgas, dpgas, and the like)
US7822881B2 (en) 1996-12-27 2010-10-26 Martin Vorbach Process for automatic dynamic reloading of data flow processors (DFPs) and units with two- or three-dimensional programmable cell architectures (FPGAs, DPGAs, and the like)
USRE45109E1 (en) 1997-02-08 2014-09-02 Pact Xpp Technologies Ag Method of self-synchronization of configurable elements of a programmable module
USRE44365E1 (en) 1997-02-08 2013-07-09 Martin Vorbach Method of self-synchronization of configurable elements of a programmable module
US6542998B1 (en) 1997-02-08 2003-04-01 Pact Gmbh Method of self-synchronization of configurable elements of a programmable module
US6526520B1 (en) 1997-02-08 2003-02-25 Pact Gmbh Method of self-synchronization of configurable elements of a programmable unit
USRE45223E1 (en) 1997-02-08 2014-10-28 Pact Xpp Technologies Ag Method of self-synchronization of configurable elements of a programmable module
USRE44383E1 (en) 1997-02-08 2013-07-16 Martin Vorbach Method of self-synchronization of configurable elements of a programmable module
US8819505B2 (en) 1997-12-22 2014-08-26 Pact Xpp Technologies Ag Data processor having disabled cores
US6687788B2 (en) 1998-02-25 2004-02-03 Pact Xpp Technologies Ag Method of hierarchical caching of configuration data having dataflow processors and modules having two-or multidimensional programmable cell structure (FPGAs, DPGAs , etc.)
US6480937B1 (en) 1998-02-25 2002-11-12 Pact Informationstechnologie Gmbh Method for hierarchical caching of configuration data having dataflow processors and modules having two-or multidimensional programmable cell structure (FPGAs, DPGAs, etc.)--
US6571381B1 (en) 1998-02-25 2003-05-27 Pact Xpp Technologies Ag Method for deadlock-free configuration of dataflow processors and modules with a two- or multidimensional programmable cell structure (FPGAs, DPGAs, etc.)
US8468329B2 (en) 1999-02-25 2013-06-18 Martin Vorbach Pipeline configuration protocol and configuration unit communication
US8726250B2 (en) 1999-06-10 2014-05-13 Pact Xpp Technologies Ag Configurable logic integrated circuit having a multidimensional structure of configurable elements
US8230411B1 (en) 1999-06-10 2012-07-24 Martin Vorbach Method for interleaving a program over a plurality of cells
US8312200B2 (en) 1999-06-10 2012-11-13 Martin Vorbach Processor chip including a plurality of cache elements connected to a plurality of processor cores
WO2000077652A3 (de) * 1999-06-10 2002-04-25 Pact Inf Tech Gmbh Sequenz-partitionierung auf zellstrukturen
US8301872B2 (en) 2000-06-13 2012-10-30 Martin Vorbach Pipeline configuration protocol and configuration unit communication
US8058899B2 (en) 2000-10-06 2011-11-15 Martin Vorbach Logic cell array and bus system
US8471593B2 (en) 2000-10-06 2013-06-25 Martin Vorbach Logic cell array and bus system
US9047440B2 (en) 2000-10-06 2015-06-02 Pact Xpp Technologies Ag Logical cell array and bus system
US8145881B2 (en) 2001-03-05 2012-03-27 Martin Vorbach Data processing device and method
US7844796B2 (en) 2001-03-05 2010-11-30 Martin Vorbach Data processing device and method
US8099618B2 (en) 2001-03-05 2012-01-17 Martin Vorbach Methods and devices for treating and processing data
US8312301B2 (en) 2001-03-05 2012-11-13 Martin Vorbach Methods and devices for treating and processing data
US9037807B2 (en) 2001-03-05 2015-05-19 Pact Xpp Technologies Ag Processor arrangement on a chip including data processing, memory, and interface elements
US9075605B2 (en) 2001-03-05 2015-07-07 Pact Xpp Technologies Ag Methods and devices for treating and processing data
US7657877B2 (en) 2001-06-20 2010-02-02 Pact Xpp Technologies Ag Method for processing data
US7996827B2 (en) 2001-08-16 2011-08-09 Martin Vorbach Method for the translation of programs for reconfigurable architectures
US8869121B2 (en) 2001-08-16 2014-10-21 Pact Xpp Technologies Ag Method for the translation of programs for reconfigurable architectures
US8407525B2 (en) 2001-09-03 2013-03-26 Pact Xpp Technologies Ag Method for debugging reconfigurable architectures
US8686549B2 (en) 2001-09-03 2014-04-01 Martin Vorbach Reconfigurable elements
US8209653B2 (en) 2001-09-03 2012-06-26 Martin Vorbach Router
US8069373B2 (en) 2001-09-03 2011-11-29 Martin Vorbach Method for debugging reconfigurable architectures
US8429385B2 (en) 2001-09-03 2013-04-23 Martin Vorbach Device including a field having function cells and information providing cells controlled by the function cells
US7840842B2 (en) 2001-09-03 2010-11-23 Martin Vorbach Method for debugging reconfigurable architectures
WO2003036507A2 (de) * 2001-09-19 2003-05-01 Pact Xpp Technologies Ag Rekonfigurierbare elemente
WO2003036507A3 (de) * 2001-09-19 2004-08-12 Pact Xpp Technologies Ag Rekonfigurierbare elemente
US8686475B2 (en) 2001-09-19 2014-04-01 Pact Xpp Technologies Ag Reconfigurable elements
US8281108B2 (en) 2002-01-19 2012-10-02 Martin Vorbach Reconfigurable general purpose processor having time restricted configurations
WO2003060747A2 (de) * 2002-01-19 2003-07-24 Pact Xpp Technologies Ag Reconfigurierbarer prozessor
WO2003060747A3 (de) * 2002-01-19 2004-03-04 Pact Xpp Technologies Ag Reconfigurierbarer prozessor
WO2003071432A3 (de) * 2002-02-18 2004-12-23 Pact Xpp Technologies Ag Bussysteme und rekonfigurationsverfahren
US8127061B2 (en) 2002-02-18 2012-02-28 Martin Vorbach Bus systems and reconfiguration methods
EP2043000A3 (de) * 2002-02-18 2010-10-06 Richter, Thomas Bussysteme und Rekonfigurationsverfahren
WO2003071432A2 (de) * 2002-02-18 2003-08-28 Pact Xpp Technologies Ag Bussysteme und rekonfigurationsverfahren
US8281265B2 (en) 2002-08-07 2012-10-02 Martin Vorbach Method and device for processing data
US7657861B2 (en) 2002-08-07 2010-02-02 Pact Xpp Technologies Ag Method and device for processing data
US8156284B2 (en) 2002-08-07 2012-04-10 Martin Vorbach Data processing method and device
US8914590B2 (en) 2002-08-07 2014-12-16 Pact Xpp Technologies Ag Data processing method and device
US8310274B2 (en) 2002-09-06 2012-11-13 Martin Vorbach Reconfigurable sequencer structure
WO2004038599A1 (de) * 2002-09-06 2004-05-06 Pact Xpp Technologies Ag Rekonfigurierbare sequenzerstruktur
US7782087B2 (en) 2002-09-06 2010-08-24 Martin Vorbach Reconfigurable sequencer structure
US8803552B2 (en) 2002-09-06 2014-08-12 Pact Xpp Technologies Ag Reconfigurable sequencer structure
US7928763B2 (en) 2002-09-06 2011-04-19 Martin Vorbach Multi-core processing system
US8812820B2 (en) 2003-08-28 2014-08-19 Pact Xpp Technologies Ag Data processing device and method
US8250503B2 (en) 2006-01-18 2012-08-21 Martin Vorbach Hardware definition method including determining whether to implement a function as hardware or software

Also Published As

Publication number Publication date
DE19781412D2 (de) 1999-10-28
US7237087B2 (en) 2007-06-26
EP1310881A3 (de) 2005-03-09
EP0943129A1 (de) 1999-09-22
DE59710383D1 (de) 2003-08-07
ATE244421T1 (de) 2003-07-15
US6728871B1 (en) 2004-04-27
US20040168099A1 (en) 2004-08-26
AU5651498A (en) 1998-07-03
EA199900441A1 (ru) 2001-04-23
CN1247613A (zh) 2000-03-15
JP2001505382A (ja) 2001-04-17
US20030056085A1 (en) 2003-03-20
US7565525B2 (en) 2009-07-21
JP3963957B2 (ja) 2007-08-22
US20090146690A1 (en) 2009-06-11
JP2007174701A (ja) 2007-07-05
US8156312B2 (en) 2012-04-10
EP1310881B1 (de) 2010-03-17
US6425068B1 (en) 2002-07-23
US20080010437A1 (en) 2008-01-10
CA2274532A1 (en) 1998-06-18
EP1310881A2 (de) 2003-05-14
US20110010523A1 (en) 2011-01-13
EA004240B1 (ru) 2004-02-26
US7822968B2 (en) 2010-10-26
EP2166459A1 (de) 2010-03-24
EP0943129B1 (de) 2003-07-02
DE59713029D1 (de) 2010-04-29
WO1998026356A1 (de) 1998-06-18

Similar Documents

Publication Publication Date Title
DE19651075A1 (de) Einheit zur Verarbeitung von numerischen und logischen Operationen, zum Einsatz in Prozessoren (CPU&#39;s), Mehrrechnersystemen, Datenflußprozessoren (DFP&#39;s), digitalen Signal Prozessoren (DSP&#39;s) oder dergleichen
EP0961980B1 (de) Verfahren zur selbstsynchronisation von konfigurierbaren elementen eines programmierbaren bausteines
EP0948842B1 (de) VERFAHREN ZUM SELBSTÄNDIGEN DYNAMISCHEN UMLADEN VON DATENFLUSSPROZESSOREN (DFPs) SOWIE BAUSTEINEN MIT ZWEI- ODER MEHRDIMENSIONALEN PROGRAMMIERBAREN ZELLSTRUKTUREN (FPGAs, DPGAs, o.dgl.)
DE69532226T2 (de) Taktssteuerungseinheit
WO1998028697A1 (de) IO- UND SPEICHERBUSSYSTEM FÜR DFPs SOWIE BAUSTEINE MIT ZWEI- ODER MEHRDIMENSIONALEN PROGRAMMIERBAREN ZELLSTRUKTUREN
EP1329816A2 (de) Verfahren zum selbständigen dynamischen Umladen von Datenflussprozessoren (DFPs) sowie Bausteinen mit zwei- oder mehrdimensionalen programmierbaren Zellstrukturen (FPGAs, DPGAs, o.dgl.)
DE102008064866B3 (de) Elektronische Steuerungsvorrichtung und Verfahren zur elektronischen Steuerung und zur Betätigung einer elektronischen Steuerungsvorrichtung
EP1518186A2 (de) Verfahren und vorrichtung zur datenverarbeitung
WO2002071196A2 (de) Verfahren und vorrichtung zu datenbe- und/oder verarbeitung
DE102017200456A1 (de) Recheneinheit und Betriebsverfahren hierfür
DE102012221418A1 (de) Synchronisierte Drosselung von Befehlen zur Stromaufnahmeverwaltung bei Speichern auf der Grundlage von Lastzyklen in Mehrkanalsystemen
DE102006027181B4 (de) Prozessor mit internem Raster von Ausführungseinheiten
DE102015226837A1 (de) Initialisierung von Speicher in einem Computersystem
EP1627470B1 (de) Integrierte schaltung mit stromsparmodus und verfahren zum betreiben der integrierten schaltung
DE10300026B4 (de) Softwaregesteuertes System zur Konfiguration von Zugriffs-Steuermodi eines DRAM-Modul-Sockels
DE3708887C2 (de)
DE102016117588A1 (de) Prozessoranordnung und Verfahren zum Betreiben einer Prozessoranordnung
EP1069513A1 (de) Programmgesteuerte Einheit

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8143 Lapsed due to claiming internal priority