DE19901426B4 - Mehrkammersystem einer Ätzeinrichtung zur Herstellung von Halbleiterbauelementen - Google Patents

Mehrkammersystem einer Ätzeinrichtung zur Herstellung von Halbleiterbauelementen Download PDF

Info

Publication number
DE19901426B4
DE19901426B4 DE19901426A DE19901426A DE19901426B4 DE 19901426 B4 DE19901426 B4 DE 19901426B4 DE 19901426 A DE19901426 A DE 19901426A DE 19901426 A DE19901426 A DE 19901426A DE 19901426 B4 DE19901426 B4 DE 19901426B4
Authority
DE
Germany
Prior art keywords
chamber
transport
wafers
chamber system
process chambers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE19901426A
Other languages
English (en)
Other versions
DE19901426A1 (de
Inventor
Ki-sang Yongin Kim
Gyu-chan Suwon Jeoung
Gyu-hwan Suwon Kwag
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Priority to DE29924811U priority Critical patent/DE29924811U1/de
Priority to DE19964479A priority patent/DE19964479B4/de
Publication of DE19901426A1 publication Critical patent/DE19901426A1/de
Application granted granted Critical
Publication of DE19901426B4 publication Critical patent/DE19901426B4/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/141Associated with semiconductor wafer handling includes means for gripping wafer

Abstract

Mehrkammersystem einer Ätzeinrichtung zur Herstellung von Halbleiterbauelementen mit folgenden Bauteilen:
1.1 einen Kassettentisch (42) zum Auflegen einer Kassette (41) mit Wafern;
1.2 einer horizontalen, nicht unter Vakuum stehenden Transportkammer von rechteckiger Gestalt zum Transportieren von auf dem Kassettentisch (42) gestapelten Wafern, wobei auf dem Transportweg kein Vakuum erzeugt ist;
1.3 mehreren, zumindest auf einer Seite der Transportkammer parallel zu deren längsseite nebeneinander angeordneten Prozesskammern (45);
1.4 wenigstens eine Ladeschleusenkammer (43), die über Schleusen an eine Seite wenigstens einer der Prozesskammern (45) und an einer anderen Seite an die Transportkammer angeschlossen ist, so dass die Wafer in eine bestimmte Prozesskammer (45) der Mehrzahl von Prozesskammern (45) eingeschleust und wieder ausgeschleust werden können
1.5 und eine über ein Antriebsteil horizontal bewegbaren Transporteinrichtung.

Description

  • Hintergrund der Erfindung
  • Gebiet der Erfindung
  • Die vorliegende Erfindung betrifft ein Mehrkammersystem einer Ätzeinrichtung zur Herstellung von Halbleiterbauelementen. Sie betrifft insbesondere ein Mehrkammersystem einer Ätzeinrichtung zur Herstellung von Halbleiterbauelementen mit einem minimalen Platzbedarf für die Einrichtung durch Ausrichtung mehrerer Prozeßkammern zu einem in der Mitte verlaufenden Transfer- oder Transportweg.
  • Beschreibung des Standes der Technik
  • Zur Herstellung von Halbleiterbauelementen bedient man sich vieler Verfahren, wie zum Beispiel ein Fotolithographieverfahren, ein Ätzverfahren oder ein Verfahren zur Bildung dünner Filme, die während des Herstellungsprozesses wiederholt durchgeführt werden. Das Ätzen erfolgt typischerweise in einem Mehrkammersystem des "Fokustyps", in dem mehrere Verarbeitungsschritte für die Wafer gleichzeitig durchgeführt werden können.
  • Ein Mehrkammersystem für ein Trockenätzverfahren unter Verwendung eines Plasmas wird üblicherweise mit mehreren Prozeßkammern betrieben, in denen ein Hochvakuum zur Erzeugung eines Plasmas vorhanden ist. Das Mehrkammersystem umfaßt zudem eine innere Transfer- oder Transporteinrichtung zum Transportieren der Wafer von einer zentralen Kammer mit einem schwachen Vakuumm zu mehreren Prozeßkammern.
  • US 5 376 212 A beschreibt ein Mehrkammersystem, bei dem die Prozesskammern parallel zu einer Schmalseite des Transportweges verlaufen.
  • JP 07-1-22622 A beschreibt ein Mehrkammersystem, bei dem die Wafer auf einer Transporteinrichtung zu einer Warteposition transportiert werden. Die Warteposition lässt sich als Ladeschleussenkammer bezeichnen. Die Ladeschleussenkammer steht nicht unter vakuum.
  • Der Erfindung liegt die Aufgabe zugrunde, ein Mehrkammersystem derart zu gestallten, dass deren Grundfläche gegenüber dem Stand der Technik minimiert wird, und das insbesondere die notwendige Reinraumfläche verringert wird, und das eine möglichst große Zahl von Wafern behandelt werden kann. Ferner soll das System bedienungsfreundlich sein.
  • Diese Aufgabe wird durch die Merkmale der unabhängigen Ansprüche gelöst. 1 veranschaulicht ein herkömmliches Mehrkammersystem des Fokustyps zum Trockenätzen unter Verwendung eines Plasmas. Das Mehrkammersystem ist so gestaltet, daß sich in der Mitte eine hexagonale säulenförmige zentrale Kammer 16 befindet. Vier Prozeßkammern 15 sind mit jeweils einer Seite der zentralen Kammer 16 verbunden. Zwischen der zentralen Kammer 16 und den Prozeßkammern 15 ist jeweils ein (nicht dargestellter) Zugang oder eine Schleuse ausgebildet, die das selektive Durchführen von Wafern ermöglicht. In der zentralen Kammer 16 befindet sich eine innere Transfer- oder Transportvorrichtung 14 zum selektiven Einführen/Entnehmen der Wafer in/aus der Prozeßkammer 15 durch die Schleuse.
  • Die zentrale Kammer 16 kann als Quadrat, Pentagon oder Hexagon usw. ausgebildet sein. 1 zeigt eine hexagonalförmige zentrale Kammer 16, wie sie üblicherweise am meisten verwendet wird.
  • In den Prozeßkammern 15 und in der zentralen Kammer 16 befindet sich jeweils eine (nicht dargestellte) Vakuumpumpe.
  • Die Wafer werden durch die innere Transportvorrichtung 14 unter Vakuumbedingungen zu den Prozeßkammern 15 transportiert. Zusätzlich hierzu ist mit der zentralen Kammer 16 eine Ladeschleusenkammer 13 verbunden, die als Bereitstellungs- oder Stand by-Bereich für die Wafer mit einem schwachen Vakuum dient, bevor die sich unter Atmosphärendruck befindenden Wafer in einer Kassette 11 in die zentrale Kammer 16 gebracht werden.
  • Die Ladeschleusenkammer 13 umfaßt eine Eingangsladeschleusenkammer zum Stapeln oder Lagern der Wafer vor ihrer Verarbeitung und eine Ausgangsladeschleusenkammer zum Stapeln oder Lagern der Wafer nach ihrer Verarbeitung.
  • Mit den zwei Ladeschleusenkammern 13 ist zusätzlich hierzu ein Kasettentisch 12 verbunden, auf dem eine Kassette 11 angebracht ist, die zum einfachen Transport der Wafer durch die Kassette unter Atmosphärendruck dient.
  • Wenn bei dem herkömmlichen Mehrkammersystem einer Ätzeinrichtung zur Herstellung von Halbleiterbauelementen auf dem Kassettentisch 12 eine Kassette 11 angebracht ist, wird die Kassette 11, auf der sich Wafer befinden, durch eine Bedienungsperson oder durch eine automatische Transporteinrichtung usw. in der Ladeschleusenkammer 13 in die Ladeschleusenkammer 13 transportiert, die anschließend abgedichtet wird. Zudem wird dort ein schwaches Vakuum erzeugt. Wenn in der Ladeschleusenkammer 13 ein bestimmtes Vakuum herrscht, wird der Zugang oder die Schleuse zu der Ladeschleusenkammer geöffnet und die Wafer werden einzeln oder in einer bestimmten Anzahl durch die innere Transporteinrichtung 14 in der zentralen Kammer 16 auf einem (nicht dargestellten) Transportarm unter einem hohen Vakuum angebracht und in eine bestimmte Prozeßkammer 15 transportiert, wobei sie horizontal um einen bestimmten Winkel gedreht und in Richtung auf die spezielle Prozeßkammer 15 bewegt werden.
  • Nach dem Transport der Wafer in die Prozeßkammer 15 wird der Zugang oder die Schleuse zu der Prozeßkammer 15 geschlossen und es wird ein spezielles entsprechendes Verfahren durchgeführt. Nach der Durchführung des Verfahrens werden die Wafer durch die innere Transportvorrichtung 14 in der zentralen Kammer 16 in umgekehrter Richtung bewegt und auf der Kassette 11 in der Ladeschleusenkammer 13 gestapelt.
  • Während der Durchführung eines bestimmten Prozesses in einer bestimmten Prozeßkammer 15 können Wafer durch die innere Transporteinrichtung 14 kontinuierlich in eine andere Prozeßkammer 15 eingeführt bzw. aus dieser entnommen werden. In mehreren Prozeßkammern 15 können somit gleichzeitig mehrere Wafer verarbeitet werden.
  • Das auf die oben beschriebene Art und Weise gestaltete herkömmliche Mehrkammersystem, das eine hexagonale säulenförmige zentrale Kammer 16 und vier Verarbeitungskammern 15 sowie zwei Ladeschleusenkammern 13 umfaßt, die die zentrale Kammer 16 umgeben, benötigt bei der Gestaltung der Fertigungslinie oder Fertigungseinrichtung eine so große Einrichtungsbreite "W", daß eine weitere Vergrößerung der Vakuumeinrichtung erforderlich ist, um die zentrale Kammer 16 unter Vakuum zu halten. Hierdurch steigen die Kosten für die Einrichtungen und für die Installation.
  • Zusätzlich hierzu nimmt der Platzbedarf für die zentrale Kammer mit zunehmender Anzahl an Prozeßkammern zu (sechs Prozeßkammern erfordern beispielsweise die Gestaltung einer orthogonalen säulenförmigen zentralen Kammer, deren Platzbedarf größer ist).
  • Bei Erhöhung der Anzahl an Prozeßkammern ist somit ein anderes Mehrkammersystem erforderlich.
  • Es kostet jedoch eine Menge, ein teures Mehrkammersystem zu ermöglichen. Die Grundfläche der Einrichtung erhöht sich mit zunehmender Breite der Einrichtung. Zudem wird eine ganze Menge Platz des Reinraumes verbraucht, dessen Unterhaltung üblicherweise eine Menge kostet. Zahlreiche Vorrichtungen für Prozeßgase und zur Erzeugung des Vakuums, die mit der Prozeßkammer oder der Ladeschleusenkammer verbunden sind, sind doppelt installiert.
  • Als Versuch zur Erhöhung der Anzahl an Prozeßkammern bei dem in 2 dargestellten Fokus-Mehrkammersystem sind zwei jeweils mit drei Prozeßkammern 15 verbundene zentrale Kammern 16 über eine Verbindungs-Ladeschleusenkammer 17 miteinander verbunden, so daß zwei dieser herkömmlichen Fokus-Mehrkammersysteme 10 miteinander verbunden sind.
  • Die Installation von sieben Prozeßkammern 15 ist jedoch teurer als die Installation eines zusätzlichen Fokus-Mehrkammersystems 10, wobei auch noch das Problem des großen Platzbedarfs in einem Reinraum und die doppelte Installation der unterschiedlichen Prozeßgas- und Vakuumvorrichtungen besteht.
  • Da ein herkömmliches Fokus-Mehrkammersystem 10 mit einem Kassettentisch an seiner Vorderseite zusammen mit anderen Einrichtungen 20 in einem Reinraum installiert wird, sind zusätzlich hierzu, wie dies in 3 dargestellt ist, auch die Kassettentische der anderen Einrichtungen alle nach vorne gerichtet, so daß Kassetten von einer Bedienungsperson oder einem automatischen Kassettenwagen zwischen den Einrichtungen transportiert werden müssen.
  • Zusätzlich zu diesen Nachteilen des Fokus-Mehrkammersystems werden die Wafer unter Vakuum von der inneren Transfer- oder Transporteinrichtung bewegt, so daß sie nicht mittels einer Vakuumabsorption angebracht oder über einen Unterdruck angesaugt werden können und einfach durch die Schwerkraft von dem Transfer- oder Transportarm gehalten werden. Die Wafer sollten mit einer niedrigen Geschwindigkeit ohne Abweichungen bewegt werden, so daß die Transportgeschwindigkeit der Wafer sehr gering ist.
  • Kurze Beschreibung der Zeichnungen
  • In den zugehörigen Zeichnungen zeigen:
  • 1 eine Draufsicht auf ein herkömmliches Mehrkammersystem einer Ätzeinrichtung zur Herstellung von Halbleiterbauelementen;
  • 2 eine Draufsicht auf miteinander verbundene Mehrkammersysteme einer Ätzeinrichtung zur Herstellung von Halbleiterbauelementen gemäß 1;
  • 3 das Mehrkammersystem einer Ätzeinrichtung zur Herstellung von Halbleiterbauelementen gemäß 1, das in einer Produktionslinie für Halbleiterbauelemente installiert ist;
  • 4 eine Draufsicht auf ein bevorzugtes Ausführungsbeispiel eines erfindungsgemäßen Mehrkammersystems einer Ätzeinrichtung zur Herstellung von Halbleiterbauelementen;
  • 5 eine perspektivische Ansicht des Mehrkammersystems gemäß 4;
  • 6 eine Seitenansicht mit einer schematischen Darstellung des Transportzustandes der Wafer in 5;
  • 7 eine Draufsicht auf ein bevorzugtes Ausführungsbeispiel eines erfindungsgemäßen Mehrkammersystems einer Ätzeinrichtung zur Herstellung von Halbleiterbauelementen;
  • 8 eine Draufsicht auf eine Ausführungsform, bei der das Mehrkammersystem einer Ätzeinrichtung zur Herstellung von Halbleiterbauelementen gemäß 7 in einer entsprechenden Produktionslinie installiert ist;
  • 9 eine Draufsicht auf das Mehrkammersystem einer Ätzeinrichtung zur Herstellung von Halbleiterbauelementen gemäß 7, das erweiterbar installiert ist;
  • 10 eine Draufsicht auf eine Ausführungsform, bei der ein Ausführungsbeispiel eines erfindungsgemäßen Mehrkammersystems einer Ätzeinrichtung zur Herstellung von Halbleiterbauelementen in einer Produktionslinie zur Herstellung von Halbleiterbauelementen installiert ist.
  • Ausführliche Beschreibung bevorzugter Ausführungsbeispiele
  • Nachstehend werden bevorzugte erfindungsgemäße Ausführungsbeispiele beschrieben, die in den zugehörigen Zeichnungen beispielhaft dargestellt sind.
  • 4 zeigt eine Draufsicht auf eine bevorzugte Ausführungsform eines erfindungsgemäßen Mehrkammersystems einer Ätzeinrichtung zur Herstellung von Halbleiterbauelementen.
  • Das Mehrkammersystem gemäß 4 ist so gestaltet, daß eine Kassette 41 mit darauf gestapelten Wafern auf einem Kassettentisch 42 angebracht ist. Acht Prozeßkammern 45 zur Durchführung von Prozessen oder Verfahrensschritten sind in mehreren Ebenen parallel auf beiden Seiten eines rechteckigen Transportweges angeordnet, der als Raum für den Transport von Wafern dient. Auf dem Transportweg ist eine Transporteinrichtung 52 angeordnet, die zum Einführen der auf dem Kassettentisch 42 aufgestapelten Wafer in die acht Prozeßkammern 45 und zum Entnehmen der Wafern aus diesen Prozeßkammern dient.
  • Der Kassettentisch 42 umfaßt einen Kassettenaufzug zum Hoch- und Runterbewegen der Kassetten. Der Kassettentisch 42 ist zum Austauschen der Kassettenpositionen auch horizontal bewegbar.
  • Die Prozeßkammern 45 können in einer Ebene angeordnet sein. Im Hinblick auf die Effektivität der Platzausnutzung werden, so wie dies in 5 dargestellt ist, zwei Ebenen verwendet, wobei in jeder Ebene vier Prozeßkammern 45 parallel zueinander ausgerichtet sind.
  • Bei der in 4 dargestellten Installation von vier Prozeßkammern 45 und zwei Ladeschleusenkammern 43 mit der gleichen Abmessung der Kammern wie bei dem herkömmlichen Mehrkammersystem 10 gemäß 1 ist die Einrichtungsbreite "W" des erfindungsgemäßen Mehrkammersystems 40 gleich der Summe aus der Breite von zwei Prozeßkammern 45 und der Breite des Transportweges, wobei die Breite der zentralen Kammer des herkömmlichen Systems durch die Breite des Transportweges ersetzt wird, der etwas breiter ist als der Durchmesser eines Wafers, so daß die Breite "W" der Einrichtung minimiert wird. Die Verringerung der Einrichtungsbreite "W" entspricht auch einer Verringerung der Einrichtungslänge. Das heißt, daß die Länge der zentralen Kammer durch die Länge der Ladeschleusenkammer 43 ersetzt wird und daß auch die Form der Ladeschleusenkammer 43 veränderbar ist, und zwar von einer Form, bei der eine Seite länger ist als der Waferdurchmesser, zu einer regelmäßigen rechteckigen Säulenform, so daß sich die Länge und die Breite der gesamten Einrichtung verringern.
  • Im Falle einer Anordnung des erfindungsgemäßen Mehrkammersystems in einer Ebene wird daher der sich durch eine Vervielfachung der Breite und der Länge der Einrichtungen ergebende Platzbedarf im Vergleich zu einer herkömmlichen Gestaltung stark verringert. Eine Mehrkammeranordnung in mehreren Ebenen ist daher kompakter gestaltbar.
  • Zusätzlich hierzu wird der Platzbedarf der Ladeschleusenkammer 43 minimiert. Das Volumen der Vakuumeinrichtungen oder der Zusatzvorrichtungen läßt sich verringern, so daß die Kosten für die Einrichtungen und die Installation minimiert werden.
  • Zusätzlich hierzu ermöglicht die Transporteinrichtung 52 eine schnelle Bewegung der Wafer, da diese durch einen Unterdruck gehalten werden, so daß kein zusätzlicher Vakuumgenerator erforderlich ist.
  • Im Unterschied zu einer herkömmlichen zentralen Kammer wird auf dem Transportweg kein Vakuum erzeugt, so daß die Prozeßkammern in mehreren Ebenen angeordnet werden können. Im Unterschied zu einem herkömmlichen System, bei dem die Wafer in der zentralen Kammer lediglich von dem Transportarm gehalten und ohne Abweichungen nur langsam bewegt werden, können die Wafer im vorliegenden Fall schneller transportiert werden.
  • Wenn in den Prozeßkammern nur ein relativ schwaches Vakuum erforderlich ist, wie zum Beispiel bei einem base-oven-Verfahren, einem Veraschungs- oder Ablöseverfahren, einem Vor-/Nach-Ätzverfahren usw., ist zum Transportweg hin eine (nicht dargestellte) Schleuse zum selektiven Öffnen/Schließen ausgebildet, die als Durchlaß für die Wafer dient. In der Prozeßkammer 45 ist ein Vakuumgenerator zur Erzeugung eines Vakuums installiert. Die Prozeßkammer 45 zur Durchführung eines Trockenätzverfahrens ist somit eine Hochvakuum-Prozeßkammer mit einem entsprechenden Hochvakuum zur Bildung eines Plasmas.
  • Zur Minimierung der Zeit oder des Energieverlustes bei der Bildung eines Hochvakuumzustandes in der Prozeßkammer nachdem in dieser Atmosphärendruck herrschte, ist eine Seite der Prozeßkammer 45 mit einer als Bereitstellungsraum für die Wafer dienende Ladeschleusenkammer 43 mit einem schwachen Vakuum verbunden. Auf einer Seite der Ladeschleusenkammer 43 ist zu den Transportweg hin ein Durchlaß oder eine Schleuse 46, 49 ausgebildet.
  • Wie in 6 zu erkennen ist, umfaßt die Ladeschleusenkammer 43 einen Transportarm 54 zur Entgegennahme von Wafern von der Transporteinrichtung 52 und zum Transportieren dieser Wafer in die Prozeßkammer. Sie umfaßt zudem eine innere Transporteinrichtung 44 zum Bewegen des Transportarmes 54 und eine seitlich an dem Transportweg ausgebildete Schleuse 46, 49, die als Durchlaß für die Wafer selektiv zu öffnen und zu schließen ist. An den Seiten der Prozeßkammer ist zudem jeweils eine Schleuse 47, 48, 50, 51 ausgebildet, die als Durchlaß für die Wafer selektiv zu öffnen und zu schließen ist.
  • Der Transportarm der Ladeschleusenkammer 43 und die innere Transporteinrichtung 44 in der Kammer können in jeder der beiden Ladeschleusenkammern angebracht sein, so daß zwei Wafer gleichzeitig einzeln in die Prozeßkammern transportiert werden können.
  • Zusätzlich hierzu ist in der Ladeschleusenkammer 43 ein (nicht dargestellter) Vakuumgenerator zur Erzeugung eines schwaches Vakuums in der Ladeschleusenkammer vorhanden, um eine abrupte Verschlechterung des Vakuums in der Prozeßkammer zu verhindern, wenn die Wafer durch die Schleuse 47, 48, 50, 51 zwischen der Prozeßkammer 45 mit ihrem Hochvakuum und der Ladeschleusenkammer 43 transportiert werden.
  • Der Vakuumgenerator kann unterschiedlich geformt sein. Es handelt sich hierbei um eine herkömmliche Vakuumerzeugungsvorrichtung unter Verwendung einer Vakuumpumpe, wie sie bei Fachleuten auf diesem Gebiet bekannt ist.
  • Wie in den 4 und 5 zu erkennen ist, sind zusätzlich hierzu auf beiden Seiten jeweils zwei Prozeßkammern 45 angeordnet, d.h. vor/nach der Ladeschleusenkammer 43, so daß sie eine gemeinsame Ladeschleusenkammer 43 besitzen. Es können auch drei oder mehrere Prozeßkammern eine gemeinsame Ladeschleusenkammer besitzen.
  • Zusätzlich hierzu sind die Prozeßkammern durch Schleusen miteinander verbunden, so daß die einen bestimmten Prozeß oder Verfahrensschritt durchlaufenden Wafer direkt zu einer anderen Prozeßkammer transportiert werden. Die Wafer können somit von einer Prozeßkammer zur anderen transportiert werden.
  • Die auf dem Transportweg installierte erfindungsgemäße Transporteinrichtung umfaßt gemäß 6 die folgenden Bauteile: einen Transportarm 53 zum selektiven Halten der Wafer, ein (nicht dargestellter) Transportroboter zum Einführen der Wafer in die Prozeßkammern und zum Entnehmen der Wafer aus den Prozeßkammern durch Bewegen des Transportarmes 53, ein (nicht dargestelltes) horizontales Antriebsteil zum horizontalen Bewegen des Transportroboters; ein (nicht dargestelltes) vertikales Antriebsteil zum Auf- und Abwegen des Transportroboters; und eine (nicht dargestellte) Steuerungseinrichtung zum Anlegen von Steuersignalen an den Transportroboter, das horizontale Antriebsteil und das vertikale Antriebsteil.
  • Der Transportarm 53 umfaßt hier eine (nicht dargestellte) Vakuumleitung zum selektiven Ansaugen von Wafern durch einen anliegenden Unterdruck.
  • Der in 6 dargestellte Transportarm 53 kann so gestaltet sein, daß zu einem bestimmten Zeitpunkt ein Wafer transportiert wird. Er kann jedoch auch als Vierarmsystem gestaltet sein, bei dem vier Arme miteinander verbunden sind, um gleichzeitig vier Wafer einzeln zu den in zwei Ebenen angeordneten Ladeschleusenkammern transportieren zu können.
  • Wie bei Fachleuten auf diesem Gebiet bekannt ist, kann neben einem Vierarmsystem zum individuellen Transport von vier Wafern auch ein Zweiarmsystem zum Transport von zwei Wafern oder ein Dreiarmsystem zum Transport von drei Wafern, usw. verwendet werden.
  • Bei dem (nicht dargestellten) horizontalen Antriebsteil, das sich mittels eines Motors oder eines Druckluftzylinders als Antriebsquelle entlang einer Schiene oder eines Führungsstabes zum Führen entlang des Bewegungsweges bewegt und bei dem (nicht dargestellten) vertikalen Antriebsteil, das sich entlang der Schiene oder des Führungsstabes zum Führen entlang des Bewegungsweges nach oben/unten bewegt, ist ein Transportarm und ein Transportroboter installiert. Hierbei handelt es sich ebenfalls um eine bekannte Technologie, wobei zahlreiche Veränderungen und Abänderungen möglich sind.
  • Das oben beschriebene erfindungsgemäße Mehrkammersystem zur Herstellung von Halbleiterbauelementen ist gemäß 6 so gestaltet, daß die Kassette 41 mit mehreren darauf gestapelten Wafern auf dem Kassettentisch 42 angebracht ist und daß das horizontale Antriebsteil und das vertikale Antriebsteil der Transporteinrichtung 52 ansprechend auf ein Steuersignal der Steuerungseinrichtung betätigt werden, um den Transportroboter einen Zugang zu den Wafern in der Kassette 41 zu ermöglichen.
  • Die Transporteinrichtung 52 besitzt einen ausreichenden Zugang zu den Wafern 1. Der Transportroboter empfängt ein Steuersignal von der Steuerungseinrichtung, wonach der Transportarm 53 in Kontakt mit den Wafern gelangt. Der mit einer Vakuumleitung versehene Transportarm 53 saugt die Wafer durch einen Unterdruck an und befestigt sie an einer Seite.
  • Wenn der an dem Transportarm 53 befestigte Wafer zu einer bestimmten Prozeßkammer 45 der ersten Ebene bewegt wird, wird der Wafer 1 zunächst durch das durch die Steuerungseinrichtung gesteuerte horizontale Antriebsteil zu der mit der bestimmten Kammer 45 der ersten Ebene verbundenen Ladeschleusenkammer 43 bewegt.
  • Zu diesem Zeitpunkt ist die in Richtung auf den Bewegungsweg hin ausgebildete Schleuse der Ladeschleusenkammer 43 offen und der Transportarm 53 der Transporteinrichtung 52 wird eingeführt. Wenn der an der Vakuumleitung anliegende Unterdruck abgeschaltet wird, wird der Wafer auf dem Transportarm 54 innerhalb der Ladeschleusenkammer 43 angebracht.
  • Der Transportarm 53 der Transporteinrichtung 52 verläßt die Ladeschleusenkammer 43 und die Schleuse 46 wird geschlossen. Nun wird der Vakuumgenerator der Ladeschleusenkammer 43 betätigt, um innerhalb der Ladeschleusenkammer 43 ein schwaches Vakuum zu erzeugen.
  • Wenn in der Ladeschleusenkammer 43 ein bestimmtes Vakuum erreicht ist, wird die Schleuse 46 der Ladeschleusenkammer 43 zu der Prozeßkammer geöffnet und die auf dem Transportarm 54 angebrachten Wafer werden durch die innere Transporteinrichtung 44 in der Ladeschleusenkammer 43 in die Prozeßkammer 45 transportiert.
  • Aufgrund des schwachen Vakuums in der Ladeschleusenkammer 43 ist zu diesem Zeitpunkt eine Vakuumabsorption oder ein Ansaugen des Wafers nur schwer möglich. Der Platzbedarf der Ladeschleusenkammer 43 ist jedoch nicht so groß wie bei einem herkömmlichen System, so daß der Transportarm zum Anbringen der Wafer nur wenig Zeit benötigt und nur kurz mit einer geringen Geschwindigkeit bewegt wird.
  • Wenn der Transportarm 54 die Prozeßkammer 45 verlassen hat, wird die Schleuse 46 geschlossen und der Vakuumgenerator in der Prozeßkammer 45 wird betätigt, um in der Prozeßkammer 45 ein Hochvakuum zu erzeugen. Nun wird das Ätzverfahren durchgeführt.
  • Wenn die Wafer zu einer bestimmten Prozeßkammer 45 in einer zweiten Ebene bewegt werden, wird das horizontale Antriebsteil und das vertikale Antriebsteil durch die Steuerungseinrichtung so gesteuert, daß die Wafer zu der mit der bestimmten Prozeßkammer 45 verbundenen Ladeschleusenkammer 43 transportiert werden.
  • Die Wafer werden nach oben bewegt und in die Ladeschleusenkammer 43 eingeführt, wobei sie durch einen Unterdruck an dem Transportarm 53 des Transportroboters angesaugt werden. Die nächsten Schritte sind die gleichen wie bei der oben beschriebenen ersten Ebene.
  • Wenn die Wafer in mehrere Prozeßkammern 45 transportiert/eingeführt werden, wird in der entsprechenden Prozeßkammer ein entsprechendes Verfahren durchgeführt und die Wafer werden zum Abschluß des Verfahrens entnommen. Nun werden die Wafer ansprechend auf ein Steuersignal der Steuerungseinrichtung zu dem Kassettentisch 42 oder zu einer bestimmten Prozeßkammer in einer bestimmten Ebene transportiert.
  • Wenn an der Transporteinrichtung 52 ein Vierarmsystem installiert ist, werden die auf der Kassette gestapelten Wafer durch die Transporteinrichtung 52 zu viert transportiert und jeweils zu zweit in eine mit bestimmten Prozeßkammern verbundene Ladeschleusenkammer transportiert. Die innere Transporteinrichtung und der Transportarm sind als Zweiarmtransportsystem ausgebildet, so daß die Wafer einzeln in zwei Prozeßkammer transportiert werden. Nach der Verarbeitung werden ein oder zwei Wafer zu der Transporteinrichtung 52 transportiert, um eine Nachverarbeitung durchzuführen.
  • Gemäß einem anderen Aspekt der vorliegenden Erfindung umfaßt ein Mehrkammersystem einer Ätzeinrichtung zur Herstellung von Halbleiterbauelementen gemäß 7 die folgenden Bauteile: ein erster Kassettentisch 60 zum Anbringen einer Kassette mit Wafern vor der Durchführung eines Prozesses oder Verfahrensschrittes, ein zweiter Kassettentisch 70 zum Anbringen einer Kassette mit Wafern nach der Durchführung des Prozesses oder Verfahrensschrittes; mehrere auf beiden Seiten eines als Weg für die Wafer dienenden rechteckigen Transportweges in mehreren Ebenen parallel ausgerichtete Prozeßkammern 45 zur Durchführung eines Verarbeitungsschrittes für die Wafer; eine auf dem Weg installierte Transporteinrichtung 52, die eine wechselseitige vertikale/horizontale Bewegung ermöglicht und einen Transportroboter zum Transport der auf dem ersten Kassettentisch 60 angebrachten Wafer zu den mehreren Prozeßkammern 45 und zum Transport der Wafer nach der Durchführung des Verarbeitungsschrittes zu dem zweiten Kassettentisch 70.
  • Gemäß einem anderen erfindungsgemäßen Ausführungsbeispiel ist das Mehrkammersystem einer Ätzeinrichtung so gestaltet, daß die die gesamte Verarbeitung des ersten Ausführungsbeispiels durchlaufenden Wafer auf dem zweiten Kassettentisch 70 gestapelt werden und daß das Mehrkammersystem einfach mit anderen Prozeßeinrichtungen 20 verbunden wird, so wie dies in 8 dargestellt ist.
  • Gemäß 8 werden die Wafer durch einen an der Vorderseite der Einrichtung installierten ersten Kassettentisch 60 dem Mehrkammersystem zugeführt, wobei sie in mehreren Prozeßkammern 45 mehrere Prozesse oder Verarbeitungsschritte durchlaufen und auf einem an der Rückseite der Einrichtung angeordneten zweiten Kassettentisch 70 gestapelt werden. Nun werden die Wafer durch eine automatische Transporteinrichtung einer anderen Einrichtung 20 dieser anderen Einrichtung 20 zugeführt, wo sie verarbeitet werden. Sie werden seitlich in die andere Einrichtung 20 eingeführt, werden durch die andere Einrichtung 20 transportiert und auf den Kassettentisch der anderen Einrichtung 20 an der rechten Seite des Mehrkammersystems gestapelt.
  • Die obige Beschreibung betrifft ein Ausführungsbeispiel, bei dem die zweite erfindungsgemäße Ausführungsform in einer Produktionslinie für Halbleiterbauelemente installiert ist, wobei zahlreiche Anwendungsmöglichkeiten und Änderungen möglich sind.
  • Im Unterschied zu einem herkömmlichen System, bei dem sich alle Kassettentische an der Vorderseite der Einrichtung befinden, so daß ein zusätzlicher Kassettentransportwagen zum Transport der Kassetten zwischen den Einrichtungen erforderlich ist, enffällt bei der vorliegenden Erfindung die Notwendigkeit für eine zusätzliche Kassettentransporteinrichtung zum Transport der Kassetten zwischen den Einrichtungen, wie zum Beispiel eine Bedienungsperson oder ein automatischer Kassettenwagen, usw.
  • Wenn, so wie dies in 9 dargestellt ist, das zweite erfindungsgemäße Ausführungsbeispiel ausgebaut wird, d.h., daß die Anzahl an Prozeßkammern 45 erhöht und der Transportweg verlängert wird, werden zusätzliche Prozeßkammern 45 und Ladeschleusenkammern 43 auf beiden Seiten des Transportweges ausgerichtet.
  • Bei einer Verlängerung des Transportweges kann eine erste Transporteinrichtung 62 und eine zweite Transporteinrichtung 72 installiert werden, wobei ein Übernehmen/Übergeben von Wafern zwischen den Transporteinrichtungen möglich ist.
  • Im Unterschied zu einem herkömmlichen Mehrkammersystem kann die Anzahl an Prozeßkammern ohne Veränderungen der Einrichtungsbreite erhöht werden. Es gibt jedoch Begrenzungen bezüglich der Länge der Einrichtungen und der Einrichtungssteuerung, usw.
  • Gemäß einem in 10 dargestellten dritten erfindungsgemäßen Ausführungsbeispiel umfaßt ein Mehrkammersystem einer Ätzeinrichtung zur Herstellung von Halbleiterbauelementen die folgenden Bauteile: ein Kassettentisch 42 zum Anbringen einer Kassette mit darauf gestapelten Wafern; mehrere an einer Seite eines Transportweges für Wafer in mehreren Ebenen ausgerichtete Prozeßkammern 45 zur Durchführung von Prozessen oder Verfahrensschritten; und eine auf dem Transportweg angebrachte Transporteinrichtung 52 zum Einführen der Wafer in die mehreren Prozeßkammern und zum Entnehmen der Wafer aus diesen Prozeßkammern durch eine vertikale/horizontale Bewegung.
  • Das heißt, daß die Prozeßkammern 45 lediglich an einer Seite des Transportweges ausgerichtet sind und daß jeweils eine Ladeschleusenkammer 43 als Bereitstellungsraum für Wafer seitlich mit ihr verbunden ist.
  • Zusätzlich hierzu umfaßt die Ladeschleusenkammer 43 die folgenden Bauteile: ein Transportarm zum Transportieren der Wafer von der Transporteinrichtung 52 zu einer Prozeßkammer; eine innere Transporteinrichtung zum Transportieren des Transportarmes; und eine an einer Seite des Transportweges und an einer Seite der Prozeßkammer angeordnete Schleuse, die als Durchlaß für die Wafer selektiv geöffnet/geschlossen wird.
  • Im Unterschied zu den ersten beiden erfindungsgemäßen Ausführungsformen werden die Wafer durch die Transporteinrichtung 52 der dritten erfindungsgemäßen Ausführungsform nach einer horizontalen Drehung um 90° in lediglich einer Richtung auf den Kassettentisch 42 geladen, wobei die Wafer durch einen Unterdruck angesaugt werden. Das beruht darauf, daß die Prozeßkammern 45 und die Ladeschleusenkammer 43 nur entlang einer Seite ausgerichtet sind.
  • Vor der Durchführung eines Prozesses oder Verfahrensschrittes werden die Wafer, die auf der auf dem ersten Kassettentisch 60 angebrachten Kassette gestapelte sind, durch die Transporteinrichtung 52 zu einer Prozeßkammer 45 transportiert und nach der Durchführung des Prozesses oder Verfahrensschrittes von der Prozeßkammer zu dem zweiten Kassettentisch 70 transportiert, der so angeordnet ist, daß die Wafer einfach zu dem nächsten Prozeß- oder Verfahrensschritt transportiert werden können.
  • Wie in 10 dargestellt ist, ist der zweite Kassettentisch 70 somit an der gegenüberliegenden Seite der Prozeßkammer 45 und der Ladeschleusenkammer 43 seitlich an dem Transportweg angeordnet, so daß die Wafer nach Beendigung des einen Prozesses oder Verfahrensschrittes einfach zur Durchführung des nächsten Verfahrensschritts transportiert werden können.
  • Bei dem dritten erfindungsgemäßen Ausführungsbeispiel wird die Effektivität der Platzausnutzung dadurch erhöht, daß das erfindungsgemäße Mehrkammersystem nach der Installation unterschiedlicher Einrichtungen mit unterschiedlichen Formen und Größen auf dem verbliebenen Platz eines Reinraums installiert wird.
  • Bei dem erfindungsgemäßen Mehrkammersystem einer Ätzeinrichtung zur Herstellung von Halbleiterbauelementen werden somit mehrere Prozeßkammern in mehreren Ebenen parallel zueinander ausgerichtet, so daß sich der Platzbedarf, die Breite und das Volumen der Einrichtung stark verringern. Zudem lassen sich die Kosten für die Einrichtung und deren Installation durch Verringerung des Raumes für Vakuum minimieren. Zudem gestaltet sich die Verbindung mit einer anderen Prozeßeinrichtung recht einfach. Ferner ergibt sich eine bessere Effektivität der Raumausnutzung, wodurch sich die Transportgeschwindigkeit der Wafer erhöht.
  • In den zugehörigen Zeichnungen und der Beschreibung wurden typische bevorzugte erfindungsgemäße Ausführungsbeispiele offenbart. Obgleich spezielle Ausdrücke verwendet wurden, wurden diese lediglich im allgemeinen und beschreibenden Sinn verwendet und nicht etwa zum Zwecke einer Einschränkung. Der Schutzbereich der vorliegenden Erfindung ist durch die zugehörigen Ansprüche bestimmt.

Claims (22)

  1. Mehrkammersystem einer Ätzeinrichtung zur Herstellung von Halbleiterbauelementen mit folgenden Bauteilen: 1.1 einen Kassettentisch (42) zum Auflegen einer Kassette (41) mit Wafern; 1.2 einer horizontalen, nicht unter Vakuum stehenden Transportkammer von rechteckiger Gestalt zum Transportieren von auf dem Kassettentisch (42) gestapelten Wafern, wobei auf dem Transportweg kein Vakuum erzeugt ist; 1.3 mehreren, zumindest auf einer Seite der Transportkammer parallel zu deren längsseite nebeneinander angeordneten Prozesskammern (45); 1.4 wenigstens eine Ladeschleusenkammer (43), die über Schleusen an eine Seite wenigstens einer der Prozesskammern (45) und an einer anderen Seite an die Transportkammer angeschlossen ist, so dass die Wafer in eine bestimmte Prozesskammer (45) der Mehrzahl von Prozesskammern (45) eingeschleust und wieder ausgeschleust werden können 1.5 und eine über ein Antriebsteil horizontal bewegbaren Transporteinrichtung.
  2. Mehrkammersystem gemäß Anspruch 1, dadurch gekennzeichnet, dass die Prozesskammern (45) in mehreren Ebenen angeordnet sind.
  3. Mehrkammersystem gemäß Anspruch 1, dadurch gekennzeichnet, dass mit einer Seite der Prozesskammer (45) jeweils eine der Ladeschleusenkammern (43) als Bereitstellungsraum für die Wafer verbunden ist.
  4. Mehrkammersystem gemäß Anspruch 3, dadurch gekennzeichnet, dass die Ladeschleusenkammer (43) folgende Bauteile umfasst: – ein Transportarm zum Übernehmen der Wafer von der Transporteinrichtung und zum Transportieren der Wafer in die Prozesskammern (45); – eine innere Transporteinrichtung zum Bewegen des Transportarmes; und – seitlich an den Prozesskammern ausgebildete Schleusen zum selektiven Öffnen/Schließen als Durchlass für die Wafer.
  5. Mehrkammersystem gemäß Anspruch 4, dadurch gekennzeichnet, dass mehrere Transportarme zum gleichzeitigen individuellen Transport der Wafer vorhanden sind.
  6. Mehrkammersystem gemäß einem der Ansprüche 1 bis 5, dadurch gekennzeichnet, dass die Ladeschleusenkammer (43) einen Vakuumgenerator zum Erzeugen von Vakuum in der Ladeschleusenkammer (43) aufweist.
  7. Mehrkammersystem gemäß einem der Ansprüche 1 bis 6, dadurch gekennzeichnet, dass mehrere Prozesskammern (45) eine gemeinsame Ladeschleusenkammer (43) besitzen.
  8. Mehrkammersystem nach Anspruch 1, dadurch gekennzeichnet, dass zwei Prozesskammern (45) durch eine Schleuse so verbunden sind, dass die Wafer nach Beendigung eines Prozesses oder Verfahrensschrittes direkt zu der nächsten Prozesskammer zur Durchführung des nächsten Prozesses oder Verfahrensschrittes bewegt werden können.
  9. Mehrkammersystem gemäß Anspruch 1, dadurch gekennzeichnet, dass die Prozesskammern (45) einen Vakuumgenerator zum Erzeugen eines Vakuums in den Prozesskammern (45) aufweisen.
  10. Mehrkammersystem gemäß Anspruch 1, dadurch gekennzeichnet, dass die Transporteinrichtung (52) folgende Bauteile umfasst: – ein Transportarm zum selektiven Halten der Wafer; – ein Transportroboter zum Einführen der Wafer in die Prozesskammern und zum Entnehmen der Wafer aus den Prozesskammern; – einen Antrieb zum horizontalen Bewegen des Transportroboters; und eine Steuerungseinrichtung zur Steuerung des Transportroboters und des Antriebs durch Anlegen von Steuersignalen.
  11. Mehrkammersystem gemäß Anspruch 10, dadurch gekennzeichnet, dass die Transporteinrichtung (52) auch einen Antrieb zum vertikalen Bewegen des Transportroboters aufweist, ansprechend auf ein Steuersignal der Steuerungseinrichtung.
  12. Mehrkammersystem gemäß Anspruch 10, dadurch gekennzeichnet, dass der Transportarm mit einer Vakuumleitung zum Ansaugen der Wafer durch Unterdruck versehen ist.
  13. Mehrkammersystem gemäß Anspruch 10, dadurch gekennzeichnet, dass mehrere Transportarme zum gleichzeitigen individuellen Transport mehrerer Wafer vorhanden ist.
  14. Mehrkammersystem gemäß Anspruch 10 oder 11, dadurch gekennzeichnet, dass wenigstens einer der Antriebe einen Motor oder einen pneumatischen Zylinder oder Druckluftzylinder umfassen.
  15. Mehrkammersystem gemäß Anspruch 1, dadurch gekennzeichnet, dass mehrere Transporteinrichtungen so installiert sind, dass die Wafer bei einer Verlängerung der Transportkammer wechselseitig übergeben/übernommen werden können.
  16. Mehrkammersystem gemäß Anspruch 1, dadurch gekennzeichnet, dass die Wafer einer Kassette, die auf einem ersten Kassettentisch angebracht ist, vor der Durchführung eines Prozesses oder Verfahrensschrittes zu den Prozesskammern (45) transportiert werden, und dass die Wafer nach der Durchführung des Prozesses oder Verfahrensschrittes zu einem zweiten Kassettentisch transportiert werden, der so angeordnet ist, dass die Wafer zur Durchführung des nächsten Prozesses oder Verfahrensschrittes transportiert werden können.
  17. Mehrkammersystem gemäß Anspruch 1, dadurch gekennzeichnet, dass eine in der Transportkammer installierte Transporteinrichtung (52) zur Durchführung einer wechselseitigen vertikalen/horizontalen Bewegung und zum Einführen der auf dem Kassettentisch (42) angeordneten Wafer in die mehreren Prozesskammern (45) und zum Entnehmen der Wafer aus diesen Kammern vorgesehen ist.
  18. Mehrkammersystem gemäß Anspruch 17, dadurch gekennzeichnet, dass die Prozesskammer (45) in zwei bis fünf Ebenen angeordnet sind.
  19. Mehrkammersystem gemäß Anspruch 17, dadurch gekennzeichnet, dass auf jeweils einer Seite der Prozesskammern (45) eine Ladeschleusenkammer (43) als Bereitstellungsraum für die Wafer mit der jeweiligen Prozesskammer (45) verbindbar installiert ist.
  20. Mehrkammersystem gemäß Anspruch 17, dadurch gekennzeichnet, dass die Transporteinrichtung (52) die folgenden Bauteile umfasst: – ein Transportarm mit einer installierten Vakuumleitung zum selektiven Ansaugen der Wafer durch einen Unterdruck; ein Transportroboter zum Einführen der Wafer in die Prozesskammern und zum Entnehmen der Wafer aus den Prozesskammern durch Bewegen des Transportarms; – ein vertikales Antriebsteil zum vertikalen Bewegen des Transportarmes; – ein horizontales Antriebsteil zum horizontalen Bewegen des Transportarmes; und – eine Steuerungseinrichtung zur Steuerung des Transportroboters, des vertikalen Antriebsteils und des horizontalen Antriebsteils durch Anlegen von Steuersignalen.
  21. Mehrkammersystem gemäß Anspruch 17, 21.1 wobei ein erster Kassettentisch (60) zum Anbringen einer Kassette (41), die übereinander angeordnete Wafer vor der Durchführung eines Prozesses oder Verfahrensschrittes enthält, vorgesehen ist, und 21.2 wobei ein gegenüberliegend zu dem ersten Kassettentisch (60) angeordneter zweiter Kassettentisch (70) mit einer Kassette (41), in der nach der Durchführung des Prozesses oder Verfahrensschrittes die Wafer übereinander angeordnet werden, vorgesehen ist.
  22. Verwendung eines Mehrkammersystems gemäß einem der Ansprüche 1 bis 21 zum Ätzen von Halbleiterbauelementen
DE19901426A 1998-04-21 1999-01-18 Mehrkammersystem einer Ätzeinrichtung zur Herstellung von Halbleiterbauelementen Expired - Lifetime DE19901426B4 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE29924811U DE29924811U1 (de) 1998-04-21 1999-01-18 Mehrkammersystem einer Ätzeinrichtung zur Herstellung von Halbleiterbauelementen
DE19964479A DE19964479B4 (de) 1998-04-21 1999-01-18 Mehrkammersystem einer Ätzeinrichtung zur Herstellung von Halbleiterbauelementen

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR1019980014228A KR100265287B1 (ko) 1998-04-21 1998-04-21 반도체소자 제조용 식각설비의 멀티챔버 시스템
KR98-14228 1998-04-21
DE19964479A DE19964479B4 (de) 1998-04-21 1999-01-18 Mehrkammersystem einer Ätzeinrichtung zur Herstellung von Halbleiterbauelementen

Publications (2)

Publication Number Publication Date
DE19901426A1 DE19901426A1 (de) 1999-11-04
DE19901426B4 true DE19901426B4 (de) 2008-04-03

Family

ID=19536537

Family Applications (2)

Application Number Title Priority Date Filing Date
DE19901426A Expired - Lifetime DE19901426B4 (de) 1998-04-21 1999-01-18 Mehrkammersystem einer Ätzeinrichtung zur Herstellung von Halbleiterbauelementen
DE19964479A Expired - Lifetime DE19964479B4 (de) 1998-04-21 1999-01-18 Mehrkammersystem einer Ätzeinrichtung zur Herstellung von Halbleiterbauelementen

Family Applications After (1)

Application Number Title Priority Date Filing Date
DE19964479A Expired - Lifetime DE19964479B4 (de) 1998-04-21 1999-01-18 Mehrkammersystem einer Ätzeinrichtung zur Herstellung von Halbleiterbauelementen

Country Status (5)

Country Link
US (5) US6503365B1 (de)
JP (3) JPH11307614A (de)
KR (1) KR100265287B1 (de)
DE (2) DE19901426B4 (de)
TW (1) TW502284B (de)

Families Citing this family (350)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100265287B1 (ko) 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
JP4330703B2 (ja) * 1999-06-18 2009-09-16 東京エレクトロン株式会社 搬送モジュール及びクラスターシステム
US6410455B1 (en) * 1999-11-30 2002-06-25 Wafermasters, Inc. Wafer processing system
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US6919001B2 (en) * 2000-05-01 2005-07-19 Intevac, Inc. Disk coating system
KR20030032034A (ko) * 2000-09-15 2003-04-23 어플라이드 머티어리얼스, 인코포레이티드 처리 장비용 두 개의 이중 슬롯 로드록
US6790286B2 (en) * 2001-01-18 2004-09-14 Dainippon Screen Mfg. Co. Ltd. Substrate processing apparatus
KR100398877B1 (ko) * 2001-05-09 2003-09-19 삼성전자주식회사 현상기 소음 및 진동방지구조를 갖는 화상형성장치
KR100422467B1 (ko) * 2001-05-09 2004-03-12 삼성전자주식회사 반도체장치 제조설비
US6852194B2 (en) * 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
JP4078813B2 (ja) * 2001-06-12 2008-04-23 ソニー株式会社 成膜装置および成膜方法
US20030022498A1 (en) * 2001-07-27 2003-01-30 Jeong In Kwon CMP system and method for efficiently processing semiconductor wafers
KR100440762B1 (ko) * 2001-08-30 2004-07-21 주성엔지니어링(주) 적층식 다중 클러스터 장비
US7316966B2 (en) * 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
JP2003183728A (ja) * 2001-12-14 2003-07-03 Jh Corp 真空熱処理装置
KR100454393B1 (ko) * 2001-12-18 2004-10-26 코스텍시스템(주) 복층 수직형 매엽식 반도체 웨이퍼 처리장치
JP2003293134A (ja) * 2002-04-09 2003-10-15 Tdk Corp 薄膜形成装置および方法、および当該装置を用いた電子部品の製造方法
EP1523761A1 (de) * 2002-06-21 2005-04-20 Applied Materials, Inc. Übergabekammer für behandlungssystem unter vakuum
US7959395B2 (en) * 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US20070183871A1 (en) * 2002-07-22 2007-08-09 Christopher Hofmeister Substrate processing apparatus
US8960099B2 (en) * 2002-07-22 2015-02-24 Brooks Automation, Inc Substrate processing apparatus
WO2004010476A2 (en) * 2002-07-22 2004-01-29 Brooks Automation, Inc. Substrate processing apparatus
US7988398B2 (en) * 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
CN1711369B (zh) 2002-11-15 2011-07-13 欧瑞康日光特吕巴赫股份公司 用于真空处理两维加长基片的装置及加工这种基片的方法
US6939403B2 (en) * 2002-11-19 2005-09-06 Blue29, Llc Spatially-arranged chemical processing station
MXPA05007215A (es) * 2003-01-02 2005-09-12 Univ Loma Linda Med Sistema para administracion de configuracion y recuperacion para sistema de terapia con haz de protones.
KR100566697B1 (ko) * 2003-08-05 2006-04-03 삼성전자주식회사 반도체 소자 제조용 멀티 챔버 시스템 및 이를 이용한반도체 소자의 제조방법
JP2005101584A (ja) * 2003-08-28 2005-04-14 Suss Microtec Test Systems Gmbh 基板を検査する装置
WO2005022602A2 (en) * 2003-08-29 2005-03-10 Crossing Automation, Inc. A method and apparatus for semiconductor processing
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US20070282480A1 (en) * 2003-11-10 2007-12-06 Pannese Patrick D Methods and systems for controlling a semiconductor fabrication process
US8313277B2 (en) 2003-11-10 2012-11-20 Brooks Automation, Inc. Semiconductor manufacturing process modules
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
EP1684951B1 (de) 2003-11-10 2014-05-07 Brooks Automation, Inc. System zur handhabung von werkstücken in einem halbleiterhandhabungssystem auf vakuumbasis
US7458763B2 (en) * 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US8639365B2 (en) * 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US8696298B2 (en) * 2003-11-10 2014-04-15 Brooks Automation, Inc. Semiconductor manufacturing process modules
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
KR100578134B1 (ko) * 2003-11-10 2006-05-10 삼성전자주식회사 멀티 챔버 시스템
US8639489B2 (en) * 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US7274429B2 (en) * 2003-12-10 2007-09-25 Asml Netherlands B.V. Integrated lithographic fabrication cluster
TWI298895B (en) * 2004-06-02 2008-07-11 Applied Materials Inc Electronic device manufacturing chamber and methods of forming the same
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
US20060201074A1 (en) * 2004-06-02 2006-09-14 Shinichi Kurita Electronic device manufacturing chamber and methods of forming the same
US7497414B2 (en) * 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
US7611322B2 (en) 2004-11-18 2009-11-03 Intevac, Inc. Processing thin wafers
US20060102078A1 (en) * 2004-11-18 2006-05-18 Intevac Inc. Wafer fab
TWI278416B (en) * 2004-12-09 2007-04-11 Au Optronics Corp Cassette stocker
US9099506B2 (en) * 2005-03-30 2015-08-04 Brooks Automation, Inc. Transfer chamber between workstations
US20090140174A1 (en) * 2005-03-30 2009-06-04 Panasonic Corporation Impurity Introducing Apparatus and Impurity Introducing Method
US20060273815A1 (en) * 2005-06-06 2006-12-07 Applied Materials, Inc. Substrate support with integrated prober drive
US20070006936A1 (en) * 2005-07-07 2007-01-11 Applied Materials, Inc. Load lock chamber with substrate temperature regulation
US7845891B2 (en) * 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
KR100758298B1 (ko) * 2006-03-03 2007-09-12 삼성전자주식회사 기판 처리 장치 및 방법
US8398355B2 (en) * 2006-05-26 2013-03-19 Brooks Automation, Inc. Linearly distributed semiconductor workpiece processing tool
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US8741096B2 (en) * 2006-06-29 2014-06-03 Wonik Ips Co., Ltd. Apparatus for semiconductor processing
US20080025821A1 (en) * 2006-07-25 2008-01-31 Applied Materials, Inc. Octagon transfer chamber
KR100829920B1 (ko) * 2006-07-25 2008-05-16 세메스 주식회사 복층 구조의 반도체 제조 설비 및 그의 웨이퍼 이송 방법
US8124907B2 (en) * 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
US7738987B2 (en) * 2006-11-28 2010-06-15 Tokyo Electron Limited Device and method for controlling substrate processing apparatus
KR100717990B1 (ko) * 2007-01-16 2007-05-14 (주)인터노바 반도체 자재 처리를 위한 이송 시스템
US20080219810A1 (en) * 2007-03-05 2008-09-11 Van Der Meulen Peter Semiconductor manufacturing process modules
US20080251019A1 (en) * 2007-04-12 2008-10-16 Sriram Krishnaswami System and method for transferring a substrate into and out of a reduced volume chamber accommodating multiple substrates
DE102007022431A1 (de) 2007-05-09 2008-11-13 Leybold Optics Gmbh Behandlungssystem für flache Substrate
JP5006122B2 (ja) 2007-06-29 2012-08-22 株式会社Sokudo 基板処理装置
JP5128918B2 (ja) * 2007-11-30 2013-01-23 株式会社Sokudo 基板処理装置
US20090162170A1 (en) * 2007-12-19 2009-06-25 Asm Japan K.K. Tandem type semiconductor-processing apparatus
JP5179170B2 (ja) 2007-12-28 2013-04-10 株式会社Sokudo 基板処理装置
JP5001828B2 (ja) 2007-12-28 2012-08-15 株式会社Sokudo 基板処理装置
JP5405235B2 (ja) * 2008-09-05 2014-02-05 Ntn株式会社 生産設備及び生産システム
US20100116205A1 (en) 2008-11-07 2010-05-13 Jacob Newman Process equipment architecture
JP4920667B2 (ja) * 2008-12-03 2012-04-18 アドヴァンスド・ディスプレイ・プロセス・エンジニアリング・コーポレーション・リミテッド 基板処理装置
US20100162955A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Systems and methods for substrate processing
US20100162954A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Integrated facility and process chamber for substrate processing
US7897525B2 (en) * 2008-12-31 2011-03-01 Archers Inc. Methods and systems of transferring, docking and processing substrates
US8110511B2 (en) * 2009-01-03 2012-02-07 Archers Inc. Methods and systems of transferring a substrate to minimize heat loss
US8367565B2 (en) * 2008-12-31 2013-02-05 Archers Inc. Methods and systems of transferring, docking and processing substrates
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101146981B1 (ko) * 2009-06-02 2012-05-22 삼성모바일디스플레이주식회사 증착 장치 및 그 제어 방법
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8602706B2 (en) * 2009-08-17 2013-12-10 Brooks Automation, Inc. Substrate processing apparatus
JP5358366B2 (ja) 2009-09-14 2013-12-04 東京エレクトロン株式会社 基板処理装置及び方法
KR101152271B1 (ko) * 2010-05-07 2012-06-08 유정호 일체형 반도체 처리 장치
US9312153B2 (en) * 2010-08-06 2016-04-12 Tokyo Electron Limited Substrate processing system, transfer module, substrate processing method, and method for manufacturing semiconductor element
CN102569011A (zh) * 2010-12-13 2012-07-11 北京北方微电子基地设备工艺研究中心有限责任公司 晶片处理系统和晶片处理方法
JP5395841B2 (ja) * 2011-04-08 2014-01-22 美津濃株式会社 シューズのアッパー構造
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
TWI629743B (zh) * 2012-02-10 2018-07-11 布魯克斯自動機械公司 基材處理設備
US9293317B2 (en) * 2012-09-12 2016-03-22 Lam Research Corporation Method and system related to semiconductor processing equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014093489A (ja) * 2012-11-06 2014-05-19 Tokyo Electron Ltd 基板処理装置
US20150064911A1 (en) 2013-08-27 2015-03-05 Tokyo Electron Limited Substrate processing method, substrate processing apparatus and storage medium
US10971381B2 (en) * 2013-11-04 2021-04-06 Applied Materials, Inc. Transfer chambers with an increased number of sides, semiconductor device manufacturing processing tools, and processing methods
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9818633B2 (en) * 2014-10-17 2017-11-14 Lam Research Corporation Equipment front end module for transferring wafers and method of transferring wafers
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN105870008B (zh) * 2016-04-18 2018-10-23 武汉华星光电技术有限公司 蚀刻设备及蚀刻方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP2018006534A (ja) * 2016-06-30 2018-01-11 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
CN112216623B (zh) * 2019-07-10 2022-12-23 长鑫存储技术有限公司 刻蚀机台及其控制方法
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
KR102571741B1 (ko) * 2020-09-18 2023-08-25 세메스 주식회사 기판 처리 장치 및 이를 구비하는 기판 처리 시스템
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11598579B2 (en) 2021-07-01 2023-03-07 King Yuan Dar Metal Enterprise Co., Ltd. Continuous working system
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230317478A1 (en) * 2022-03-11 2023-10-05 Applied Materials, Inc. Modular multi-chamber processing tool having link chamber for ultra high vaccum processes
CN114777427B (zh) * 2022-05-10 2023-11-17 星恒电源股份有限公司 一种方形叠片锂离子电池电芯的干燥方法
KR102510315B1 (ko) 2022-12-27 2023-03-15 주식회사 우원기술 로드락 장치 및 이를 이용한 반도체 제조 시스템

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4825808A (en) * 1986-12-19 1989-05-02 Anelva Corporation Substrate processing apparatus
JPH03274746A (ja) * 1990-03-24 1991-12-05 Sony Corp マルチチャンバ装置
US5376212A (en) * 1992-02-18 1994-12-27 Tokyo Electron Yamanashi Limited Reduced-pressure processing apparatus
JPH07297194A (ja) * 1994-04-25 1995-11-10 Sony Corp マルチチャンバー装置及び半導体装置の製造方法
US5565034A (en) * 1993-10-29 1996-10-15 Tokyo Electron Limited Apparatus for processing substrates having a film formed on a surface of the substrate
EP0798598A2 (de) * 1996-03-25 1997-10-01 Tokyo Electron Limited Verfahren zur Behandlung eines Photoresists auf einem Substrat und Einrichtung dafür
US5686143A (en) * 1993-11-05 1997-11-11 Tokyo Electron Limited Resist treating method
US5700127A (en) * 1995-06-27 1997-12-23 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
US5713717A (en) * 1994-06-23 1998-02-03 Hyundai Electronics Industries Co., Ltd. Multi-substrate feeder for semiconductor device manufacturing system

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5259881A (en) * 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US5292393A (en) 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
JPS63252439A (ja) * 1986-12-19 1988-10-19 アプライド マテリアルズインコーポレーテッド 多チャンバの統合処理システム
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JP2644912B2 (ja) * 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
KR0155172B1 (ko) * 1990-10-31 1998-12-01 이노우에 다케시 판형상체 반송장치
US5685684A (en) * 1990-11-26 1997-11-11 Hitachi, Ltd. Vacuum processing system
US5286296A (en) * 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
JPH081923B2 (ja) 1991-06-24 1996-01-10 ティーディーケイ株式会社 クリーン搬送方法及び装置
JP3238432B2 (ja) * 1991-08-27 2001-12-17 東芝機械株式会社 マルチチャンバ型枚葉処理装置
JP3030160B2 (ja) * 1992-04-28 2000-04-10 東京エレクトロン株式会社 真空処理装置
JPH06136669A (ja) 1992-10-27 1994-05-17 Yuko Teramura ウールの植物染色法
JP3514391B2 (ja) * 1992-11-20 2004-03-31 東京エレクトロン株式会社 気密室、及び、気密室の圧力制御方法
JPH06188229A (ja) * 1992-12-16 1994-07-08 Tokyo Electron Yamanashi Kk エッチングの後処理方法
US5431529A (en) * 1992-12-28 1995-07-11 Brooks Automation, Inc. Articulated arm transfer device
US5527390A (en) * 1993-03-19 1996-06-18 Tokyo Electron Kabushiki Treatment system including a plurality of treatment apparatus
JP3654597B2 (ja) * 1993-07-15 2005-06-02 株式会社ルネサステクノロジ 製造システムおよび製造方法
JPH07211763A (ja) 1994-01-18 1995-08-11 Hitachi Ltd マルチチャンバ装置およびその制御方法
US6712577B2 (en) * 1994-04-28 2004-03-30 Semitool, Inc. Automated semiconductor processing system
JPH081923A (ja) 1994-06-21 1996-01-09 Sanyo Electric Co Ltd 立体静止画像のプリント方法
TW295677B (de) 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
KR100244041B1 (ko) * 1995-08-05 2000-02-01 엔도 마코토 기판처리장치
US5788868A (en) * 1995-09-04 1998-08-04 Dainippon Screen Mfg. Co., Ltd. Substrate transfer method and interface apparatus
US5765983A (en) * 1996-05-30 1998-06-16 Brooks Automation, Inc. Robot handling apparatus
TW344847B (en) * 1996-08-29 1998-11-11 Tokyo Electron Co Ltd Substrate treatment system, substrate transfer system, and substrate transfer method
JP3947761B2 (ja) * 1996-09-26 2007-07-25 株式会社日立国際電気 基板処理装置、基板搬送機および基板処理方法
KR100234539B1 (ko) * 1996-12-24 1999-12-15 윤종용 반도체장치 제조용 식각 장치
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
JPH1145929A (ja) 1997-05-30 1999-02-16 Sharp Corp プラズマ処理装置
KR19990025706A (ko) 1997-09-13 1999-04-06 윤종용 마이크로카드형 패키지 및 그 제조방법
US6722834B1 (en) * 1997-10-08 2004-04-20 Applied Materials, Inc. Robot blade with dual offset wafer supports
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6136211A (en) * 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
EP1049640A4 (de) * 1997-11-28 2008-03-12 Mattson Tech Inc Verfahren und anlage zur handhabung von werkstücken unter vakuum mit niedriger kontamination und hohem durchsatz
JPH11312640A (ja) 1998-02-25 1999-11-09 Canon Inc 処理装置および該処理装置を用いたデバイス製造方法
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US6358128B1 (en) 1999-03-05 2002-03-19 Ebara Corporation Polishing apparatus
US6606210B1 (en) 1999-04-21 2003-08-12 Seagate Technology Llc Intelligent sector recovery algorithm
US20030045098A1 (en) 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
JP4220173B2 (ja) 2002-03-26 2009-02-04 株式会社日立ハイテクノロジーズ 基板の搬送方法
US7959395B2 (en) 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US6939403B2 (en) 2002-11-19 2005-09-06 Blue29, Llc Spatially-arranged chemical processing station
JP4272232B2 (ja) * 2003-10-08 2009-06-03 セメス・カンパニー・リミテッド 多層構造を有する基板洗浄設備
US20070269297A1 (en) * 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US20060182535A1 (en) 2004-12-22 2006-08-17 Mike Rice Cartesian robot design
CN101164138B (zh) 2005-04-22 2012-10-17 应用材料公司 笛卡尔机械臂群集工具架构
WO2007112454A2 (en) 2006-03-28 2007-10-04 Stratusys Inc. Apparatus and method for processing substrates using one or more vacuum transfer chamber units
US8398355B2 (en) 2006-05-26 2013-03-19 Brooks Automation, Inc. Linearly distributed semiconductor workpiece processing tool
US20080166210A1 (en) * 2007-01-05 2008-07-10 Applied Materials, Inc. Supinating cartesian robot blade
US20080206022A1 (en) * 2007-02-27 2008-08-28 Smith John M Mult-axis robot arms in substrate vacuum processing tool
US20090056116A1 (en) * 2007-08-07 2009-03-05 Micro Foundry Inc. Integrated miniature device factory

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4825808A (en) * 1986-12-19 1989-05-02 Anelva Corporation Substrate processing apparatus
JPH03274746A (ja) * 1990-03-24 1991-12-05 Sony Corp マルチチャンバ装置
US5376212A (en) * 1992-02-18 1994-12-27 Tokyo Electron Yamanashi Limited Reduced-pressure processing apparatus
US5565034A (en) * 1993-10-29 1996-10-15 Tokyo Electron Limited Apparatus for processing substrates having a film formed on a surface of the substrate
US5686143A (en) * 1993-11-05 1997-11-11 Tokyo Electron Limited Resist treating method
JPH07297194A (ja) * 1994-04-25 1995-11-10 Sony Corp マルチチャンバー装置及び半導体装置の製造方法
US5713717A (en) * 1994-06-23 1998-02-03 Hyundai Electronics Industries Co., Ltd. Multi-substrate feeder for semiconductor device manufacturing system
US5700127A (en) * 1995-06-27 1997-12-23 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
EP0798598A2 (de) * 1996-03-25 1997-10-01 Tokyo Electron Limited Verfahren zur Behandlung eines Photoresists auf einem Substrat und Einrichtung dafür
JPH09260275A (ja) * 1996-03-25 1997-10-03 Tokyo Electron Ltd 処理方法及び処理装置

Also Published As

Publication number Publication date
JPH11307614A (ja) 1999-11-05
US20030073323A1 (en) 2003-04-17
JP2009147368A (ja) 2009-07-02
KR19990080759A (ko) 1999-11-15
US20090291558A1 (en) 2009-11-26
JP5491579B2 (ja) 2014-05-14
DE19901426A1 (de) 1999-11-04
JP5134575B2 (ja) 2013-01-30
US20050236092A1 (en) 2005-10-27
US6930050B2 (en) 2005-08-16
US6503365B1 (en) 2003-01-07
JP2012186506A (ja) 2012-09-27
TW502284B (en) 2002-09-11
KR100265287B1 (ko) 2000-10-02
JP2007036284A (ja) 2007-02-08
DE19964479B4 (de) 2011-03-31
US20090203211A1 (en) 2009-08-13
US7776226B2 (en) 2010-08-17

Similar Documents

Publication Publication Date Title
DE19901426B4 (de) Mehrkammersystem einer Ätzeinrichtung zur Herstellung von Halbleiterbauelementen
DE3442844A1 (de) Vorrichtung und anlage fuer die durchfuehrung einer behandlung unter vakuum
DE69830905T2 (de) Vorrichtung zur behandlung von einzelnen halbleiterscheiben mit mehreren schleusenkammern und verfahren zum beladen und entladen
DE60025708T2 (de) Mehrstufiger einzelantrieb für foup-türöffnungssystem
DE69133535T2 (de) Arbeitsverfahren für Vakuumbehandlungsvorrichtung
DE69935039T2 (de) Verfahren und vorrichtung zum transport von halbleiterplättchen
DE3735449A1 (de) Fertigungssystem fuer halbleitersubstrate
DE60214763T2 (de) Waferhandhabungsvorrichtung und verfahren dafür
DE19906805A1 (de) Vorrichtung und Verfahren zum Transportieren von zu bearbeitenden Substraten
CH695872A5 (de) Reticle-Handhabungsvorrichtung.
DE102019205930A1 (de) Automatisches düsenreinigungs-inspektionsmanagementsystem
EP1177570A1 (de) Einrichtung zum handhaben von substraten innerhalb und ausserhalb eines reinstarbeitsraumes
DE102020129470A1 (de) Vorrichtung und Verfahren zum Behandeln von topfförmigen Hohlkörpern, insbesondere von Transportbehältern für Halbleiterwafer oder für EUV-Lithografie-Masken
DE4210960A1 (de) Einrichtung und Verfahren zur Handhabung von Gegenständen
EP1299899B1 (de) Speichervorrichtung, insbesondere zur zwischenlagerung von test-wafern
EP1208586A1 (de) Vorrichtung zum behandeln von substraten
DE10118167A1 (de) Vorrichtung und Verfahren zur Reinigung von Halbleiterwafern
DE202019104043U1 (de) Maschinelle Anordnung zum Bearbeiten von plattenartigen Werkstücken, insbesondere von Blechen
DE29924811U1 (de) Mehrkammersystem einer Ätzeinrichtung zur Herstellung von Halbleiterbauelementen
DE102010042839A1 (de) Verfahren und Vorrichtung zum Betrieb eines Targets in einer Durchlauf-Vakuumbeschichtungsanlage
DE102005061680B3 (de) Einrichtung und Verfahren zur gleichzeitigen Übertragung einer Mehrzahl von elektronischen Bauteilen
EP0929367B1 (de) Verfahren und vorrichtung zum behandeln von substraten
DE102011007619A1 (de) Substratbehandlungsanlage
EP3750180B1 (de) Ladeschleuse für einen substratbehälter und vorrichtung mit einer ladeschleuse
DE10053232A1 (de) Substrat-Zuführungsmodul und System aus Substrat-Zuführungsmodul und Arbeitsstation

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8172 Supplementary division/partition in:

Ref document number: 19964479

Country of ref document: DE

Kind code of ref document: P

Q171 Divided out to:

Ref document number: 19964479

Country of ref document: DE

Kind code of ref document: P

8125 Change of the main classification

Ipc: H01L 21/306 AFI20051017BHDE

AH Division in

Ref document number: 19964479

Country of ref document: DE

Kind code of ref document: P

8364 No opposition during term of opposition
R071 Expiry of right