DE60013009D1 - Vorrichtung zur Kupfer-Elektroplattierung mit der Möglichkeit einer thermischen Behandlung - Google Patents

Vorrichtung zur Kupfer-Elektroplattierung mit der Möglichkeit einer thermischen Behandlung

Info

Publication number
DE60013009D1
DE60013009D1 DE60013009T DE60013009T DE60013009D1 DE 60013009 D1 DE60013009 D1 DE 60013009D1 DE 60013009 T DE60013009 T DE 60013009T DE 60013009 T DE60013009 T DE 60013009T DE 60013009 D1 DE60013009 D1 DE 60013009D1
Authority
DE
Germany
Prior art keywords
possibility
thermal treatment
copper electroplating
electroplating
copper
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE60013009T
Other languages
English (en)
Other versions
DE60013009T2 (de
Inventor
Robin Cheung
Ashok K Sinha
Avi Tepman
Dan Carl
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=23000485&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=DE60013009(D1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of DE60013009D1 publication Critical patent/DE60013009D1/de
Publication of DE60013009T2 publication Critical patent/DE60013009T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/6723Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one plating chamber
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
DE60013009T 1999-03-05 2000-02-23 Vorrichtung zur Kupfer-Elektroplattierung mit der Möglichkeit einer thermischen Behandlung Expired - Lifetime DE60013009T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/263,126 US6136163A (en) 1999-03-05 1999-03-05 Apparatus for electro-chemical deposition with thermal anneal chamber
US263126 1999-03-05

Publications (2)

Publication Number Publication Date
DE60013009D1 true DE60013009D1 (de) 2004-09-23
DE60013009T2 DE60013009T2 (de) 2005-09-01

Family

ID=23000485

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60013009T Expired - Lifetime DE60013009T2 (de) 1999-03-05 2000-02-23 Vorrichtung zur Kupfer-Elektroplattierung mit der Möglichkeit einer thermischen Behandlung

Country Status (7)

Country Link
US (1) US6136163A (de)
EP (1) EP1037263B1 (de)
JP (1) JP3527169B2 (de)
KR (1) KR100386714B1 (de)
DE (1) DE60013009T2 (de)
SG (1) SG82070A1 (de)
TW (1) TW500835B (de)

Families Citing this family (333)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6276072B1 (en) 1997-07-10 2001-08-21 Applied Materials, Inc. Method and apparatus for heating and cooling substrates
US7244677B2 (en) * 1998-02-04 2007-07-17 Semitool. Inc. Method for filling recessed micro-structures with metallization in the production of a microelectronic device
EP1019954B1 (de) 1998-02-04 2013-05-15 Applied Materials, Inc. Methode und Apparat für die Niedertemperaturbehandlung von elektroplattierten Kupfer-Mikrostrukturen für mikroelektronische Anordnungen
US6416647B1 (en) * 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
JP3187011B2 (ja) * 1998-08-31 2001-07-11 日本電気株式会社 半導体装置の製造方法
US6514393B1 (en) * 2000-04-04 2003-02-04 Novellus Systems, Inc. Adjustable flange for plating and electropolishing thickness profile control
US6258220B1 (en) 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6610190B2 (en) * 2000-11-03 2003-08-26 Nutool, Inc. Method and apparatus for electrodeposition of uniform film with minimal edge exclusion on substrate
US7204924B2 (en) * 1998-12-01 2007-04-17 Novellus Systems, Inc. Method and apparatus to deposit layers with uniform properties
US7578923B2 (en) * 1998-12-01 2009-08-25 Novellus Systems, Inc. Electropolishing system and process
US7427337B2 (en) * 1998-12-01 2008-09-23 Novellus Systems, Inc. System for electropolishing and electrochemical mechanical polishing
US6497800B1 (en) * 2000-03-17 2002-12-24 Nutool Inc. Device providing electrical contact to the surface of a semiconductor workpiece during metal plating
US6413388B1 (en) 2000-02-23 2002-07-02 Nutool Inc. Pad designs and structures for a versatile materials processing apparatus
EP1031647A3 (de) * 1999-02-19 2002-03-06 Solid State Equipment Corporation Vorrichtung und Verfahren zur Plattierung einer Wafer
US7192494B2 (en) * 1999-03-05 2007-03-20 Applied Materials, Inc. Method and apparatus for annealing copper films
US6557237B1 (en) * 1999-04-08 2003-05-06 Applied Materials, Inc. Removable modular cell for electro-chemical plating and method
US6585876B2 (en) 1999-04-08 2003-07-01 Applied Materials Inc. Flow diffuser to be used in electro-chemical plating system and method
US6258223B1 (en) * 1999-07-09 2001-07-10 Applied Materials, Inc. In-situ electroless copper seed layer enhancement in an electroplating system
US6673216B2 (en) * 1999-08-31 2004-01-06 Semitool, Inc. Apparatus for providing electrical and fluid communication to a rotating microelectronic workpiece during electrochemical processing
US6660139B1 (en) * 1999-11-08 2003-12-09 Ebara Corporation Plating apparatus and method
US6640151B1 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Multi-tool control system, method and medium
US6612915B1 (en) 1999-12-27 2003-09-02 Nutool Inc. Work piece carrier head for plating and polishing
US6547937B1 (en) * 2000-01-03 2003-04-15 Semitool, Inc. Microelectronic workpiece processing tool including a processing reactor having a paddle assembly for agitation of a processing fluid proximate to the workpiece
US6471913B1 (en) 2000-02-09 2002-10-29 Semitool, Inc. Method and apparatus for processing a microelectronic workpiece including an apparatus and method for executing a processing step at an elevated temperature
US6780374B2 (en) 2000-12-08 2004-08-24 Semitool, Inc. Method and apparatus for processing a microelectronic workpiece at an elevated temperature
US6354916B1 (en) 2000-02-11 2002-03-12 Nu Tool Inc. Modified plating solution for plating and planarization and process utilizing same
TW584921B (en) * 2000-02-18 2004-04-21 Applied Materials Inc Method and apparatus for annealing copper films
US20060131177A1 (en) * 2000-02-23 2006-06-22 Jeffrey Bogart Means to eliminate bubble entrapment during electrochemical processing of workpiece surface
US7141146B2 (en) * 2000-02-23 2006-11-28 Asm Nutool, Inc. Means to improve center to edge uniformity of electrochemical mechanical processing of workpiece surface
US20090020437A1 (en) * 2000-02-23 2009-01-22 Basol Bulent M Method and system for controlled material removal by electrochemical polishing
US6482307B2 (en) 2000-05-12 2002-11-19 Nutool, Inc. Method of and apparatus for making electrical contact to wafer surface for full-face electroplating or electropolishing
US6852208B2 (en) 2000-03-17 2005-02-08 Nutool, Inc. Method and apparatus for full surface electrotreating of a wafer
US20060118425A1 (en) * 2000-04-19 2006-06-08 Basol Bulent M Process to minimize and/or eliminate conductive material coating over the top surface of a patterned substrate
AU2001247109A1 (en) * 2000-04-27 2001-11-12 Nutool, Inc. Conductive structure for use in multi-level metallization and process
US7195696B2 (en) * 2000-05-11 2007-03-27 Novellus Systems, Inc. Electrode assembly for electrochemical processing of workpiece
US6478936B1 (en) 2000-05-11 2002-11-12 Nutool Inc. Anode assembly for plating and planarizing a conductive layer
US6695962B2 (en) 2001-05-01 2004-02-24 Nutool Inc. Anode designs for planar metal deposits with enhanced electrolyte solution blending and process of supplying electrolyte solution using such designs
EP1282911B1 (de) * 2000-05-15 2018-09-05 Asm International N.V. Verfahren zur herstellung integrierter schaltungen
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US7273535B2 (en) 2003-09-17 2007-09-25 Applied Materials, Inc. Insoluble anode with an auxiliary electrode
US20050145499A1 (en) * 2000-06-05 2005-07-07 Applied Materials, Inc. Plating of a thin metal seed layer
US6645550B1 (en) * 2000-06-22 2003-11-11 Applied Materials, Inc. Method of treating a substrate
US6454927B1 (en) 2000-06-26 2002-09-24 Applied Materials, Inc. Apparatus and method for electro chemical deposition
US20040079633A1 (en) * 2000-07-05 2004-04-29 Applied Materials, Inc. Apparatus for electro chemical deposition of copper metallization with the capability of in-situ thermal annealing
US7754061B2 (en) * 2000-08-10 2010-07-13 Novellus Systems, Inc. Method for controlling conductor deposition on predetermined portions of a wafer
US6921551B2 (en) 2000-08-10 2005-07-26 Asm Nutool, Inc. Plating method and apparatus for controlling deposition on predetermined portions of a workpiece
US6708074B1 (en) 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
US6555298B1 (en) * 2000-08-22 2003-04-29 Micron Technology, Inc. Method and apparatus for uniformly baking substrates such as photomasks
JP4644926B2 (ja) * 2000-10-13 2011-03-09 ソニー株式会社 半導体製造装置および半導体装置の製造方法
US7188142B2 (en) 2000-11-30 2007-03-06 Applied Materials, Inc. Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility
JP2004515918A (ja) * 2000-12-04 2004-05-27 株式会社荏原製作所 基板処理装置及びその方法
JP2002173794A (ja) * 2000-12-05 2002-06-21 Electroplating Eng Of Japan Co カップ式めっき装置
US6802946B2 (en) 2000-12-21 2004-10-12 Nutool Inc. Apparatus for controlling thickness uniformity of electroplated and electroetched layers
US7172497B2 (en) * 2001-01-05 2007-02-06 Asm Nutool, Inc. Fabrication of semiconductor interconnect structures
US6953392B2 (en) * 2001-01-05 2005-10-11 Asm Nutool, Inc. Integrated system for processing semiconductor wafers
US20040072423A1 (en) * 2001-01-12 2004-04-15 Jacob Jorne Methods and systems for electro-or electroless-plating of metal in high-aspect ratio features
US6866763B2 (en) * 2001-01-17 2005-03-15 Asm Nutool. Inc. Method and system monitoring and controlling film thickness profile during plating and electroetching
US6613200B2 (en) 2001-01-26 2003-09-02 Applied Materials, Inc. Electro-chemical plating with reduced thickness and integration with chemical mechanical polisher into a single platform
EP1233441A1 (de) * 2001-02-19 2002-08-21 Infineon Technologies SC300 GmbH & Co. KG Vorrichtung und Verfahren zur Verminderung von der Kontamination mit Partikeln auf einem Substrat in einer Prozesseinrichtung
US7204743B2 (en) * 2001-02-27 2007-04-17 Novellus Systems, Inc. Integrated circuit interconnect fabrication systems
US20040259348A1 (en) * 2001-02-27 2004-12-23 Basol Bulent M. Method of reducing post-CMP defectivity
TWI222154B (en) * 2001-02-27 2004-10-11 Asm Nutool Inc Integrated system for processing semiconductor wafers
US6740221B2 (en) 2001-03-15 2004-05-25 Applied Materials Inc. Method of forming copper interconnects
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US7334826B2 (en) * 2001-07-13 2008-02-26 Semitool, Inc. End-effectors for handling microelectronic wafers
US7281741B2 (en) * 2001-07-13 2007-10-16 Semitool, Inc. End-effectors for handling microelectronic workpieces
US6723224B2 (en) 2001-08-01 2004-04-20 Applied Materials Inc. Electro-chemical polishing apparatus
JP3958539B2 (ja) * 2001-08-02 2007-08-15 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US6950716B2 (en) 2001-08-13 2005-09-27 Applied Materials, Inc. Dynamic control of wafer processing paths in semiconductor manufacturing processes
EP1418619A4 (de) * 2001-08-13 2010-09-08 Ebara Corp Halbleiterbauelemente und herstellungsverfahren dafür und plattierungslösung
JP3944368B2 (ja) * 2001-09-05 2007-07-11 株式会社荏原製作所 基板処理装置及び基板処理方法
US6802947B2 (en) * 2001-10-16 2004-10-12 Applied Materials, Inc. Apparatus and method for electro chemical plating using backside electrical contacts
US6708701B2 (en) 2001-10-16 2004-03-23 Applied Materials Inc. Capillary ring
US6786996B2 (en) 2001-10-16 2004-09-07 Applied Materials Inc. Apparatus and method for edge bead removal
US6824612B2 (en) * 2001-12-26 2004-11-30 Applied Materials, Inc. Electroless plating system
KR100469912B1 (ko) * 2001-12-31 2005-02-02 주식회사 하이닉스반도체 반도체 소자의 증착 장치
US7239747B2 (en) * 2002-01-24 2007-07-03 Chatterbox Systems, Inc. Method and system for locating position in printed texts and delivering multimedia information
US6824666B2 (en) * 2002-01-28 2004-11-30 Applied Materials, Inc. Electroless deposition method over sub-micron apertures
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US20040089421A1 (en) * 2002-02-15 2004-05-13 Komandur Srinivasan M. Distributed control system for semiconductor manufacturing equipment
US6991710B2 (en) 2002-02-22 2006-01-31 Semitool, Inc. Apparatus for manually and automatically processing microelectronic workpieces
JP3902027B2 (ja) * 2002-03-01 2007-04-04 大日本スクリーン製造株式会社 基板処理装置
US20030199112A1 (en) 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
US6800833B2 (en) 2002-03-29 2004-10-05 Mariusch Gregor Electromagnetically levitated substrate support
US20030188974A1 (en) * 2002-04-03 2003-10-09 Applied Materials, Inc. Homogeneous copper-tin alloy plating for enhancement of electro-migration resistance in interconnects
US6905622B2 (en) * 2002-04-03 2005-06-14 Applied Materials, Inc. Electroless deposition method
US6899816B2 (en) * 2002-04-03 2005-05-31 Applied Materials, Inc. Electroless deposition method
US6672716B2 (en) * 2002-04-29 2004-01-06 Xerox Corporation Multiple portion solid ink stick
US6893505B2 (en) * 2002-05-08 2005-05-17 Semitool, Inc. Apparatus and method for regulating fluid flows, such as flows of electrochemical processing fluids
US20040007325A1 (en) * 2002-06-11 2004-01-15 Applied Materials, Inc. Integrated equipment set for forming a low K dielectric interconnect on a substrate
US6803309B2 (en) * 2002-07-03 2004-10-12 Taiwan Semiconductor Manufacturing Co., Ltd Method for depositing an adhesion/barrier layer to improve adhesion and contact resistance
US6875331B2 (en) * 2002-07-11 2005-04-05 Applied Materials, Inc. Anode isolation by diffusion differentials
US20070014656A1 (en) * 2002-07-11 2007-01-18 Harris Randy A End-effectors and associated control and guidance systems and methods
US20060043750A1 (en) * 2004-07-09 2006-03-02 Paul Wirth End-effectors for handling microfeature workpieces
US20040217005A1 (en) * 2002-07-24 2004-11-04 Aron Rosenfeld Method for electroplating bath chemistry control
US7128823B2 (en) * 2002-07-24 2006-10-31 Applied Materials, Inc. Anolyte for copper plating
US7223323B2 (en) * 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
US20040134775A1 (en) * 2002-07-24 2004-07-15 Applied Materials, Inc. Electrochemical processing cell
US7247222B2 (en) * 2002-07-24 2007-07-24 Applied Materials, Inc. Electrochemical processing cell
US20050040049A1 (en) * 2002-09-20 2005-02-24 Rimma Volodarsky Anode assembly for plating and planarizing a conductive layer
US20040118699A1 (en) * 2002-10-02 2004-06-24 Applied Materials, Inc. Homogeneous copper-palladium alloy plating for enhancement of electro-migration resistance in interconnects
US6821909B2 (en) * 2002-10-30 2004-11-23 Applied Materials, Inc. Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
US7272459B2 (en) 2002-11-15 2007-09-18 Applied Materials, Inc. Method, system and medium for controlling manufacture process having multivariate input parameters
US20040140217A1 (en) * 2003-01-22 2004-07-22 Applied Materials, Inc. Noble metal contacts for plating applications
DE10302611B4 (de) * 2003-01-23 2011-07-07 Siltronic AG, 81737 Polierte Halbleiterscheibe und Verfahren zu deren Herstellung und Anordnung bestehend aus einer Halbleiterscheibe und einem Schild
US7045040B2 (en) 2003-03-20 2006-05-16 Asm Nutool, Inc. Process and system for eliminating gas bubbles during electrochemical processing
US20070131563A1 (en) * 2003-04-14 2007-06-14 Asm Nutool, Inc. Means to improve center to edge uniformity of electrochemical mechanical processing of workpiece surface
US7311810B2 (en) * 2003-04-18 2007-12-25 Applied Materials, Inc. Two position anneal chamber
US20050050767A1 (en) * 2003-06-06 2005-03-10 Hanson Kyle M. Wet chemical processing chambers for processing microfeature workpieces
US20050035046A1 (en) * 2003-06-06 2005-02-17 Hanson Kyle M. Wet chemical processing chambers for processing microfeature workpieces
US20050063798A1 (en) * 2003-06-06 2005-03-24 Davis Jeffry Alan Interchangeable workpiece handling apparatus and associated tool for processing microfeature workpieces
US7390382B2 (en) * 2003-07-01 2008-06-24 Semitool, Inc. Reactors having multiple electrodes and/or enclosed reciprocating paddles, and associated methods
WO2004110698A2 (en) * 2003-06-06 2004-12-23 Semitool, Inc. Methods and systems for processing microfeature workpieces with flow agitators and/or multiple electrodes
US7393439B2 (en) * 2003-06-06 2008-07-01 Semitool, Inc. Integrated microfeature workpiece processing tools with registration systems for paddle reactors
US20070144912A1 (en) * 2003-07-01 2007-06-28 Woodruff Daniel J Linearly translating agitators for processing microfeature workpieces, and associated methods
WO2005033376A2 (en) * 2003-10-02 2005-04-14 Ebara Corporation Plating method and apparatus
US7827930B2 (en) 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7654221B2 (en) 2003-10-06 2010-02-02 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US20070111519A1 (en) * 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
US7465358B2 (en) * 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US7064065B2 (en) 2003-10-15 2006-06-20 Applied Materials, Inc. Silver under-layers for electroless cobalt alloys
US7722747B2 (en) 2003-10-22 2010-05-25 Nexx Systems, Inc. Method and apparatus for fluid processing a workpiece
US8536492B2 (en) * 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US20080090309A1 (en) * 2003-10-27 2008-04-17 Ranish Joseph M Controlled annealing method
US7205233B2 (en) 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
US20060003570A1 (en) * 2003-12-02 2006-01-05 Arulkumar Shanmugasundram Method and apparatus for electroless capping with vapor drying
KR100562302B1 (ko) * 2003-12-27 2006-03-22 동부아남반도체 주식회사 멀티 화학액 처리 단계를 이용한 랜덤 폴리머 제거 방법
US7256111B2 (en) * 2004-01-26 2007-08-14 Applied Materials, Inc. Pretreatment for electroless deposition
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7648622B2 (en) 2004-02-27 2010-01-19 Novellus Systems, Inc. System and method for electrochemical mechanical polishing
US7556839B2 (en) * 2004-03-29 2009-07-07 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device and apparatus for processing substrate
US7645364B2 (en) * 2004-06-30 2010-01-12 Lam Research Corporation Apparatus and method for plating semiconductor wafers
US20070020080A1 (en) * 2004-07-09 2007-01-25 Paul Wirth Transfer devices and methods for handling microfeature workpieces within an environment of a processing machine
US20060009047A1 (en) * 2004-07-09 2006-01-12 Wirth Paul Z Modular tool unit for processing microelectronic workpieces
US7155319B2 (en) * 2005-02-23 2006-12-26 Applied Materials, Inc. Closed loop control on liquid delivery system ECP slim cell
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
TW200734482A (en) 2005-03-18 2007-09-16 Applied Materials Inc Electroless deposition process on a contact containing silicon or silicide
TW200707640A (en) 2005-03-18 2007-02-16 Applied Materials Inc Contact metallization scheme using a barrier layer over a silicide layer
US7416975B2 (en) 2005-09-21 2008-08-26 Novellus Systems, Inc. Method of forming contact layers on substrates
EP1839695A1 (de) * 2006-03-31 2007-10-03 Debiotech S.A. Vorrichtung zur Injektion einer medizinischen Flüssigkeit
US8500985B2 (en) 2006-07-21 2013-08-06 Novellus Systems, Inc. Photoresist-free metal deposition
US8416383B2 (en) * 2006-12-13 2013-04-09 Asml Netherlands B.V. Lithographic apparatus and method
US20080171436A1 (en) * 2007-01-11 2008-07-17 Asm Genitech Korea Ltd. Methods of depositing a ruthenium film
JP4564973B2 (ja) * 2007-01-26 2010-10-20 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20080181758A1 (en) * 2007-01-29 2008-07-31 Woodruff Daniel J Microfeature workpiece transfer devices with rotational orientation sensors, and associated systems and methods
US20080178460A1 (en) * 2007-01-29 2008-07-31 Woodruff Daniel J Protected magnets and magnet shielding for processing microfeature workpieces, and associated systems and methods
US20080203083A1 (en) * 2007-02-28 2008-08-28 Wirth Paul Z Single wafer anneal processor
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
KR101544198B1 (ko) 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
CN101835922B (zh) * 2007-10-24 2012-05-02 Oc欧瑞康巴尔斯公司 用于制造工件的方法和装置
US7655564B2 (en) 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
US7799674B2 (en) 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
TWI417962B (zh) * 2008-03-20 2013-12-01 Acm Res Shanghai Inc 電沉積系統
CN101593667B (zh) * 2008-05-26 2011-03-23 中芯国际集成电路制造(北京)有限公司 提高在不同基底上沉积的介质层厚度一致性的方法
US8084104B2 (en) 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
DE102008045256A1 (de) * 2008-09-01 2010-03-04 Rena Gmbh Vorrichtung und Verfahren zur Nassbehandlung von unterschiedlichen Substraten
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
WO2010150590A1 (ja) 2009-06-24 2010-12-29 キヤノンアネルバ株式会社 真空加熱冷却装置および磁気抵抗素子の製造方法
US8329569B2 (en) 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
US9960312B2 (en) 2010-05-25 2018-05-01 Kurt H. Weiner Apparatus and methods for fast chemical electrodeposition for fabrication of solar cells
US8343327B2 (en) 2010-05-25 2013-01-01 Reel Solar, Inc. Apparatus and methods for fast chemical electrodeposition for fabrication of solar cells
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
ES2654943T3 (es) * 2010-07-15 2018-02-15 Luxembourg Institute Of Science And Technology (List) Sistema de manipulación automatizada de elementos maestros y sustrato
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
JP5795965B2 (ja) * 2011-05-30 2015-10-14 株式会社荏原製作所 めっき装置
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
CN102427046B (zh) * 2011-11-30 2013-08-14 中国科学院微电子研究所 一种电化学淀积结果确定方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9245767B2 (en) 2013-09-12 2016-01-26 Applied Materials, Inc. Anneal module for semiconductor wafers
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9945044B2 (en) * 2013-11-06 2018-04-17 Lam Research Corporation Method for uniform flow behavior in an electroplating cell
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
JP6462620B2 (ja) * 2016-03-29 2019-01-30 東京エレクトロン株式会社 基板処理装置および基板処理方法
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
JP6859075B2 (ja) * 2016-11-04 2021-04-14 株式会社東京精密 ウエハの搬送保持装置
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
TWI765936B (zh) 2016-11-29 2022-06-01 美商東京威力科創Fsi股份有限公司 用以對處理腔室中之微電子基板進行處理的平移與旋轉夾頭
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
WO2018140789A1 (en) 2017-01-27 2018-08-02 Tel Fsi, Inc. Systems and methods for rotating and translating a substrate in a process chamber
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
JP7023094B2 (ja) * 2017-12-05 2022-02-21 日本電産サンキョー株式会社 ロボット
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US11545387B2 (en) * 2018-07-13 2023-01-03 Tel Manufacturing And Engineering Of America, Inc. Magnetic integrated lift pin system for a chemical processing chamber
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11174564B2 (en) 2018-10-31 2021-11-16 Unison Industries, Llc Electroforming system and method
US11142840B2 (en) 2018-10-31 2021-10-12 Unison Industries, Llc Electroforming system and method
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11686208B2 (en) 2020-02-06 2023-06-27 Rolls-Royce Corporation Abrasive coating for high-temperature mechanical systems
CA3141101C (en) 2021-08-23 2023-10-17 Unison Industries, Llc Electroforming system and method

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3727620A (en) * 1970-03-18 1973-04-17 Fluoroware Of California Inc Rinsing and drying device
US3770598A (en) * 1972-01-21 1973-11-06 Oxy Metal Finishing Corp Electrodeposition of copper from acid baths
US4027686A (en) * 1973-01-02 1977-06-07 Texas Instruments Incorporated Method and apparatus for cleaning the surface of a semiconductor slice with a liquid spray of de-ionized water
GB1526076A (en) * 1975-03-11 1978-09-27 Oxy Metal Industries Corp Electrodeposition of copper
JPS5271871A (en) * 1975-12-11 1977-06-15 Nec Corp Washing apparatus
JPS5819350B2 (ja) * 1976-04-08 1983-04-18 富士写真フイルム株式会社 スピンコ−テイング方法
US4315059A (en) * 1980-07-18 1982-02-09 The United States Of America As Represented By The United States Department Of Energy Molten salt lithium cells
US4405416A (en) * 1980-07-18 1983-09-20 Raistrick Ian D Molten salt lithium cells
US4336114A (en) * 1981-03-26 1982-06-22 Hooker Chemicals & Plastics Corp. Electrodeposition of bright copper
US4376685A (en) * 1981-06-24 1983-03-15 M&T Chemicals Inc. Acid copper electroplating baths containing brightening and leveling additives
DE3272891D1 (en) * 1981-10-01 1986-10-02 Emi Ltd Electroplating arrangements
JPS58182823A (ja) * 1982-04-21 1983-10-25 Nec Corp 半導体ウエハ−のメツキ装置
US4489740A (en) * 1982-12-27 1984-12-25 General Signal Corporation Disc cleaning machine
US4428815A (en) * 1983-04-28 1984-01-31 Western Electric Co., Inc. Vacuum-type article holder and methods of supportively retaining articles
US4510176A (en) * 1983-09-26 1985-04-09 At&T Bell Laboratories Removal of coating from periphery of a semiconductor wafer
US4518678A (en) * 1983-12-16 1985-05-21 Advanced Micro Devices, Inc. Selective removal of coating material on a coated substrate
US4519846A (en) * 1984-03-08 1985-05-28 Seiichiro Aigo Process for washing and drying a semiconductor element
US4693805A (en) * 1986-02-14 1987-09-15 Boe Limited Method and apparatus for sputtering a dielectric target or for reactive sputtering
US4732785A (en) * 1986-09-26 1988-03-22 Motorola, Inc. Edge bead removal process for spin on films
JPS63118093A (ja) * 1986-11-05 1988-05-23 Tanaka Electron Ind Co Ltd 電子部品の錫めつき方法
US5235995A (en) * 1989-03-27 1993-08-17 Semitool, Inc. Semiconductor processor apparatus with dynamic wafer vapor treatment and particulate volatilization
US5230743A (en) * 1988-05-25 1993-07-27 Semitool, Inc. Method for single wafer processing in which a semiconductor wafer is contacted with a fluid
US5224504A (en) * 1988-05-25 1993-07-06 Semitool, Inc. Single wafer processor
US5092975A (en) * 1988-06-14 1992-03-03 Yamaha Corporation Metal plating apparatus
US5316974A (en) * 1988-12-19 1994-05-31 Texas Instruments Incorporated Integrated circuit copper metallization process using a lift-off seed layer and a thick-plated conductor layer
JPH02197599A (ja) * 1989-01-25 1990-08-06 Yamaha Motor Co Ltd 金属表面の化学処理装置
US5039381A (en) * 1989-05-25 1991-08-13 Mullarkey Edward J Method of electroplating a precious metal on a semiconductor device, integrated circuit or the like
US5055425A (en) * 1989-06-01 1991-10-08 Hewlett-Packard Company Stacked solid via formation in integrated circuit systems
US5162260A (en) * 1989-06-01 1992-11-10 Hewlett-Packard Company Stacked solid via formation in integrated circuit systems
JP2824280B2 (ja) * 1989-06-30 1998-11-11 ヤマハ発動機株式会社 ワークの表面処理装置
US5155336A (en) * 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US5222310A (en) * 1990-05-18 1993-06-29 Semitool, Inc. Single wafer processor with a frame
US5259407A (en) * 1990-06-15 1993-11-09 Matrix Inc. Surface treatment method and apparatus for a semiconductor wafer
US5252807A (en) * 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5256274A (en) * 1990-08-01 1993-10-26 Jaime Poris Selective metal electrodeposition process
US5368711A (en) * 1990-08-01 1994-11-29 Poris; Jaime Selective metal electrodeposition process and apparatus
JPH04131395A (ja) * 1990-09-21 1992-05-06 Toshiba Corp 半導体ウエハのメッキ方法及び装置
BE1005217A3 (fr) * 1990-10-08 1993-06-01 Four Industriel Belge Procede et dispositif de degraissage et de decapage de fils ou rubans metalliques ou d'elements analogues.
EP0496605B1 (de) * 1991-01-24 2001-08-01 Wako Pure Chemical Industries Ltd Lösungen zur Oberflächenbehandlung von Halbleitern
JP2697773B2 (ja) * 1991-03-11 1998-01-14 日本エレクトロプレイテイング・エンジニヤース 株式会社 メッキ方法
US5324684A (en) * 1992-02-25 1994-06-28 Ag Processing Technologies, Inc. Gas phase doping of semiconductor material in a cold-wall radiantly heated reactor under reduced pressure
JP3200468B2 (ja) * 1992-05-21 2001-08-20 日本エレクトロプレイテイング・エンジニヤース株式会社 ウエーハ用めっき装置
JP2654314B2 (ja) * 1992-06-04 1997-09-17 東京応化工業株式会社 裏面洗浄装置
JPH0617291A (ja) * 1992-07-03 1994-01-25 Nec Corp 金属めっき装置
US5328589A (en) * 1992-12-23 1994-07-12 Enthone-Omi, Inc. Functional fluid additives for acid copper electroplating baths
US5718813A (en) * 1992-12-30 1998-02-17 Advanced Energy Industries, Inc. Enhanced reactive DC sputtering system
US5608943A (en) * 1993-08-23 1997-03-11 Tokyo Electron Limited Apparatus for removing process liquid
US5415890A (en) * 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
US5625170A (en) * 1994-01-18 1997-04-29 Nanometrics Incorporated Precision weighing to monitor the thickness and uniformity of deposited or etched thin film
US5651865A (en) * 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5705223A (en) * 1994-07-26 1998-01-06 International Business Machine Corp. Method and apparatus for coating a semiconductor wafer
US5807469A (en) * 1995-09-27 1998-09-15 Intel Corporation Flexible continuous cathode contact circuit for electrolytic plating of C4, tab microbumps, and ultra large scale interconnects

Also Published As

Publication number Publication date
JP3527169B2 (ja) 2004-05-17
EP1037263A3 (de) 2001-01-24
KR100386714B1 (ko) 2003-06-09
KR20000062731A (ko) 2000-10-25
EP1037263B1 (de) 2004-08-18
US6136163A (en) 2000-10-24
TW500835B (en) 2002-09-01
DE60013009T2 (de) 2005-09-01
JP2001035813A (ja) 2001-02-09
SG82070A1 (en) 2001-07-24
EP1037263A2 (de) 2000-09-20

Similar Documents

Publication Publication Date Title
DE60013009D1 (de) Vorrichtung zur Kupfer-Elektroplattierung mit der Möglichkeit einer thermischen Behandlung
DE69924750D1 (de) Gerät zur thermischen behandlung von gewebe
DE69528303T2 (de) Vorrichtung zur thermischen Behandlung
DE60045386D1 (de) Gerät zur thermischen behandlung von bandscheiben
DE69928542D1 (de) 5ht2-agoniste zur behandlung des glaukoms
DE69941280D1 (de) Vorrichtung zur Behandlung von Stenosen an Verzweigungen
DE69739303D1 (de) Vorrichtung zur thermischen behandlung von gewebe
DE69827424D1 (de) Vorrichtung zur gesteuerten thermischen Behandlung von Gewebe
DE59712045D1 (de) Vorrichtung zur behandlung des herzens
DE69810926T2 (de) Schaltkreis zur Überwachung der Übernahme des Stimulationsreizes
DE69908884D1 (de) Gerät zum Erhöhen der Kühlung einer elektronischen Einrichtung
DE60019556D1 (de) 2-amino-benzoxazinonderivate zur behandlung von fettleibigkeit
DE69820358D1 (de) Vorrichtung zur Behandlung mit Laser
DE69936890D1 (de) Vorrichtung zur Behandlung von Ischämie
DE69711311T2 (de) Vorrichtung zur thermischen Behandlung von Gewebe
DE60238268D1 (de) Vorrichtung zur thermischen behandlung
DE60041245D1 (de) Vorrichtung zur herstellung von metallflocken
FI964585A0 (fi) Käsitelty alusta, jolla on parantuneet irrotusominaisuudet
DE60031790D1 (de) Rar-gamma selektive retinoid-agonisten zur behandlung von emphysemen
DE69913334D1 (de) Vorrichtung zur orthodontischen behandlung
DE50011325D1 (de) Vorrichtung zur Erkennung der Kreislaufwirkungen von Extrasystolen
DE69533245D1 (de) Vorrichtung zur elektrolytischen Behandlung
DE59904802D1 (de) Vorrichtung zur Behandlung von Biomolekülen
DE60025097D1 (de) Rührvorrichtung zur kontinuierlichen Behandlung von Metallschmelzen
ATE250580T1 (de) Substituierte pyridino-arylpiperazine zur behandlung benigner prostatahyperplasie

Legal Events

Date Code Title Description
8364 No opposition during term of opposition