DE60039293D1 - Reaktivionenstrahlätzenverfahren und nach diesem verfahren hergestellter dünnfilmkopf - Google Patents

Reaktivionenstrahlätzenverfahren und nach diesem verfahren hergestellter dünnfilmkopf

Info

Publication number
DE60039293D1
DE60039293D1 DE60039293T DE60039293T DE60039293D1 DE 60039293 D1 DE60039293 D1 DE 60039293D1 DE 60039293 T DE60039293 T DE 60039293T DE 60039293 T DE60039293 T DE 60039293T DE 60039293 D1 DE60039293 D1 DE 60039293D1
Authority
DE
Germany
Prior art keywords
species
ion source
plasma
ion beam
carbonaceous
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE60039293T
Other languages
English (en)
Inventor
Kurt E Williams
Boris L Druz
Danielle S Hines
John F Londono
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Veeco Instruments Inc
Original Assignee
Veeco Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Veeco Instruments Inc filed Critical Veeco Instruments Inc
Application granted granted Critical
Publication of DE60039293D1 publication Critical patent/DE60039293D1/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/127Structure or manufacture of heads, e.g. inductive
    • G11B5/31Structure or manufacture of heads, e.g. inductive using thin films
    • G11B5/3163Fabrication methods or processes specially adapted for a particular head structure, e.g. using base layers for electroplating, using functional layers for masking, using energy or particle beams for shaping the structure or modifying the properties of the basic layers
DE60039293T 1999-03-30 2000-03-30 Reaktivionenstrahlätzenverfahren und nach diesem verfahren hergestellter dünnfilmkopf Expired - Fee Related DE60039293D1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/281,663 US6238582B1 (en) 1999-03-30 1999-03-30 Reactive ion beam etching method and a thin film head fabricated using the method
PCT/US2000/008400 WO2000058953A2 (en) 1999-03-30 2000-03-30 Reactive ion beam etching method and a thin film head fabricated using the method

Publications (1)

Publication Number Publication Date
DE60039293D1 true DE60039293D1 (de) 2008-08-07

Family

ID=23078272

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60039293T Expired - Fee Related DE60039293D1 (de) 1999-03-30 2000-03-30 Reaktivionenstrahlätzenverfahren und nach diesem verfahren hergestellter dünnfilmkopf

Country Status (7)

Country Link
US (1) US6238582B1 (de)
EP (1) EP1183684B1 (de)
JP (1) JP2002540548A (de)
AT (1) ATE399363T1 (de)
AU (1) AU4047500A (de)
DE (1) DE60039293D1 (de)
WO (1) WO2000058953A2 (de)

Families Citing this family (227)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2764110B1 (fr) * 1997-05-28 1999-08-20 Univ Paris Curie Dispositif et procede de gravure par ions
JP2001284252A (ja) * 2000-03-30 2001-10-12 Sanyo Electric Co Ltd 半導体装置及びその製造方法
TW504713B (en) * 2000-04-28 2002-10-01 Motorola Inc Magnetic element with insulating veils and fabricating method thereof
US6723252B1 (en) * 2000-06-16 2004-04-20 International Business Machines Corporation Magnetic head P1 magnetic pole notching with reduced polymer deposition
US6574854B1 (en) * 2000-06-27 2003-06-10 International Business Machines Corporation Method for reducing magnetic head write gap curvature of a plated write gap
US6503406B1 (en) * 2000-08-07 2003-01-07 International Business Machines Corporation Method for forming the air bearing surface of a slider using nonreactive plasma
US6676843B2 (en) * 2001-04-26 2004-01-13 Hewlett-Packard Development Company, L.P. Magnetically patterning conductors
JP2005527101A (ja) * 2001-08-21 2005-09-08 シーゲイト テクノロジー エルエルシー 炭素ベースのガスを用いる磁気薄膜のイオンビームエッチング選択性の向上
US6783629B2 (en) 2002-03-11 2004-08-31 Yuri Glukhoy Plasma treatment apparatus with improved uniformity of treatment and method for improving uniformity of plasma treatment
US7279046B2 (en) * 2002-03-27 2007-10-09 Nanoink, Inc. Method and apparatus for aligning patterns on a substrate
US20030200835A1 (en) * 2002-04-02 2003-10-30 Snecma Services Diffusion-brazing filler powder for parts made of an alloy based on nickel, cobalt or iron
US20040011380A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US20040014327A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US7357138B2 (en) * 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US20040045671A1 (en) * 2002-09-10 2004-03-11 Ed Rejda Selective etching device
KR100898924B1 (ko) * 2002-10-24 2009-05-26 엘지전자 주식회사 초소형 렌즈의 비구면 가공방법 및 그를 이용한 초소형렌즈의제조방법
KR100484949B1 (ko) * 2002-12-27 2005-04-22 엘지.필립스 엘시디 주식회사 배향막을 형성하기 위한 이온빔 조사 장치
KR100478498B1 (ko) * 2003-01-30 2005-03-28 동부아남반도체 주식회사 반도체 소자의 금속 배선 형성 방법
JP4155093B2 (ja) * 2003-03-04 2008-09-24 株式会社島津製作所 イオン源およびイオンビーム装置
AT412719B (de) * 2003-06-16 2005-06-27 Eckelt Glas Gmbh Verfahren und vorrichtung zum bereichsweisen entschichten von glasscheiben
JP4111274B2 (ja) * 2003-07-24 2008-07-02 キヤノンアネルバ株式会社 磁性材料のドライエッチング方法
US9206500B2 (en) * 2003-08-11 2015-12-08 Boris Druz Method and apparatus for surface processing of a substrate using an energetic particle beam
US7879201B2 (en) * 2003-08-11 2011-02-01 Veeco Instruments Inc. Method and apparatus for surface processing of a substrate
US7055263B2 (en) * 2003-11-25 2006-06-06 Air Products And Chemicals, Inc. Method for cleaning deposition chambers for high dielectric constant materials
US7039300B2 (en) * 2003-12-19 2006-05-02 Carrier Corporation Identification of electric heater capacity
US7563381B2 (en) * 2004-04-30 2009-07-21 Hitachi Global Storage Technologies Netherlands B.V. High milling resistance write pole fabrication method for perpendicular recording
US7186348B2 (en) * 2004-06-30 2007-03-06 Hitachi Global Storage Technologies Netherlands B.V. Method for fabricating a pole tip in a magnetic transducer
KR100653701B1 (ko) * 2004-08-20 2006-12-04 삼성전자주식회사 반도체 소자의 작은 비아 구조체 형성방법 및 이를 사용한상변화 기억 소자의 제조방법
ES2302668T3 (es) * 2004-11-19 2009-04-01 Vetrotech Saint-Gobain (International) Ag Procedimiento y dispositivo para trabajar placas de vidrio por bandas y por zonas superficiales.
JP4634874B2 (ja) * 2005-06-28 2011-02-16 株式会社東芝 磁気記録媒体の製造方法
EP1926158B1 (de) * 2005-09-13 2016-04-27 Canon Anelva Corporation Verfahren und vorrichtung zur herstellung einer magnetoresistiven vorrichtung
US20070084564A1 (en) * 2005-10-13 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method
JP2008016084A (ja) * 2006-07-03 2008-01-24 Toshiba Corp 磁気記録媒体の製造方法
US7615385B2 (en) * 2006-09-20 2009-11-10 Hypres, Inc Double-masking technique for increasing fabrication yield in superconducting electronics
JP4296204B2 (ja) * 2007-03-26 2009-07-15 株式会社東芝 磁気記録媒体
JP2008282512A (ja) * 2007-05-14 2008-11-20 Toshiba Corp 磁気記録媒体及び磁気記録再生装置
JP4382843B2 (ja) 2007-09-26 2009-12-16 株式会社東芝 磁気記録媒体およびその製造方法
US20090273859A1 (en) * 2008-05-02 2009-11-05 Flint Eric W Forming a pole tip topography
US8749053B2 (en) 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
CN102598130A (zh) * 2009-08-26 2012-07-18 威科仪器股份有限公司 用于在磁记录介质上制作图案的系统
JP2011096316A (ja) * 2009-10-29 2011-05-12 Ulvac Japan Ltd 磁気記録ヘッドの製造方法
US8501629B2 (en) * 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
FR2957454B1 (fr) * 2010-03-09 2013-05-17 Essilor Int Procede de conditionnement d'un canon a ions
US8343363B1 (en) 2010-03-10 2013-01-01 Western Digital (Fremont), Llc Method and system for fabricating a cavity in a substrate of a magnetic recording head
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
DE112012001287B4 (de) * 2011-03-18 2016-01-21 Denka Company Limited Gehäuse und Verfahren zum Handhaben einer Elektronenkanone oder Ionenkanone
US8597528B1 (en) * 2011-03-30 2013-12-03 Western Digital (Fremont), Llc Method and system for defining a read sensor using an ion mill planarization
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8533937B1 (en) 2011-10-18 2013-09-17 Western Digital (Fremont), Llc Method of forming a fully wrapped-around shielded PMR writer pole
CN106847736B (zh) 2011-11-08 2020-08-11 因特瓦克公司 基板处理系统和方法
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
KR101654661B1 (ko) * 2012-06-29 2016-09-07 캐논 아네르바 가부시키가이샤 이온빔 처리 방법 및 이온빔 처리 장치
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP5575198B2 (ja) * 2012-09-25 2014-08-20 株式会社東芝 磁気抵抗効果素子の製造方法及び磁気抵抗効果素子の製造装置
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
TWI570745B (zh) 2012-12-19 2017-02-11 因特瓦克公司 用於電漿離子植入之柵極
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9899227B2 (en) * 2013-02-20 2018-02-20 Lam Research Corporation System, method and apparatus for ion milling in a plasma etch chamber
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
CN105340050B (zh) * 2013-07-29 2017-06-06 株式会社日立高新技术 离子铣削装置以及使用离子铣削装置的加工方法
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9934981B2 (en) 2013-09-26 2018-04-03 Varian Semiconductor Equipment Associates, Inc. Techniques for processing substrates using directional reactive ion etching
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9135930B1 (en) 2014-03-06 2015-09-15 Western Digital (Fremont), Llc Method for fabricating a magnetic write pole using vacuum deposition
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR101943553B1 (ko) 2014-11-25 2019-04-18 삼성전자주식회사 좌우 대칭의 이온 빔을 이용한 패턴 형성 방법, 이를 이용한 자기 기억 소자의 제조방법, 및 좌우 대칭의 이온 빔을 발생시키는 이온 빔 장비
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10008384B2 (en) 2015-06-25 2018-06-26 Varian Semiconductor Equipment Associates, Inc. Techniques to engineer nanoscale patterned features using ions
US10280512B2 (en) 2015-07-27 2019-05-07 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for carbon film deposition profile control
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6613207B2 (ja) * 2015-11-13 2019-11-27 東京エレクトロン株式会社 被処理体をエッチングする方法
WO2017082373A1 (ja) * 2015-11-13 2017-05-18 東京エレクトロン株式会社 被処理体をエッチングする方法
US9984889B2 (en) 2016-03-08 2018-05-29 Varian Semiconductor Equipment Associates, Inc. Techniques for manipulating patterned features using ions
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9886973B2 (en) * 2016-06-30 2018-02-06 Western Digital Technologies, Inc. Surface treatment of magnetic recording heads for improving the robustness thereof
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10229832B2 (en) 2016-09-22 2019-03-12 Varian Semiconductor Equipment Associates, Inc. Techniques for forming patterned features using directional ions
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR101939481B1 (ko) * 2017-07-27 2019-01-16 성균관대학교산학협력단 이온빔 식각 장치
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10502958B2 (en) 2017-10-30 2019-12-10 Facebook Technologies, Llc H2-assisted slanted etching of high refractive index material
EP3477347A1 (de) * 2017-10-30 2019-05-01 Facebook Technologies, LLC Erhöhung der reaktivität in einem ionenstrahlätzer
US10684407B2 (en) 2017-10-30 2020-06-16 Facebook Technologies, Llc Reactivity enhancement in ion beam etcher
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
KR102273970B1 (ko) * 2017-12-26 2021-07-07 주식회사 엘지화학 파라데이 상자를 이용한 플라즈마 식각 방법
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10914954B2 (en) 2018-08-03 2021-02-09 Facebook Technologies, Llc Rainbow reduction for waveguide displays
US10761330B2 (en) 2018-01-23 2020-09-01 Facebook Technologies, Llc Rainbow reduction in waveguide displays
US10845596B2 (en) 2018-01-23 2020-11-24 Facebook Technologies, Llc Slanted surface relief grating for rainbow reduction in waveguide display
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10649119B2 (en) 2018-07-16 2020-05-12 Facebook Technologies, Llc Duty cycle, depth, and surface energy control in nano fabrication
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11137536B2 (en) 2018-07-26 2021-10-05 Facebook Technologies, Llc Bragg-like gratings on high refractive index material
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11150394B2 (en) 2019-01-31 2021-10-19 Facebook Technologies, Llc Duty cycle range increase for waveguide combiners
US11391950B2 (en) 2019-06-26 2022-07-19 Meta Platforms Technologies, Llc Techniques for controlling effective refractive index of gratings
US11226446B2 (en) 2020-05-06 2022-01-18 Facebook Technologies, Llc Hydrogen/nitrogen doping and chemically assisted etching of high refractive index gratings
US20230031722A1 (en) * 2021-07-23 2023-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Voltage Control for Etching Systems

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3913320A (en) 1974-11-13 1975-10-21 Ion Tech Inc Electron-bombardment ion sources
US4142958A (en) 1978-04-13 1979-03-06 Litton Systems, Inc. Method for fabricating multi-layer optical films
JPH061769B2 (ja) * 1983-08-10 1994-01-05 株式会社日立製作所 アルミナ膜のパターニング方法
JPS60133516A (ja) 1983-12-22 1985-07-16 Hitachi Ltd 薄膜磁気ヘツドの製造方法
US4490229A (en) 1984-07-09 1984-12-25 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Deposition of diamondlike carbon films
JPS62256208A (ja) * 1986-04-28 1987-11-07 Tdk Corp 薄膜磁気ヘツドのギヤツプ部の構造
US4739214A (en) 1986-11-13 1988-04-19 Anatech Ltd. Dynamic electron emitter
EP0287920B1 (de) 1987-04-22 1991-05-15 Siemens Aktiengesellschaft Kolbenpumpe für ein Medikamentendosiergerät
JPH06105668B2 (ja) * 1987-06-23 1994-12-21 株式会社日立製作所 磁性薄膜の製造方法
US4778561A (en) 1987-10-30 1988-10-18 Veeco Instruments, Inc. Electron cyclotron resonance plasma source
GB8905073D0 (en) 1989-03-06 1989-04-19 Nordiko Ltd Ion gun
EP0416774B1 (de) 1989-08-28 2000-11-15 Hitachi, Ltd. Verfahren zur Behandlung eines Aluminium enthaltenden Musters
US5198634A (en) 1990-05-21 1993-03-30 Mattson Brad S Plasma contamination removal process
JP2747099B2 (ja) * 1990-08-21 1998-05-06 株式会社日立製作所 薄膜磁気ヘツド
US5274306A (en) 1990-08-31 1993-12-28 Kaufman & Robinson, Inc. Capacitively coupled radiofrequency plasma source
US5455081A (en) * 1990-09-25 1995-10-03 Nippon Steel Corporation Process for coating diamond-like carbon film and coated thin strip
JPH05102101A (ja) * 1991-02-15 1993-04-23 Fujitsu Ltd 半導体装置の製造方法
DE4202158C1 (de) 1992-01-27 1993-07-22 Siemens Ag, 8000 Muenchen, De
JPH0677181A (ja) * 1992-08-26 1994-03-18 Matsushita Electric Ind Co Ltd 化合物半導体の微細構造形成方法
US5508368A (en) 1994-03-03 1996-04-16 Diamonex, Incorporated Ion beam process for deposition of highly abrasion-resistant coatings
US5438747A (en) 1994-03-09 1995-08-08 International Business Machines Corporation Method of making a thin film merged MR head with aligned pole tips
US5607599A (en) 1994-11-17 1997-03-04 Kabushiki Kaisha Toshiba Method of processing a magnetic thin film
US5916424A (en) * 1996-04-19 1999-06-29 Micrion Corporation Thin film magnetic recording heads and systems and methods for manufacturing the same
US5874010A (en) * 1996-07-17 1999-02-23 Headway Technologies, Inc. Pole trimming technique for high data rate thin film heads
JP3349925B2 (ja) 1996-09-10 2002-11-25 アルプス電気株式会社 薄膜磁気ヘッドの製造方法
JP2953401B2 (ja) 1996-10-04 1999-09-27 日本電気株式会社 磁気抵抗効果型複合ヘッドの製造方法
TW373268B (en) 1997-02-21 1999-11-01 Applied Materials Inc Low temperature etch process utilizing power splitting between electrodes in AN RF plasma reactor
US5878481A (en) 1997-04-28 1999-03-09 Headway Technologies, Inc. Pole trimming method for fabricating a magnetic transducer structure
US5867890A (en) 1997-12-17 1999-02-09 International Business Machines Corporation Method for making a thin film merged magnetoresistive read/inductive write head having a pedestal pole tip
US5996213A (en) * 1998-01-30 1999-12-07 Read-Rite Corporation Thin film MR head and method of making wherein pole trim takes place at the wafer level
JP2000251221A (ja) * 1999-02-26 2000-09-14 Sumitomo Metal Ind Ltd 薄膜磁気ヘッドの製造方法及びその装置

Also Published As

Publication number Publication date
EP1183684A2 (de) 2002-03-06
JP2002540548A (ja) 2002-11-26
ATE399363T1 (de) 2008-07-15
WO2000058953A3 (en) 2001-04-26
WO2000058953A2 (en) 2000-10-05
AU4047500A (en) 2000-10-16
US6238582B1 (en) 2001-05-29
EP1183684B1 (de) 2008-06-25

Similar Documents

Publication Publication Date Title
DE60039293D1 (de) Reaktivionenstrahlätzenverfahren und nach diesem verfahren hergestellter dünnfilmkopf
US4497684A (en) Lift-off process for depositing metal on a substrate
JP4847635B2 (ja) 種々の基板を異方性プラズマ加工する方法
US7056809B2 (en) Method for ion treating a semiconductor material for subsequent bonding
JPH04128394A (ja) 微細構造物の製造方法
JPH022102A (ja) 半導体素子の製造方法
US4750979A (en) Process for etching lithium niobate based devices without damaging optical waveguides
US20160329067A1 (en) Plasma treatments for flexures of hard disk drives
KR0151165B1 (ko) 다이아몬드 미세가공 방법
CN110534429A (zh) 一种超导薄膜及其制备方法
JPS61119676A (ja) シ−トプラズマとレ−ザ光を利用した成膜装置
CN112366127B (zh) 固态掩膜聚焦的大气压低温等离子体射流加工方法及应用
JP2698416B2 (ja) 3次元光導波路クラッド膜の形成方法
WO2003046248A3 (fr) Procede perfectionne de revetement d'un support
JP3478739B2 (ja) イオンビーム加工方法およびそれによる被加工物
JPS5666038A (en) Formation of micro-pattern
JPS6399535A (ja) 半導体装置の製造方法
JPS6424422A (en) Formation of fine pattern
GB2207395A (en) Producing a pattern in a material
JP2002284545A (ja) ドライエッチング法
Nguyen et al. On the formation of black silicon in SF
JPH01242775A (ja) 薄膜形成方法及び薄膜形成装置
JPH03110563A (ja) パターン形成方法
JPH0828549B2 (ja) 半導体装置の製造方法
JPS6474728A (en) Dry etching method

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee