DE60112354T2 - Cvd-synthese von siliziumnitridmaterialien - Google Patents

Cvd-synthese von siliziumnitridmaterialien Download PDF

Info

Publication number
DE60112354T2
DE60112354T2 DE60112354T DE60112354T DE60112354T2 DE 60112354 T2 DE60112354 T2 DE 60112354T2 DE 60112354 T DE60112354 T DE 60112354T DE 60112354 T DE60112354 T DE 60112354T DE 60112354 T2 DE60112354 T2 DE 60112354T2
Authority
DE
Germany
Prior art keywords
silicon nitride
chemical
silicon
source
precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE60112354T
Other languages
English (en)
Other versions
DE60112354D1 (de
Inventor
Michael A. Phoenix Todd
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Japan KK
Original Assignee
ASM Japan KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Japan KK filed Critical ASM Japan KK
Application granted granted Critical
Publication of DE60112354D1 publication Critical patent/DE60112354D1/de
Publication of DE60112354T2 publication Critical patent/DE60112354T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides

Description

  • Hintergrund der Erfindung
  • Gebiet der Erfindung
  • Diese Erfindung betrifft allgemein Abscheidungsverfahren zur Herstellung von Siliziumnitridmaterialien, die in der Halbleiterindustrie brauchbar sind.
  • Beschreibung des verwandten Standes der Technik
  • Siliziumnitridmaterialien werden in großem Umfang in der Halbleiterindustrie als Gate-Dielektrika für amorphes Silizium und Halbleitertransistoren aus III-V-Verbindungen, Isolatoren zwischen Metallkonzentrationen, Masken zur Verhinderung von Oxidation und Diffusion, Ätzmasken in Photoresiststrukturen mit mehreren Höhen, Passivierungsschichten und als Abstandshaltermaterialien in Transistoren verwendet.
  • Siliziumnitridmaterialien werden typischerweise auf dem Substrat durch Zersetzung chemischer Vorläufer in Gegenwart des Substrates abgeschieden. Die Eigenschaften des abgeschiedenen Siliziumnitridmaterials hängen allgemein von der Abscheidungsmethode ab. Für eine Anzahl von Halbleiteranwendungen ist niedriger Wasserstoffgehalt ("H-Gehalt") erwünscht. Herkömmliches Siliziumnitrid soll 20–30 Atom-% Wasserstoff gemäß US-4,854,263 enthalten.
  • Eine Reihe von Methoden wird verwendet, um Siliziumnitridfilme abzuscheiden, einschließlich thermischer und chemischer Abscheidung aus der Dampfphase ("thermisches CVD") und plasmagestützter chemischer Dampfabscheidung ("PECVD"). Es ist allgemein bekannt, daß Siliziumnitrid mit niedrigem H-Gehalt durch thermisches CVD unter Verwendung hoher Abscheidungstemperaturen abgeschieden werden kann. Beispielsweise beschreibt die US-Patentschrift 5,326,649 die Verwendung von NH3 (Ammoniak) als die Stickstoffquelle und SiH4 (Silan) als die Siliziumquelle, um die Siliziumnitridmaterialien mit niedrigem H-Gehalt bei Temperaturen im Bereich von 900° bis 1500°C herzustellen. Thermische CVD-Methoden, die Ammoniak und Silan benutzen, sind offensichtlich begünstigt, da jene Vorstufen in großem Umfang verfügbar und relativ billig sind. Solche Hochtemperaturmethoden können jedoch unverträglich mit vielen Verfahrensschritten in dem Halbleiterherstellungsverfahren sein. Thermisches CVD unter Verwendung von Ammoniak und Silan bei niedrigeren Temperaturen führt allgemein zu Siliziumnitridfilmen mit relativ hohem H-Gehalt. Die US-Patentschrift 4,720,395 beschreibt die Verwendung von NF3 (Stickstofftrifluorid) und H3SiSiH3 (Disilan) in einem Molverhältnis von etwa 0,5 bis etwa 3,0, um Siliziumnitrid bei einer Temperatur im Bereich von 250° bis 500°C abzuscheiden. Der H-Gehalt der nach diesem Verfahren hergestellten Filme ist nicht beschrieben, doch ist es wahrscheinlich, daß er relativ hoch ist wegen niedriger Abscheidungstemperaturen und des relativ hohen H-Gehaltes von Disilan.
  • Verschiedene PECVD-Techniken benutzen Vorstufen, die signifikante Mengen an Wasserstoff enthalten. Die JP 62253771A beschreibt eine PECVD-Technik zur Abscheidung von Siliziumnitrid unter Verwendung von HnSi(NH2)4-n, worin n 1, 2 oder 3 ist. Die US-Patentschrift 5,731,238 verwendet eine Düsendampfabscheidung unter Verwendung von Silan und N2 (Stickstoff) als Vorstufe zur Herstellung von Siliziumnitrid. Die Siliziumnitrid PECVD-Methode der JP 6338497A benutzt (SiH3)3N (Trisilylamin) und Ammoniak, um Siliziumnitrid- und Oxynitridfilme herzustellen. Wenn Ammoniak als die Stickstoffquelle verwendet wird, findet man bekanntermaßen, daß mit PECVD Siliziumnitrid mit allgemein höheren Wasserstoffgehalten erzeugt wurden. Beispielsweise gibt die US-Patentschrift 4,854,263 an, daß als eine Folge der Verwendung von Ammoniak, um annehmbare Abscheidungsgeschwindigkeiten und annehmbaren Durchsatz zu erhalten, die Wasserstoffkonzentration in dem abgeschiedenen Siliziumnitrid recht hoch, wie 25–30 Atom-%, sein kann.
  • Es wurden Versuche unternommen, den H-Gehalt durch Eliminieren von Ammoniak aus dem Abscheidungsverfahren zu vermindern. Beispielsweise beschreibt die US-Patentschrift 4,854,263 ein Verfahren zur Herstellung eines Siliziumnitridfilmes mit einem Wasserstoffgehalt von 5–7 Atom-% durch Verwendung von SiH4/N2/NF3 in einem PECVD-Verfahren, das einen speziellen Einlaßgasverteiler benutzt. Die US-Patentschrift 4,854,263 beschreibt auch ein ähnliches Verfahren zur Herstellung von Siliziumoxynitridfilmen mit einem Wasserstoffgehalt von weniger als 6 Atom-% unter Verwendung von SiH4/N2/N2O. Die WO 00/03425 beschreibt ein PECVD-Verfahren, das Silan und Stickstoff verwendet. Die PECVD-Methode, die in der US-Patentschrift 5,508,067 beschrieben ist, benutzt ein Vorstufengasgemisch, welches ein anorganisches Silan, ein stickstoffhaltiges Organosilan und ein stickstoffhaltiges Gas enthält. Verschiedene Vorstufen werden erwähnt, von denen einige Wasserstoff enthalten und einige nicht, doch ist die Abscheidung von Siliziumnitrid unter Verwendung eines wasserstoffhaltigen Vorstufengemisches von (CH3)3NN(CH3)3 (Hexamethyldisilazen), Silan, Ammoniak und Stickstoff exemplarisch.
  • Probleme wurden festgestellt, wenn vollständig wasserstofffreie Vorstufen verwendet werden, um Siliziumnitrid mit niedrigem H-Gehalt herzustellen. Die US-Patentschrift 4,481,229 diskutiert die Ätzprobleme, die auftreten, wenn man versucht, wasserstofffreie Filme unter Verwendung von Siliziumhalogenidgasen, wie SiF4, SiCl4, SiF3Cl oder SiBr4 anstelle von SiH4 und Bedeutungen, um eine Lösung in der Form einer speziellen Plasmatechnik zu bekommen. Jenes Patent beschreibt die Abscheidung eines Si-N-Filmes unter Verwendung von SiF4 und N2 oder NF3 als Vorstufen. Die US-Patentschrift 4,737,379 stellt jedoch fest, daß praktische Abscheidungssysteme, die diese Technik benutzen, die Erzeugung und Steuerung eines gleich mäßigen, großen Magnetfeldes in dem gesamten Plasmavolumen erfordert. Die US-Patentschrift 4,737,379 stellt auch fest, daß ein solches System die Verwendung von Mikrowellenabstimmung und Applikatortechnologie, welche in der Lage sind, Mikrowellenenergie in eine Plasmaimpedanz einzubinden, welche schnell nahe der Resourcenbedingung ist. Gemäß der US 4,737,379 haben die zusätzlichen technologischen Komplikationen, die von diesen Erfordernissen stammen, signifikante wirtschaftliche Folgen für die Herstellung von diese Technik anwendenden Maschinen. So scheint die Technik nicht die US 4,481,229 zu kennen als eine zufriedenstellende Methode zur Herstellung von Siliziumnitridmaterialien mit niedrigem H-Gehalt. Die PECVD-Methode, die in der US 4,737,379 zur Herstellung von Filmen mit niedrigem H-Gehalt beschrieben ist, verwendet ein Beschickungsgas, das wasserstofffrei ist, d.h. frei von gebundenem Wasserstoff, von Silanen, teilweise halogenierten Silanen und teilweise substituierten Kohlenwasserstoffen. Wasserstofffreies Siliziumnitrid ist nicht immer erwünscht, doch so, daß das Patent die Verwendung von molekularem Wasserstoff (H2) beschreibt, um die Eigenschaften des abgeschiedenen Filmes zu modifizieren.
  • Es bleibt ein Bedarf an Siliziumnitridmaterialien mit niedrigem H-Gehalt und mit besseren Eigenschaften, die besser geeignet für die Verwendung in Mikroelektronikherstellung und für Verfahren zur Erzeugung solcher Materialien sind, die leicht in Herstellungs-Prozeßströme integriert werden können.
  • Zusammenfassung der Erfindung
  • Der Erfinder fand verschiedene Wege der Abscheidung von Siliziumnitridmaterialien auf Oberflächen. Bei bevorzugten Ausführungsformen haben die abgeschiedenen Siliziumnitridmaterialien einen relativ niedrigen Wasserstoffgehalt. Solche Siliziumnitridmaterialien und Verfahren sind besonders brauchbar zur Herstellung von Mikroelektronikeinrichtungen, wie integrierten Schaltungen.
  • Gemäß der vorliegenden Erfindung bekommt man ein Verfahren zur Abscheidung eines Siliziumnitridmaterials aus Vorstufen in der Dampfphase auf einer Oberfläche, indem man eine Abscheidungskammer mit darin angeordnetem Substrat vorsieht und in diese Kammer eine chemische Vorstufe einführt und dabei ein Siliziumnitridmaterial auf dem Substrat durch thermische Zersetzung abscheidet, worin die chemische Vorstufe aus der Gruppe ausgewählt wird, die aus (X3Si)3N, (X3Si)2N-N(SiX3)2, (X3Si)N=N(SiX3) und (R3-mSiXm)3N besteht, worin m 0, 1 oder 2 ist, worin jedes X individuell aus der Gruppe F, Cl, Br, H und D ausgewählt ist und worin jedes R individuell aus der Gruppe Methyl, Ethyl, Phenyl und Tertiärbutyl ausgewählt ist.
  • Verschiedene Ausführungsformen sind in weiteren Einzelheiten nachfolgend beschrieben.
  • Detaillierte Beschreibung der bevorzugten Ausführungsformen
  • Wie hier verwendet, umfassen Siliziumnitridmaterialien vorherrschend die Elemente Silizium und Stickstoff. Das Silizium- und Stickstoffgewicht zusammen ist in Silizium-Stickstoff-Materialien vorzugsweise wenigstens etwa 50%, stärker bevorzugt wenigstens bei 65 Gew.-%, auf Basis des Gesamtgewichtes. Das Verhältnis von Silizium- zu Stickstoffatomen (Si:N) in Siliziumnitridmaterialien ist vorzugsweise im Bereich von 2.000:1 bis 3:5, stärker bevorzugt im Bereich von etwa 1.000:1 bis 3:4. Siliziumnitridmaterialien können auch Legierungen sein, die zusätzliche Elemente, wie Kohlenstoff, Sauerstoff und Germanium, enthalten. Bevorzugte Bereiche für Si, N, C, O und Ge in Siliziumnitridmaterialien sind in der Tabelle 1 auf Gewichtsprozentgrundlage, bezogen auf das Gesamtgewicht, gezeigt. Tabelle 1 ist so zu lesen, als wenn das Wort "etwa" je Zahl vorweggesetzt würde. TABELLE 1
    Figure 00040001
  • Begriffe, wie Si-N ("Siliziumnitrid"), Si-O-N ("Siliziumoxynitrid"), Si-C-N ("Siliziumcarbonitrid") und Si-O-C-N ("Siliziumoxycarbonitrid") werden oftmals verwendet, um Siliziumnitridmaterialien zu bezeichnen. Diese Begriffe sind keine chemischen Formeln im üblichen Sinne, da sie nicht die Gesamtstöchiometrie des Materials angeben, welches sie bezeichnen. Si-N ist ein Siliziumnitridmaterial, das wenigstens die Elemente Silizium und Stickstoff enthält und auch zusätzliche Elemente enthalten kann. Gleichermaßen ist Si-O-N ein Siliziumnitridmaterial, das wenigstens ein Element Silizium, Sauerstoff und Stickstoff enthält und auch zusätzliche Elemente enthalten kann. Auch Si-C-N ist ein Siliziumnitridmaterial, das wenigstens die Elemente Silizium, Kohlenstoff und Stickstoff enthält und auch zusätzliche Elemente enthalten kann. Ähnlich ist Si-O-C-N ein siliziumhaltiges Nitridmaterial, das wenigstens die Elemente Silizium, Sauerstoff, Kohlenstoff und Stickstoff enthält und auch weitere Elemente enthalten kann.
  • Siliziumnitridmaterialien können weitere Elemente enthalten, die sich von jenen unterscheiden, die in Tabelle 1 gezeigt sind. Solche zusätzlichen Elemente können ohne Beschränkung Wasserstoff, Fluor, Chlor, Brom und Jod einschließen. Halogenatome können als Nebenprodukte des Abscheidungsverfahrens vorliegen oder können zusätzlich freigesetzt werden. Mengen von Halogenatomen sind vorzugsweise etwa 20% oder weniger und noch stärker be vorzugt etwa 5% oder weniger Gew.-%, bezogen auf das Gesamtgewicht des Siliziumnitridmaterials.
  • Bevorzugte Siliziumnitridmaterialien enthalten verminderte Wasserstoffkonzentrationen im Vergleich mit Siliziumnitrid, hergestellt nach herkömmlichen Verfahren. Siliziumnitridmaterialien enthalten vorzugsweise etwa 15 Atom-% oder weniger an H-Atomen, stärker bevorzugt etwa 10 Atom-% oder weniger, noch stärker bevorzugt etwa 8 Atom-% oder weniger, am meisten bevorzugt etwa 4 Atom-% oder weniger, bezogen auf den Gesamtatomgehalt von Siliziumnitridmaterial. Der Wasserstoffgehalt wird vorzugsweise nach Rutherford durch rückstreuende Spektroskopie unter Verwendung elastischer Rückprallfeststellung ("RBS/ERD") gemessen.
  • Der H-Gehalt von Siliziumnitridmaterialien kann auf der Grundlage einer Probe, wie sie ist, oder auf der Grundlage einer abgeschiedenen Probe gemessen werden. "Abgeschiene Probe" bedeutet einen H-Gehalt des Siliziumnitridmaterials zum Zeitpunkt der Abscheidung oder bald danach, bevor zusätzliche wichtige Verfahrensstufen stattgefunden haben. Der H-Gehalt eines Siliziumnitridmaterials kann oftmals durch solche Verfahren, wie Erhitzen oder anschließende chemische Behandlung verändert werden, die zum speziellen Zweck einer Reduzierung des H-Gehaltes oder für andere Zwecke in Bezug auf anschließende Verfahrensstufen vorliegen können. Der H-Gehalt einer Probe, wie sie ist, bezieht sich auf den H-Gehalt des Siliziumnitridmaterials zu irgendeinem speziellen Zeitpunkt. Es kann unmittelbar nach der Abscheidung gemessen werden, in welchem Fall die Probe "wie sie ist" den gleichen H-Gehalt wie der H-Gehalt der Probe "wie abgeschieden" hat. Es kann auch gemessen werden, nachdem anschließende Behandlungsstufen den H-Gehalt erhöht oder vermindert haben, in welchem Fall die H-Gehalte der Probe, wie sie ist, verschieden von den H-Gehalten der Probe, wie abgeschieden, ist. Vorzugsweise ist der H-Gehalt der Probe, wie sie ist, der H-Gehalt von Siliziumnitrid in einem integrierten Stromkreis, d.h. dem Endprodukt des Herstellungsverfahrens. In Abwesenheit des Begriffes "wie sie ist" oder "wie abgeschieden", wird hier Bezug genommen auf den H-Gehalt einer Siliziumnitridprobe als H-Gehalt "wie sie ist".
  • Siliziumnitridmaterialien können in verschiedenen Formen, wie als feine Teilchen oder Fasern, vorliegen, haben aber bevorzugt die Form eines Filmes. "Film" wird in seinem üblichen Sinn verwendet, um sowohl freitragende Filme als auch auf Substraten aufgebrachte Überzüge einzuschließen. Ein Film kann flach sein oder er kann einer darunterliegenden dreidimensionalen Oberfläche folgen, und in jedem Fall kann er eine konstante oder variable Dicke, vorzugsweise eine konstante, haben. Vorzugsweise ist die mittlere Dicke des Films wirksam, die erwünschte Funktion auszuüben, z. B. als Diffusionsbarriere, als dielektrisches Tor, als Passivierungsschicht, als Abstandshaltermaterial usw. Häufig liegt die mittlere Filmdicke im Bereich von etwa einer Monoschichtdicke von etwa 4 Å bis etwa 10.000 Å, vorzugsweise von etwa 10 Å bis etwa 3.000 Å, stärker bevorzugt von etwa 200 Å bis etwa 2.000 Å.
  • Die Siliziumnitridmaterialien, die hier beschrieben sind, werden vorzugsweise auf einem Substrat für einen integrierten Schaltkreis verwendet. "Substrat" wird in seinem gewöhnlichen Sinn verwendet, um irgendwelche unter der Oberfläche liegende Schicht zu kennzeichnen, auf welcher das Siliziumnitridmaterial abgeschieden oder aufgebracht wird. Bevorzugte Substrate können aus nahezu jedem Material hergestellt werden einschließlich ohne Beschränkung von Metallen, Silizium, Germanium, Kunststoff und/oder Glas, vorzugsweise Silizium, Siliziumverbindungen (einschließlich Si-O-C-H-Filme mit niedriger dielektrischer Konstante) und Siliziumlegierungen.
  • Substrate integrierter Schaltungen schließen Siliziumsubstrate, wie z. B. Silizium-Wafer und Schichten von Materialien der Gruppen III-V, die bei der Herstellung von Mikroelektronik verwendet werden, ein. "Integrierte Schaltung" wird in ihrem in der Mikroelektronik üblichen Sinn verwendet, um Substrate einzuschließen, auf welchen mikroelektronische Einrichtungen aufgebracht wurden oder werden, und so schließt dieser Begriff integrierte Schaltungen ein, die im Verfahren zur Herstellung enthalten sind, und die nicht funktionell sein müssen. Auf dem Gebiet der Herstellung integrierter Schaltungen betrifft das Halbleitersubstrat allgemein den niedrigsten Level von Halbleitermaterial, aus welchem Einrichtungen gebildet sind.
  • Verschiedene Verfahren, die die hier beschriebenen chemischen Vorstufen benutzen, können verwendet werden, um Siliziumnitridmaterialien abzuscheiden. Wie hier verwendet, ist eine "chemische Vorstufe" eine Chemikalie, die die Elemente Silizium und/oder Stickstoff enthält, welche unter den hier beschriebenen Bedingungen aktiviert oder chemisch zur Umsetzung gebracht werden, um ein Siliziumnitridmaterial zu bilden. Chemische Vorläufer sind vorzugsweise siliziumhaltige ("Si-haltige") chemische Verbindungen, stickstoffhaltige ("N-haltige") chemische Verbindungen oder chemische Verbindungen, die sowohl Silizium als auch Stickstoff enthalten ("Si-haltig"). Bei einer bevorzugten Ausführungsform werden wenigstens ein Teil der Silizium- und Stickstoffatome in dem resultierenden Siliziumnitridmaterial durch eine Si-N-haltige chemische Vorstufe aufgebracht, die ein oder mehrere N-Si-Bindungen umfaßt. In einer anderen bevorzugten Ausführungsform wird wenigstens ein Teil der Silizium- und Stickstoffatome durch die Formeln (X3Si)3N, (X3Si)2N-N(SiX3)2, (X3Si)N=N(SiX3) und (R3-mSiXm)3N wiedergegeben, worin m 0, 1 oder 2 ist; worin jedes X individuell für sich ausgewählt wird aus der Gruppe, die aus F, Cl, Br, H und D besteht; und worin jedes R individuell für sich ausgewählt wird aus der Gruppe, die aus Methyl, Ethyl, Phenyl oder Tertiärbutyl besteht. Nicht beschränkende Beispiele bevorzugter chemischer Vorstufen sind (H3Si)3N, (H3Si)2N-N(SiH3)2 und (H3Si)N=N(SiH3).
  • Diese Erfindung ist nicht an eine Theorie gebunden, doch wird angenommen, daß die N-H-Bindungen schwierig thermisch zu entfernen sind, so daß Abscheidungsverfahren, die chemische Vorstufen mit N-H-Bindungen benutzen, dazu neigen, Siliziumnitridmaterialien mit einem höheren H-Gehalt zu produzieren. Beispielsweise bei Siliziumnitridabscheidungsverfahren, die NH3 als eine Stickstoffatomquelle benutzen, wird angenommen, daß wenigstens etwas von den Wasserstoffatomen in dem abgeschiedenen Siliziumnitrid in der Form von N-H-Bindungen vorliegt, die überleben, oder daß während des Abscheidungsverfahrens welche reformiert werden. So ist (H3Si)3N eine bevorzugte chemische Vorstufe, da sie drei N-Si-Bindungen enthält und da es frei von N-H-Bindungen ist.
  • Eine bevorzugte Ausführungsform benutzt ein Gemisch einer Si-haltigen chemischen Vorstufe und einer N-haltigen chemischen Vorstufe. Bevorzugte Si-haltige chemische Vorstufen sind Moleküle der Formeln SiX3, X3SiSiX3, X3SiSiX2SiX3, SiXmR4-m, (X3Si4-nCXn und (R3-mSiXm)2O, worin n 0, 1, 2 oder 3 ist, worin jedes X für sich ausgewählt ist aus der Gruppe F, Cl, N und D, und worin jedes R einzeln ausgewählt ist aus der Gruppe Methyl, Ethyl, Phenyl und Tertiärbutyl. Vorstufen, die N-Si-Bindungen enthalten, sind Si-haltige Vorstufen, da sie Silizium enthalten. Si-haltige chemische Vorstufen enthalten vorzugsweise weniger als 9,5% Wasserstoffatome, stärker bevorzugt weniger als 9,0% Wasserstoffatome, in Gew.-%, bezogen auf Gesamtgewicht von Si-haltiger chemischer Vorstufe. Nicht beschränkende Beispiele bevorzugter Si-haltiger chemischer Vorstufen sind SiF4, SiCl4, HSiCl3 und HSiBr3. Eine sehr bevorzugte Si-haltige chemische Vorstufe ist N3SiSiH2SiH3 (Trisilan).
  • Bevorzugte N-haltige chemische Vorstufen werden aus der Gruppe ausgewählt, die aus RmNX3-m, X2-pRpN-NRpX2-p und XN=NX besteht, worin m 0, 1 oder 2 ist, worin p 0 oder 1 ist, worin jedes X einzeln aus der Gruppe ausgewählt ist, die aus F, Cl, H und D besteht und worin jedes R einzeln aus der Gruppe Methyl, Ethyl, Phenyl und Tertiärbutyl ausgewählt ist. Vorstufen, die N-Si-Bindungen enthalten, sind N-haltige Vorstufen, da sie Stickstoff enthalten. Stärker bevorzugt enthalten N-haltige chemische Vorstufen weniger als etwa 10% Wasserstoffatome, stärker bevorzugt weniger als etwa 8% Wasserstoffatome, gerechnet in Gew.-%, bezogen auf das Gesamtgewicht der N-haltigen chemischen Vorstufe. Noch stärker bevorzugt ist die N-haltige chemische Vorstufe im wesentlichen frei von N-H-Bindungen. Nicht beschränkende Beispiele bevorzugter N-haltiger chemischer Vorstufen sind etwa NF3, NCl3, HN3, F2NNF2 und FNNF.
  • Die chemische Vorstufe kann in der Form eines Feststoffes, einer Flüssigkeit oder eines Gases, vorzugsweise als Gas oder Dampf, vorliegen. Eine Flüssigkeit, die von der chemischen Vorstufe umfaßt ist, kann auf einem Substrat aufgebracht und dann unter Bildung eines Siliziumnitridmaterials auf dem Substrat umgesetzt werden, vorzugsweise durch Verwendung ähnlicher Techniken zu jenen, die in der Technik als Schleuderbeschichtungsverfahren bekannt sind. Vorzugsweise ist die chemische Vorstufe in der Form eines Gases oder Dampfes vorgesehen. Um die Verunreinigung zu minimieren und einen höher qualifizierten Film zu erzeugen, ist es bevorzugt, das Siliziumnitridmaterial auf dem Substrat abzuscheiden, indem man das Substrat in einer Kammer anordnet oder plaziert und die chemische Vorstufe in die Kammer einführt. Die Verwendung einer geschlossenen Kammer ist bevorzugt, da sie die Einführung chemischer Vorstufen und den Ausschluß unerwünschter Arten unter gesteuerten Bedingungen ausschließt. Die Menge an bereitgestellter chemischer Vorstufe wird vorzugsweise gesteuert durch Einstellung des Partialdruckes des Gases oder Dampfes, welcher im Bereich von etwa 0,00001 Torr bis Atmosphärendruck (etwa 760 Torr) oder sogar noch höher, vorzugsweise 0,001 Torr bis 760 Torr (1 Torr ⎕ 133 Pa) sein kann. Die Menge kann auch durch gegenseitiges Vermischen der chemischen Vorstufe mit einem anderen Gas und Einstellung des Gesamtgasdruckes oder des Partialdruckes der chemischen Vorstufe in dem Gasgemisch gesteuert werden. Gegebenenfalls vorhandene Komponenten des Gasgemisches schließen relativ inerte Trägergase ein, wie Wasserstoff, Helium, Stickstoff, Argon, Neon, Krypton und Xenon. Eine flüssige chemische Vorstufe kann durch Verwendung eines Rührwerks, z. B. durch Blasenbildung eines Trägergases durch die chemische Vorstufe, oder durch Verwendung eines Verdampfers vorgesehen werden. Die Menge an chemischer Vorstufe in dem Gas kann über einen breiten Bereich variieren, vorzugsweise von etwa 0,01 bis 100 Volumen-%.
  • Die Aufbringung wird vorzugsweise unter Verwendung einer chemischen Dampfphasen vorstufe vorgenommen. Vorzugweise werden diese Vorstufen auf einem Substrat durch thermische Zersetzung abgeschieden. Solche Abscheidungsmethoden, die Dampfphasenvorstufen verwenden, schließen Atomschichtaufbringung, chemische Molekularstrahlepitaxie, physikalische Dampfabscheidung und chemische Dampfabscheidung (einschließlich plasmagestütztes CVD, lichtgestütztes CVD und lasergestütztes CVD usw.) ein.
  • Die chemische Dampfabscheidungstechnik (CVD) wird vorzugsweise verwendet, um das Siliziumnitridmaterial abzuscheiden. Eine große Vielzahl von CVD-Techniken ist dem Fachmann auf dem technischen Gebiet bekannt. CVD-Techniken werden vorzugsweise durch Erzeugung von aktivierten chemischen Vorstufen durchgeführt und man läßt sie miteinander und/oder der Oberfläche des Substrates reagieren, um eine Ablagerung auf dem Substrat zu bilden. Die aktivierten chemischen Vorstufen sind vorzugsweise hergestellt, indem sie die chemischen Vorstufen einer Menge von Energie aussetzt, die effektiv ist, um die Vorstufe durch Brechen eines oder mehrerer der chemischen Bindungen zu zersetzen. Die Feststellung, Messung und Kennzeichnung dieser aktivierten chemischen Vorstufen kann schwierig in der Praxis sein wegen ihrer durchsichtigen Natur. Zersetzung und Aktivierung der chemischen Vorstufe ist somit primär eine Erscheinung der Formation eines Siliziumnitridmaterials und erfordert nicht eine Identifizierung oder Kennzeichnung einer aktivierten chemischen Vorstufe, obwohl Offenlegung der Bildung chemisch aktiver Verbindungen ein Anzeichen von Aktivierung sein kann. PECVD und thermische CVD sind bevorzugte CVD-Techniken, besonders für die Ablagerung von Siliziumnitridmaterialien bei der Herstellung von Mikroelektronik. Diese Techniken werden weit verbreitet in der Fabrikation von integrierten Schaltkreisen verwendet, siehe z. B. Stephen A. Campbell, "The Science and Engineering of Microelectronic Fabrication", Oxford University Press, New York (1996); Stanley Wolf und Richard N. Tauber, "Silicon Processing for the VLSI Era", Lattice Press, Sunset Beach, CA (2000).
  • Abscheidung von Siliziumnitridmaterial auf einem Substrat durch thermale CVD erfordert vorzugsweise die Verwendung thermaler Energie zur Aktivierung der chemischen Vorstufe, vorzugsweise durch Einstellung der Temperatur des Substrates, so daß die aktivierten chemischen Vorstufen miteinander oder mit dem Substrat reagieren, um eine Abscheidung zu bilden. Die Substrattemperatur ist in dem Bereich von etwa 450°C bis etwa 700°C und noch stärker bevorzugt zwischen etwa 500°C und etwa 650°C. Wenn das Substrat bereits an der Dispositionstemperatur angekommen ist, ist weder ein Erwärmen noch ein Kühlen erforderlich, sondern in den meisten Fällen involviert die Abscheidung aktives Erwärmen oder Abkühlen des Substrats auf die erwünschte Temperatur je nach der Ausgangstemperatur des Substrats von einem vorherigen Verfahrensschritt.
  • Der Fluß chemischer Vorstufen zu dem Substrat wird vorzugsweise durch Manipulierung des Druckes oder Partialdruckes der chemischen Vorstufe in der thermalen CVD-Kammer stattfinden. Vorzugsweise sind die Gesamtdrücke in dem Bereich von etwa 0,001 Torr bis etwa 760 Torr, vorzugsweise etwa 0,5 Torr bis etwa 740 Torr. Bevorzugte Partialdrücke von chemischen Vorstufen liegen in dem Bereich von etwa 0,00001 Torr bis etwa 760 Torr, vorzugsweise etwa 0,0001 Torr bis etwa 500 Torr. In einer besonders bevorzugten Ausführungsform liegt eine integrierte Schaltung in einer thermalen CVD-Kammer, erwärmt auf eine Temperatur im Bereich von etwa 400 bis etwa 650°C, und eine chemische Vorstufe wird zu der CVD-Kammer zugesetzt, um dadurch ein Material abzuscheiden, das vorzugsweise ein Siliziumnitridfilm auf dem integrierten Schaltkreis ist. Stärker bevorzugt ist die chemische Vorstufe eine solche, die eine N-Si-Bindung enthält, noch stärker bevorzugt eine chemische Vorstufe der Formel (X3Si)3N, (X3Si)2N-N(SiX3)2, (X3Si)N=N(SiX3) oder R3-mSiXm)3N, wie überall hier diskutiert.
  • In PECVD wird Plasmaenergie benutzt, um die chemische Vorstufe zu aktivieren durch Aufbringung eines elektromagnetischen Feldes, d. h. Mikrowellen- oder Hochfrequenzenergie, bevorzugt in einem Bereich von 13,56 bis 27,12 MHz, auf die chemische Vorstufe. Die aktivierten Stoffe reagieren dann miteinander oder mit dem Substrat, um ein Siliziumnitridmaterial auf dem Substrat zu abzuscheiden. Das Plasma kann in der unmittelbaren Nachbarschaft des Substrats oder in einem entfernten Platz gewonnen werden. Substrattemperaturen während der Abscheidung liegen im Bereich von etwa 450°C bis etwa 600°C. Vorzugsweise wird eine Kammer verwendet, so daß der Fluß von chemischer Vorstufe auch durch Handhabung des Gesamtdruckes unter Verwendung einer Vakuumpumpe oder einer ähnlichen Einrichtung gesteuert werden kann. Vorzugsweise liegen die gesamten Drücke im Bereich von etwa 0,05 Torr bis etwa 10 Torr, vorzugsweise bei etwa 0,1 Torr bis etwa 5 Torr. Bevorzugte Partialdrücke chemischer Vorstufen für PECVD liegen im Bereich von etwa 0,0001 Torr bis etwa 8 Torr, vorzugsweise von etwa 0,0001 Torr bis etwa 5 Torr.
  • Geeignete Kammern für die Durchführung von PECVD sind im Handel erhältlich, und bevorzugte Modelle schließen die Adler®-Serie von handelsüblichen Reaktoren ein, die bei ASM, Japan K.K., Tokyo, Japan, erhältlich sind. Geeignete Kammern für die Durchführung thermischer CVD sind auch im Handel erhältlich, und bevorzugte Modelle schließen die Epsilon®-Serie von Epitaxiereaktoren mit einem Wafer, wie Epsilon 2000® ein, die im Handel bei ASM America Inc., Phoenix, Arizona, erhältlich ist. Eine bevorzugte thermische CVD-Kammer ist ein Reaktor mit horizontalem Gasfluß und einem einzelnen Wafer. Im Handel erhältliche CVD-Kammern sind vorzugsweise mit einer Anzahl von Merkmalen ausgestattet, wie Computerkontrolle der Temperatur, des Gasflusses und des Umschaltens und des Kammerdruckes, der so manipuliert werden kann, daß er für Mikroelektronik geeignete Filme hoher Qualität erzeugt. Die Fachleute auf dem CVD-Gebiet sind mit solchen Methoden und Vorrichtungen vertraut, und somit können Routineexperimente verwendet werden, um die geeigneten Bedingungen wie Abscheidung von Siliziumnitridmaterialien unter Verwendung der hier beschriebenen chemischen Vorstufen zu wählen.
  • Wie hier überall diskutiert, kann die Zusammensetzung des Siliziumnitridmaterials über einen weiten Bereich variieren, und somit kann in vielen Fällen es erwünscht sein, ein Gemisch chemischer Vorstufen zu bekommen, um einen Film mit der erwünschten Zusammensetzung abzuscheiden. Routineexperimente unter Verwendung der folgenden Richtlinien können verwendet werden, um eine geeignete chemische Vorstufe oder ein Gemisch hiervon und eine Abscheidungsmethode zu wählen, die zusammen in der Abscheidung eines Films mit der erwünschten chemischen Zusammensetzung resultieren.
  • Als ein Ausgangspunkt wird eine Vorstufe oder ein Gemisch von Vorstufen vorzugsweise so gewählt, daß eine Elementenzusammensetzung resultiert, die relativ nahe der erwünschten Zusammensetzung des abzuscheidenden Siliziumnitridmaterials liegt. Der Gewichtsprozentsatz eines jeden Elementes in der Vorstufe oder dem Vorstufengemisch kann leicht auf der Basis des Molekulargewichts der Vorstufe und des Gewichts einer jeden Vorstufe in dem Gemisch berechnet werden. Tabelle 2 zeigt die Elementenzusammensetzung verschiedener chemischer Vorstufen und Gemische hiervon auf einer Gewichtsprozentbasis. Beispielsweise zur Abscheidung eines Filmes, der etwa 83% Silizium, 16% Stickstoff und 0,1 % Wasserstoff enthält (Gew.-%), ist (H3Si)3N ein geeigneter Ausgangsstoff, da er ein gleiches Verhältnis von Silizium- zu Stickstoffatomen aufweist mit einer erwünschten Bindungskonfiguration. TABELLE 2
    Figure 00110001
  • Ein Verfahren zum Starten der Abscheidung wird vorzugsweise so ausgewählt, daß die Ausgangschemikalienvorstufe oder ein Gemisch derselben benutzt wird. Beispielsweise ist thermisches CVD ein geeignetes Verfahren für die Abscheidung von Siliziumnitrid unter Verwendung von (H3Si)3N. Die Abscheidungstemperatur kann so gewählt werden, daß der H-Gehalt des abgeschiedenen Materials erreicht wird. Innerhalb der Bereiche von bevorzugten Vorstufenabscheidungstemperaturen, die hier überall diskutiert werden, tendiert der H-Gehalt und der Halogen-Gehalt des abgeschiedenen Siliziumnitridmaterials dazu, bei höheren Abscheidungstemperaturen niedriger zu liegen. Auch neigt der H-Gehalt des abgeschiedenen Siliziumnitrids dazu, viel geringer als der H-Gehalt der chemischen Ausgangsvorstufe oder -gemische hiervon zu sein.
  • Nach der Wahl einer Ausgangsvorstufe oder eines Ausgangsgemisches und eines Eingangsabscheidungsverfahrens kann ein Anfangsfilm in der üblichen Weise abgeschieden werden. Im allgemeinen wird die elementare Zusammensetzung dieses Filmes nicht mit der Elementenzusammensetzung der Ausgangsvorstufe oder deren Gemisch identisch sein. Beispielsweise tendiert, wie oben diskutiert, die Abscheidungstemperatur dazu, den Wasserstoff- und Halogengehalt sowie die relativen Geschwindigkeiten der Vorstufenzersetzung zu beeinflussen. Nach dem Abscheiden des Anfangsfilmes können die Ausgangsvorstufe oder deren Gemisch und/oder das Verfahren in einer iterativen Weise, um einen Film mit der erwünschten Zusammensetzung zu erzeugen, eingestellt werden. Vorzugsweise werden experimentelle Gestaltungsmethoden verwendet, um die Wirkung der verschiedenen Verfahrensvariablen und Kombinationen derselben bezüglich der chemischen Zusammensetzung und/oder physikalischen Eigenschaften der resultierenden Filme zu bestimmen. Experimentelle Gestaltungsmethoden sind an sich wohlbekannt, siehe z. B. Douglas C. Montgomery, "Design and Analysis of Experiments", 2. Ausgabe, John Wiley and Sons, 1984. Für ein spezielles Verfahren wird, nachdem der Effekt der verschiedenen Verfahrensvariablen und deren Kombination auf die chemische Zusammensetzung und/oder physikalischen Eigenschaften durch diese experimentellen Gestaltungsmethoden bestimmt wurde, das Verfahren vorzugsweise durch Computer steuerung automatisiert, um in der nachfolgenden Produktion Reproduzierbarkeit zu gewährleisten.
  • Die Zusammensetzung des abgeschiedenen Siliziumnitridmaterials wird vorzugsweise eingestellt oder gesteuert, indem man eine Zusatzquelle für ein weiteres erwünschtes Element oder solche Elemente, vorzugsweise durch eine zusätzliche Siliziumquelle, Stickstoffquelle, Sauerstoffquelle, Germaniumquelle und/oder Kohlenstoffquelle vorsieht. Die zusätzliche Quelle kann in verschiedenen physikalischen Formen vorgesehen werden. Beispielsweise, wenn die chemische Vorstufe auf das Substrat in der Form einer Flüssigkeit aufgebracht wird, kann die Flüssigkeit auch eine Zusatzquelle des erwünschten zusätzlichen Elementes in einer wirksamen Menge umfassen, um das resultierende Siliziumnitridmaterial zu liefern, welches die erwünschte Elementenzusammensetzung hat.
  • In dem bevorzugten CVD-Kontext wird ein Gas vorgesehen, welches gleichzeitig die chemische Vorstufe und die zusätzlichen Quelle(n) enthält, und die Menge eines jeden Elementes in dem resultierenden Siliziumnitridmaterial wird durch Einstellung des Partialdruckes einer jeden Komponente unter Verwendung von Routineexperimenten kontrolliert, womit die obige Führung vorgesehen ist. Beispielsweise werden, wie oben diskutiert, das Ausgangsgemisch der chemischen Vorstufe und die zusätzliche Quelle vorzugsweise so ausgewählt, daß sie eine elementare Zusammensetzung haben, die sich der Elementenzusammensetzung des abgeschiedenen Siliziumnitridmaterials nähert, wie durch Kenntnis des Effektes der speziellen ausgewählten Abscheideverfahren. Tabelle 3 zeigt die Elementengesamtzusammensetzung der chemischen Vorstufen, die in Tabelle 2 gezeigt sind, bei Kombination mit verschiedenen Mengen zusätzlicher Quellen. TABELLE 3
    Figure 00120001
  • Unter den zusätzlichen Quellen enthalten die bevorzugten Siliziumquellen Silan, Siliziumtetrachlorid, Siliziumtetrafluorid, Disilan, Trisilan, Methylsilan, Dimethylsilan, Siloxan, Disiloxan, Dimethylsiloxan, Methoxysilan, Dimethoxysilan und Dimethyldimethoxysilan. Bevorzugte Stickstoffquellen enthalten Diatomstickstoff (N2), Stickstofftrifluorid, Stickstofftrichlorid und Stickoxid. Bevorzugte Sauerstoffquellen schließen Sauerstoff, Stickoxid, Ozon, Kohlendioxid, Kohlenmonoxid, Wasserstoffperoxid, Wasser, Methanol, Ethanol, Dimethylether, Diethylether, Dimethoxyether und Diethoxyether ein. Bevorzugte Germaniumquellen enthalten German, Digerman, Trigerman, Methylgerman, Dimethylgerman, Methoxygerman und Dimethoxygerman. Bevorzugte Kohlenstoffquellen schließen Methylsilan, Disilylmethan, Trisilylmethan und Tetrasilylmethan ein. Bevorzugte Ergänzungsquellen können eine Quelle für zwei oder mehr Elemente sein, z. B. kann Dimethylether eine Quelle für Kohlenstoff und Sauerstoff sein, Dimethylsiloxan kann eine Quelle für Kohlenstoff, Sauerstoff und Silicium usw. sein.
  • Die hier beschriebenen Siliziumnitridmaterialien können einer Vielzahl von Verfahren ausgesetzt werden, z. B. Mustern, Ätzen, Erhitzen, Dotieren usw. Beispielsweise können bei der Herstellung von integrierten Schaltungen zusätzliche Schichten anderer Materialien, wie Metalleitungen oder Halbleiterschichten, auf der Oberfläche eines Siliziumnitridfilmes abgeschieden werden, der, wie hier beschrieben, gebildet wird. Eine solche Abscheidung kann durchgeführt werden, indem man eine Siliziumquelle, eine Metallquelle, eine Germaniumquelle usw. vorsieht und die zusätzliche Schicht in der üblichen Weise abscheidet. In einer bevorzugten Ausführungsform wird das Siliziumnitridmaterial erhitzt, um den H-Gehalt zu vermindern, vorzugsweise durch Erhitzen auf eine Temperatur, die wirksam ist, den H-Gehalt auf etwa 10 Atom-% oder weniger, stärker bevorzugt auf 5 Atom-% oder weniger, am meisten bevorzugt auf 3 Atom-% oder weniger zu reduzieren, wobei diese Werte auf dem Gesamtatomgehalt von Siliziumnitridmaterial beruht.
  • Eine bevorzugte Ausführungsform liefert ein Verfahren zur Steuerung der Eigenschaften eines Siliziumnitridmaterials. CVD-Verfahren, die nur NH als eine Stickstoffquelle oder nur SiH4 als eine Siliziumquelle benutzen, neigen dazu, Siliziumnitridmaterialien zu erzeugen, die einen relativ hohen H-Gehalt, insbesondere bei niedrigen Abscheidungstemperaturen haben. Die Verwendung halogenidhaltiger Stickstoffquellen, wie NF3 und NCl3, sowie halogenidhaltige Siliziumquellen, wie SiF4 und SiCl4, neigen dazu, lieber den H-Gehalt des resultierenden Siliziumnitridmaterials zu reduzieren, doch neigen sie auch dazu, den Halogengehalt zu steigern. Für einige Endverwendungen ist ein hoher Halogengehalt unerwünscht.
  • Diese Erfindung ist nicht an eine bestimmte Theorie gebunden, doch wird angenommen, daß PECVD-Bedingungen die Bildung chemisch aktiver halogenhaltiger Materialien begünstigen. Wenn der Wasserstoffgehalt des Plasmas relativ niedrig ist, wie in dem Verfahren zur Herstellung eines Siliziumnitridmaterials mit niedrigem H-Gehalt, wird angenommen, daß diese halogenhaltigen Stoffe dazu neigen, einen relativ hohen Gehalt, niedrige Stabilität gegenüber Plasmazersetzung zu hochreaktiven Stoffen und/oder lange Lebensdauer in dem Plasma zu haben, so daß sie dazu neigen, in das ausgeschiedene Siliziumnitrid eingearbeitet zu werden.
  • Für ein CVD-Verfahren, welches eine halogenidhaltige chemische Vorstufe benutzt, um ein Siliziumnitridmaterial, vorzugsweise ein solches mit niedrigem H-Gehalt, abzuscheiden, liefert eine bevorzugte Ausführungsform eine Lösung für dieses Problem durch Einführung einer Wasserstoffquelle in das Verfahren in einer wirksamen Menge, um den Halogengehalt der Abscheidung von Siliziumnitridmaterial zu vermindern. Für diese Zwecke hat ein Siliziumnitridmaterial mit niedrigem H-Gehalt etwa 15 Atom-% oder weniger, vorzugsweise etwa 10 Atom-% oder weniger, stärker bevorzugt etwa 5 Atom-% oder weniger auf der Basis des Gesamtatomgehaltes des Siliziumnitridmaterials. Dieses Verfahren ist besonders anwendbar bei thermalem CVD und PECVD, doch ist es besonders wertvoll für PECVD. Zu diesem Zweck schließt "PECVD" alle verschiedenen PECVD-Methoden ein, die hier beschrieben sind, um Siliziumnitridmaterialien zu produzieren, insbesondere jene, die Nitridmaterialien mit niedrigem H-Gehalt umfassen, besonders jene, die in den hierin enthaltenen Literaturstellen beschrieben sind. Alle Literaturstellen, die hier genannt sind, werden hiermit durch Bezugnahme zum Zwecke der Beschreibung hier genannter PECVD-Verfahren herangezogen.
  • Diese Erfindung ist nicht an eine bestimmte Theorie gebunden, doch wird angenommen, daß unter PECVD-Bedingungen die Verwendung einer wirksamen Menge einer Wasserstoffquelle die Bildung von wasserstoffhaltigen Materialien verursacht, die mit dem halogenhaltigen Material reagieren, um gasförmige Stoffe zu bilden, die relativ leicht im Vakuum entfernt werden können und die relativ feste Wasserstoff-Halogenbindungen bilden, die relativ schwierig durchzubrechen sind und somit die Fülle und/oder Lebensdauer der halogenhaltigen Stoffe in dem Plasma reduzieren und die Menge an halogenhaltigem Material in dem abgeschiedenen Siliziumnitridmaterial vermindert.
  • Bevorzugte Wasserstoffquellen schließen Moleküle ein, die durch die Formel SiHnX4-n wiedergegeben werden, worin n 1, 2 oder 3 ist und X F oder Cl ist. Nicht beschränkende Beispiele bevorzugter Wasserstoffquellen schließen SiCl2H2, SiCl3H, SiBr2H2 und SiBr3H ein. Bevorzugte Wasserstoffquellen können als eine Komponente eines Trägergases eingeführt werden und können mit anderen Wasserstoffquellen, wie H2, vermischt werden. Die Menge an Wasserstoffquelle kann durch Steuerung des Druckes der Wasserstoffquelle oder des Partialdruckes der Wasserstoffquelle in dem Gasgemisch gesteuert werden. Die Methoden dieser Ausführungsform sind besonders wirksam, wenn die halogenidhaltige chemische Vorstufe aus der Gruppe ausgewählt wird, die aus SiCl4, SiF4, NCl3, NF3 und HN3 besteht.
  • Die Wasserstoffquelle wird vorzugsweise in einer Menge verwendet, die wirksam ist, um den Halogengehalt des unteren H-Gehaltes von Siliziumnitridmaterial im Vergleich zu der Situation, in der die Wasserstoffquelle nicht verwendet wird, zu reduzieren, stärker bevorzugt, um den Halogengehalt auf etwa 10% oder weniger, noch stärker bevorzugt auf etwa 5% oder weni ger zu reduzieren, auf Gewichtsbasis, bezogen auf das Gesamtgewicht an Siliziumnitridmaterial. Am stärksten bevorzugt wird die Wasserstoffquelle so geregelt, daß sie nicht unerwünscht den Wasserstoffgehalt des abgeschiedenen Siliziumnitridmaterials mit niedrigem H-Gehalt erhöht. Routineexperimente können verwendet werden, um eine Quelle für eine Wasserstoffmenge auszuwählen, die den erwünschten Abgleich von niedrigem H-Gehalt und niedrigem Halogengehalt in dem abgeschiedenen Siliziumnitridmaterial ergibt. Eine bevorzugte Menge von Wasserstoffquelle liegt im Bereich von etwa 0,001% bis etwa 10%, stärker bevorzugt etwa 0,01 % bis etwa 5%, wobei die Prozente Gewichtsprozente der halogenidhaltigen chemischen Vorstufe sind.
  • Die verschiedenen Abscheidungsverfahren, die hier beschrieben sind, können in einer Arbeitsweise Schicht um Schicht durchgeführt werden, um neue Verbundmaterialien mit besonderen Eigenschaften zu erzeugen. Dies kann durch Variieren der Zusammensetzung der Vorstufe oder des Vorstufengemisches kontinuierlich oder in Stufen während des Abscheideverfahrens geschehen. Beispielsweise kann ein Film, der Si-N und Si-O-N umfaßt, hergestellt werden, indem zunächst eine Schicht von Si-O-N unter Verwendung von (H3Si)3N sowie O2 abgelagert wird und anschließend das O2 vermindert wird, um eine Schicht von Si-N abzulagern. Die Menge von O2 kann allmählich abnehmen, um eine abgestufte Übergangsschicht zu bekommen, oder sie wird stufenweise vermindert, um ein Siliziumnitridmaterial mit einer bestimmteren Grenzfläche zwischen den Si-O-N- und Si-N-Schichten zu liefern. Solche Techniken können verwendet werden, um eine Haftung an darunterliegende oder darüberliegende Schichten zu verbessern oder ein Material mit einem Oberflächenüberzug mit Eigenschaften zu bekommen, die sich von jenen der Materialmasse unterscheiden.
  • Die Siliziumnitridmaterialien, die hier beschrieben sind, sind brauchbar für eine Vielzahl von Anwendungen, insbesondere jene, für welche ein niedriger H-Gehalt erwünscht ist. Nicht beschränkende Beispiele solcher Anwendungen sind etwa Beschichtungen für Siliziumdioxidschichten (dielektrische Schichten für nitrierte Siliziumdioxidtor-Schichten), um Eigenschaften von Gegenständen zu verbessern, beispielsweise die dielektrische Konstante zu erhöhen, die Effekte von Elektronen in der Hitze zu reduzieren, die Bordiffusion zu beschränken, ein p+-Polysiliziumtor zu bilden und die Lebensdauer der Einrichtung zu verlängern. Andere Anwendungen schließen antireflektierende Beschichtungen in Photolithographieverfahrensstufen, Passivierungsschichten und Abstandshaltermaterialien in Transistoren, Isolatoren zwischen Metallevels, Masken zur Verhinderung von Oxidation und Diffusion, insbesondere Metalldiffusionsbarrieren, vorzugsweise Kupfermetalldiffusionsbarrieren, und für die Verwendung als Handmasken in Ätzverfahrensschritten, vorzugsweise als Ätzmasken in Photoresiststrukturen mit mehreren Höhen, ein.
  • Für Anwendungen, wie Kupfermetalldiffusionsbarrieren, ist das Siliziumnitridmaterial bevorzugt amorph. Die Abscheidung von amorphen Materialien ist günstiger durch die Verwen dung von niedrigen Abscheidungstemperaturen, vorzugsweise etwa 550°C oder darunter, stärker bevorzugt etwa 450°C bis etwa 550°C.
  • Die hier beschriebenen N-haltigen Moleküle sind auch brauchbar als Niedertemperaturdotiermaterialien, z. B. für das Dotieren von β-Siliziumcarbid mit Stickstoff. β-Siliziumcarbid kann bei niedriger Temperatur unter Verwendung eines Bereiches chemischer Vorstufen einschließlich Methylsilan abgeschieden werden. Die Abscheidung von β-Siliziumcarbid auf einem Siliziumcarbidsubstrat bei 550°C bis 650°C unter Verwendung von Trisilylamin als Dotiermittel ermöglicht die Abscheidung von Siliziumcarbid vom n-Typ mit niedrigem H-Gehalt bei reduzierter Temperatur. Vorzugsweise wird die Abscheidung von Stickstoff-dotiertem β-Siliziumcarbid bei 60 Torr während 15 Minuten auf einem auf 600°C erhitzen SiC-Substrat durchgeführt. Vorzugsweise wird Methylsilan bei einer Fließgeschwindigkeit von 25 Standard-Kubikzentimetern zusammen mit einem Trisilylamingemisch von 100 ppm in H2 bei einer Fließgeschwindigkeit von 10 Standard-Kubikzentimetern und H2-Trägergasfließgeschwindigkeit von 20 slm verwendet.
  • Es wird verständlich sein für den Fachmann, daß zahlreiche und unterschiedliche Modifikationen ohne Verlassen des Gedankens der vorliegenden Erfindung vorgenommen werden können. Daher sollte klar verständlich sein, daß die oben und nachfolgend in den Beispielen beschriebenen unterschiedlichen Ausführungsformen nur als Erläuterung dienen und nicht den Gedanken der vorliegenden Erfindung beschränken sollen.
  • BEISPIELE
  • In den nachfolgenden Beispielen wurde der Wasserstoffgehalt der abgeschiedenen Filme mit der Rutherford-Rückstreuungsspektroskopie ("RBS") unter Verwendung elastischer Rückwickelermittlung ("ERD") und bestätigt durch Fourier-Umform-Infrarotspektroskopie ("FTIR") gemessen. Die Gesamtsilizium- und -stickstoffzusammensetzung eines jeden Filmes wurde ebenfalls mit 2 MeV Rutherford-Rückstreuungsspektroskopie (RBS) bestimmt.
  • BEISPIELE 1–5
  • Eine Quarzröhre, die in einen Greifer-Röhrenofen mit einem Einlaß und einem Auslaß eingeschlossen war, wurde mit einer Vormischkammer und einer Massenflußkontrolleinrichtung ausgestattet. Fünf Siliziumwerkstücke wurden entlang der Länge des Ofens plaziert. Die Massenflußkontrolleinrichtung wurde als Zufuhr zu der erhitzen Quarzröhre mit einem Gasgemisch verwendet, welches einen Partialdruck von etwa 400 Torr für Stickstoff und 135 Torr für Trisilylamin besaß. Die Ofentemperatur wurde auf etwa 550°C gehalten. Das Gasgemisch wurde in den Ofen mit einer Fließgeschwindigkeit von etwa 20 Standard-Kubikzentimetern je Minute ("sccm") und einem Druck von etwa 1,05 Torr eingeführt, und diese Bedingungen wurden etwa 15 Minuten beibehalten. Das Gasgemisch wurde thermisch aktiviert, während es entlang der Länge des Ofens zu dem Auslaß und auf der Oberfläche der Werkstücke strömte und dabei Siliziumnitridfilme auf jedem der sieben Werkstücksubstrate abschied.
  • Das Verhältnis von Silizium zu Stickstoff ("Silizium:Stickstoff") wurde mit RBS bestimmt und war etwa 3:1 bei allen fünf Filmen. Alle fünf Filme hatten eine Dicke im Bereich von etwa 4000 Å bis etwa 6000 Å. Der Wasserstoffgehalt eines jeden Filmes, wie durch RBS/ERD bestimmt, war etwa 4 Atom-% (etwa 0,175 Gew.-%, bezogen auf das gesamte Filmgewicht).
  • BEISPIELE 6–12
  • Filme wurden, wie in den Beispielen 1–5 beschrieben, abgeschieden, jedoch mit der Ausnahme, daß sieben Werkstücke in dem Ofen waren, die Ofentemperatur während der Abscheidung etwa 475°C war, die Gasflußgeschwindigkeit etwa 3–5 sccm betrug und der Gasdruck während der Abscheidung etwa 0,001 Torr war, und die Abscheidung während etwa einer Stunde durchgeführt wurde. Silizium:Stickstoff wurde mit RBS bestimmt und war etwa 3:1 bei allen sieben Filmen. Alle sieben Filme hatten eine Dicke im Bereich von etwa 1500 Å bis etwa 3000 A. Der Wasserstoffgehalt eines jeden Filmes, bestimmt durch RBS/ERD, war etwa 4 Atom-% (etwa 0,175 Gew.-%, bezogen auf das gesamte Filmgewicht).
  • BEISPIELE 13–17
  • Filme werden, wie in den Beispielen 1–5 beschrieben, abgeschieden, jedoch mit der Ausnahme, daß das Gasgemisch einen Partialdruck von etwa 200 Torr für Trisilan, etwa 150 Torr für NF3 und 400 Torr für Stickstoff (N2) war. Silizium:Stickstoff ist etwa 6:1 für alle Filme, und der Wasserstoffgehalt eines jeden Filmes ist geringer als etwa 1 Gew.-%, bezogen auf das gesamte Filmgewicht.
  • BEISPIEL 18
  • Ein H2SiCl2 enthaltendes Gas mit einem Partialdruck gleich 1 % des Gesamtdruckes, NF3, mit einem Partialdruck gleich 2,5% des Gesamtdruckes, SiCl4 mit einem Partialdruck gleich etwa 6,5% des Gesamtdruckes sowie Heliumträgergas mit einem Partialdruck gleich etwa 90% des Gesamtdruckes, wird in eine PECVD-Reaktorkammer eingeführt, die auf einem Druck von 2 Torr gehalten wurde, welche ein Siliziumsubstrat enthält, das bei 550°C gehalten wird. Ein Plasma wird dazu gebracht, in dieses Gasgemisch unter Verwendung von 13,56 MHz Strahlung bei einer Stromstärke von 2,0 Watt je Quadratzentimeter des Substrates als Oberflächeneinheit, um einen Siliziumnitridfilm auf dem Substrat abzuscheiden. Der Siliziumnitridfilm hat Silizium:Stickstoff von etwa 2:1. Der Film hat einen Wasserstoffgehalt von etwa 1% und einen Chlorgehalt von etwa 5 Gew.-%, bezogen auf das Gesamtfilmgewicht.

Claims (13)

  1. Verfahren zur Abscheidung eines Siliziumnitridmaterials aus Vorstufen in der Dampfphase auf einer Oberfläche, wobei man eine Abscheidungskammer mit darin angeordnetem (a) Substrat für eine integrierte Schaltung vorsieht und in diese Kammer eine chemische Vorstufe einführt und dabei ein Siliziumnitridmaterial auf dem Substrat für eine integrierte Schaltung durch thermische Zersetzung bei einer Substrattemperatur im Bereich von 450°C bis 700°C abscheidet, worin die chemische Vorstufe aus der Gruppe ausgewählt wird, die aus (X3Si)3N, (X3Si)2N-N(SiX3)2, (X3Si)N=N(SiX3) und (R3-mSiXm)3N besteht, worin m 0, 1 oder 2 ist, worin jedes X individuell aus der Gruppe F, Cl, Br, H und D ausgewählt ist und worin jedes R individuell aus der Gruppe Methyl, Ethyl, Phenyl und Tertiärbutyl ausgewählt ist.
  2. Verfahren nach Anspruch 1, bei dem die chemische Vorstufe weniger chemische N-H-Bindungen als die chemischen N-Si-Bindungen enthält.
  3. Verfahren nach Anspruch 1, bei dem die chemische Vorstufe frei von chemischen N-H-Bindungen ist.
  4. Verfahren nach Anspruch 1, bei dem die chemische Vorstufe (H3Si)3N ist.
  5. Verfahren nach Anspruch 1, bei dem man zusätzlich das Siliziumnitridmaterial erhitzt.
  6. Verfahren nach Anspruch 5, bei dem das Erhitzen so erfolgt, daß der Wasserstoffgehalt auf etwa 5 Atom-% oder weniger herabgesetzt wird.
  7. Verfahren nach Anspruch 1, bei dem zusätzlich eine Stickstoffquelle eingeführt wird, während die chemische Vorstufe eingeführt wird, bei dem die Stickstoffquelle aus der Gruppe NF3 und NCL3 ausgewählt wird.
  8. Verfahren nach Anspruch 1, bei dem zusätzlich eine weitere Quelle eingeführt wird, während die chemische Vorstufe eingeführt wird, worin die zusätzliche Siliziumquelle aus der Gruppe SiCl4 und SiF4 ausgewählt wird.
  9. Verfahren nach Anspruch 1, welches zusätzlich einschließt, daß man eine zusätzliche Quelle einführt, während die chemische Vorstufe eingeführt wird, wobei diese zusätzliche Quelle aus der Gruppe ausgewählt wird, die aus Sauerstoffquelle, Kohlenstoffquelle und Germaniumquelle besteht.
  10. Verfahren nach Anspruch 1, bei dem das Siliziumnitridmaterial aus der Gruppe ausgewählt wird, die aus Si-N, Si-O-N, Si-C-N und Si-O-C-N besteht.
  11. Verfahren nach Anspruch 1, bei dem die thermische Zersetzung eine thermisch chemische Zersetzung in der Dampfphase ist.
  12. Verfahren nach Anspruch 11, bei dem die thermisch chemische Zersetzung in der Dampfphase bei einer Temperatur im Bereich von etwa 450°C bis etwa 700°C durchgeführt wird.
  13. Verfahren nach Anspruch 1, bei dem zusätzlich die integrierte Schaltung auf eine Temperatur im Bereich von etwa 500°C bis etwa 650°C erhitzt wird.
DE60112354T 2000-04-28 2001-04-27 Cvd-synthese von siliziumnitridmaterialien Expired - Fee Related DE60112354T2 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US20067600P 2000-04-28 2000-04-28
US200676P 2000-04-28
US20210200P 2000-05-04 2000-05-04
US202102P 2000-05-04

Publications (2)

Publication Number Publication Date
DE60112354D1 DE60112354D1 (de) 2005-09-08
DE60112354T2 true DE60112354T2 (de) 2006-06-01

Family

ID=26895987

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60112354T Expired - Fee Related DE60112354T2 (de) 2000-04-28 2001-04-27 Cvd-synthese von siliziumnitridmaterialien

Country Status (4)

Country Link
US (1) US6630413B2 (de)
EP (1) EP1149934B1 (de)
JP (1) JP2001358139A (de)
DE (1) DE60112354T2 (de)

Families Citing this family (175)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1149933A1 (de) 2000-04-28 2001-10-31 STMicroelectronics S.r.l. Prozess zur Abscheidung von dielectrischen Filmen mit niedriger Dielektrizitätskonstante
WO2002001627A1 (fr) * 2000-06-26 2002-01-03 Hitachi, Ltd. Dispositif a semi-conducteur et procede de fabrication associe
JP4866534B2 (ja) * 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
US6596643B2 (en) 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
JP4758938B2 (ja) * 2001-08-30 2011-08-31 東京エレクトロン株式会社 絶縁膜の形成方法及び絶縁膜の形成装置
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6849562B2 (en) * 2002-03-04 2005-02-01 Applied Materials, Inc. Method of depositing a low k dielectric barrier film for copper damascene application
JP2003257875A (ja) * 2002-03-05 2003-09-12 Fujitsu Ltd 半導体装置の製造方法および成膜方法
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
JP4358492B2 (ja) * 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6940151B2 (en) * 2002-09-30 2005-09-06 Agere Systems, Inc. Silicon-rich low thermal budget silicon nitride for integrated circuits
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US7531679B2 (en) 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
US7446217B2 (en) 2002-11-14 2008-11-04 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films
US7972663B2 (en) * 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7365029B2 (en) * 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7217649B2 (en) * 2003-03-14 2007-05-15 Lam Research Corporation System and method for stress free conductor removal
US7009281B2 (en) * 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
US7232766B2 (en) * 2003-03-14 2007-06-19 Lam Research Corporation System and method for surface reduction, passivation, corrosion prevention and activation of copper surface
US7078344B2 (en) * 2003-03-14 2006-07-18 Lam Research Corporation Stress free etch processing in combination with a dynamic liquid meniscus
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US6992370B1 (en) * 2003-09-04 2006-01-31 Advanced Micro Devices, Inc. Memory cell structure having nitride layer with reduced charge loss and method for fabricating same
US7579496B2 (en) 2003-10-10 2009-08-25 Advanced Technology Materials, Inc. Monosilane or disilane derivatives and method for low temperature deposition of silicon-containing films using the same
US7601860B2 (en) 2003-10-10 2009-10-13 Advanced Technology Materials, Inc. Composition and method for low temperature chemical vapor deposition of silicon-containing films including silicon carbonitride and silicon oxycarbonitride films
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7306995B2 (en) * 2003-12-17 2007-12-11 Texas Instruments Incorporated Reduced hydrogen sidewall spacer oxide
US7470450B2 (en) * 2004-01-23 2008-12-30 Intel Corporation Forming a silicon nitride film
US20050194374A1 (en) * 2004-03-02 2005-09-08 Applied Materials, Inc. Heated ceramic substrate support with protective coating
US7697260B2 (en) * 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
JP4470023B2 (ja) * 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
WO2006039503A2 (en) * 2004-09-30 2006-04-13 Aviza Technology, Inc. Method and apparatus for low temperature dielectric for deposition using monomolecular precursors
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7312128B2 (en) 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7560352B2 (en) 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
WO2006063614A1 (en) * 2004-12-16 2006-06-22 Freescale Semiconductor, Inc. Power field effect transistor device and method of manufacture thereof
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
WO2006082794A1 (ja) 2005-02-01 2006-08-10 Mitsui Chemicals, Inc. 部材の接合方法および複合フィルム、ならびにそれらの用途
US8216684B2 (en) * 2005-02-01 2012-07-10 Mitsu Chemicals, Inc. Method for bonding members, composite film and use thereof
JP4891550B2 (ja) * 2005-02-10 2012-03-07 独立行政法人科学技術振興機構 n型トランジスタ、n型トランジスタセンサ及びn型トランジスタ用チャネルの製造方法
US7927933B2 (en) * 2005-02-16 2011-04-19 Imec Method to enhance the initiation of film growth
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US20060264042A1 (en) * 2005-05-20 2006-11-23 Texas Instruments, Incorporated Interconnect structure including a silicon oxycarbonitride layer
US7732342B2 (en) * 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US7566655B2 (en) * 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7473655B2 (en) * 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286819A1 (en) * 2005-06-21 2006-12-21 Applied Materials, Inc. Method for silicon based dielectric deposition and clean with photoexcitation
US7601652B2 (en) * 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7598576B2 (en) 2005-06-29 2009-10-06 Cree, Inc. Environmentally robust passivation structures for high-voltage silicon carbide semiconductor devices
US7855401B2 (en) 2005-06-29 2010-12-21 Cree, Inc. Passivation of wide band-gap based semiconductor devices with hydrogen-free sputtered nitrides
KR101283835B1 (ko) * 2005-06-29 2013-07-08 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 3원 막의 증착 방법
US7525122B2 (en) 2005-06-29 2009-04-28 Cree, Inc. Passivation of wide band-gap based semiconductor devices with hydrogen-free sputtered nitrides
JP2009500857A (ja) * 2005-07-08 2009-01-08 アヴィザ テクノロジー インコーポレイテッド シリコン含有膜の堆積方法
US20070010072A1 (en) * 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
JP2009521801A (ja) * 2005-12-22 2009-06-04 エーエスエム アメリカ インコーポレイテッド ドープされた半導体物質のエピタキシャル堆積
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20070281082A1 (en) * 2006-06-02 2007-12-06 Nima Mokhlesi Flash Heating in Atomic Layer Deposition
US20070281105A1 (en) * 2006-06-02 2007-12-06 Nima Mokhlesi Atomic Layer Deposition of Oxides Using Krypton as an Ion Generating Feeding Gas
US20070277735A1 (en) * 2006-06-02 2007-12-06 Nima Mokhlesi Systems for Atomic Layer Deposition of Oxides Using Krypton as an Ion Generating Feeding Gas
US20100024732A1 (en) * 2006-06-02 2010-02-04 Nima Mokhlesi Systems for Flash Heating in Atomic Layer Deposition
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
CN103981568A (zh) 2006-07-31 2014-08-13 应用材料公司 形成含碳外延硅层的方法
KR101369355B1 (ko) 2006-07-31 2014-03-04 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 층 형성 동안에 형태를 제어하는 방법
CN101165862B (zh) * 2006-10-16 2011-04-20 联华电子股份有限公司 高压应力薄膜与应变硅金属氧化物半导体晶体管及其制法
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US20080207007A1 (en) * 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20100186811A1 (en) * 2008-08-26 2010-07-29 Sixtron Advanced Materials, Inc. Silicon Carbonitride Antireflective Coating
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
KR20120111738A (ko) 2009-12-30 2012-10-10 어플라이드 머티어리얼스, 인코포레이티드 융통성을 가진 질소/수소 비율을 이용하여 제조된 라디칼에 의한 유전체 필름의 성장
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
US8647992B2 (en) 2010-01-06 2014-02-11 Applied Materials, Inc. Flowable dielectric using oxide liner
JP2013516788A (ja) 2010-01-07 2013-05-13 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvd用のインサイチュオゾン硬化
SG183873A1 (en) 2010-03-05 2012-10-30 Applied Materials Inc Conformal layers by radical-component cvd
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
CN103098185B (zh) * 2010-08-20 2017-02-08 应用材料公司 形成无氢含硅介电薄膜的方法
US20120213940A1 (en) * 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
KR101833969B1 (ko) 2011-01-03 2018-04-16 엘지디스플레이 주식회사 양방향 액정표시장치
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US10707082B2 (en) 2011-07-06 2020-07-07 Asm International N.V. Methods for depositing thin films comprising indium nitride by atomic layer deposition
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8871656B2 (en) * 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
WO2013177326A1 (en) 2012-05-25 2013-11-28 Advanced Technology Materials, Inc. Silicon precursors for low temperature ald of silicon-based thin-films
US9991399B2 (en) 2012-10-04 2018-06-05 Cree, Inc. Passivation structure for semiconductor devices
US9812338B2 (en) 2013-03-14 2017-11-07 Cree, Inc. Encapsulation of advanced devices using novel PECVD and ALD schemes
US8994073B2 (en) 2012-10-04 2015-03-31 Cree, Inc. Hydrogen mitigation schemes in the passivation of advanced devices
JP2016507001A (ja) * 2012-12-21 2016-03-07 プラサド ナーハー ガジル セラミック薄膜の低温堆積方法
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
SG11201602301WA (en) 2013-09-27 2016-04-28 Antonio Sanchez Amine substituted trisilylamine and tridisilylamine compounds
CN104637992B (zh) * 2013-11-13 2019-08-23 上海和辉光电有限公司 具有改善的蚀刻角度的栅极绝缘层及其形成方法
KR101720017B1 (ko) * 2014-01-08 2017-03-27 (주)디엔에프 신규한 트리실릴아민 유도체, 이의 제조방법 및 이를 이용한 실리콘 함유 박막
JP6306411B2 (ja) * 2014-04-17 2018-04-04 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6871161B2 (ja) * 2014-10-24 2021-05-12 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜の堆積のための組成物及びそれを使用した方法
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9431235B1 (en) * 2015-04-24 2016-08-30 International Business Machines Corporation Multilayer dielectric structures with graded composition for nano-scale semiconductor devices
US9953841B2 (en) * 2015-05-08 2018-04-24 Macronix International Co., Ltd. Semiconductor device and method of fabricating the same
EP3307745B1 (de) * 2015-06-12 2020-04-01 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Dampfabscheideverfahren zur bildung von silicium- und stickstoffhaltigen dünnschichten
EP3307744B1 (de) * 2015-06-12 2020-09-02 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Dampfabscheideverfahren zur bildung von silicium- und sauerstoffhaltigen dünnschichten
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
WO2017040623A1 (en) 2015-09-01 2017-03-09 Silcotek Corp. Thermal chemical vapor deposition coating
JP6300773B2 (ja) * 2015-10-23 2018-03-28 三菱電機株式会社 半導体圧力センサ
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US10087521B2 (en) 2015-12-15 2018-10-02 Silcotek Corp. Silicon-nitride-containing thermal chemical vapor deposition coating
NO344185B1 (en) * 2016-05-31 2019-09-30 Inst Energiteknik Electrode and Battery comprising a powder of Silicon Nitride particles
WO2018194396A1 (ko) * 2017-04-20 2018-10-25 (주)디엔에프 다이실릴아민 화합물을 포함하는 실리콘 함유 박막증착용 조성물 및 이를 이용하는 실리콘 함유 박막의 제조방법
KR102548405B1 (ko) * 2017-04-20 2023-06-28 (주)디엔에프 다이실릴아민 화합물을 포함하는 실리콘 함유 박막증착용 조성물 및 이를 이용하는 실리콘 함유 박막의 제조방법
SG11202107377VA (en) * 2019-01-24 2021-08-30 Applied Materials Inc Methods for depositing silicon nitride
WO2020252306A1 (en) 2019-06-14 2020-12-17 Silcotek Corp. Nano-wire growth
NO345562B1 (en) * 2019-06-24 2021-04-19 Inst Energiteknik Electrode, electrical energy storage device & method
US11658026B2 (en) 2020-10-23 2023-05-23 Applied Materials, Inc. Conformal silicon oxide film deposition
KR20220081905A (ko) * 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
CN115432676B (zh) * 2021-06-04 2024-03-26 中国科学院过程工程研究所 一种多级流化床制备高质量氮化硅粉体的系统及方法
CN115432677B (zh) * 2021-06-04 2024-03-22 中国科学院过程工程研究所 一种撞击流耦合流化床制备高质量氮化硅粉体的系统及方法
CN115677355B (zh) * 2021-07-28 2023-09-08 中国科学院上海硅酸盐研究所 一种纤维表面Si3N4纳米网络复合界面相层及其制备方法
US20230126516A1 (en) * 2021-10-27 2023-04-27 Asm Ip Holding B.V. Methods and systems for forming doped silicon nitride films

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6047202B2 (ja) 1976-01-13 1985-10-21 東北大学金属材料研究所長 超硬高純度の配向多結晶質窒化珪素
US4200666A (en) * 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
US4452875A (en) 1982-02-15 1984-06-05 Canon Kabushiki Kaisha Amorphous photoconductive member with α-Si interlayers
JPH0635323B2 (ja) 1982-06-25 1994-05-11 株式会社日立製作所 表面処理方法
US4737379A (en) 1982-09-24 1988-04-12 Energy Conversion Devices, Inc. Plasma deposited coatings, and low temperature plasma method of making same
US4720395A (en) * 1986-08-25 1988-01-19 Anicon, Inc. Low temperature silicon nitride CVD process
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US4933206A (en) * 1988-08-17 1990-06-12 Intel Corporation UV-vis characteristic writing in silicon nitride and oxynitride films
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US4992299A (en) * 1990-02-01 1991-02-12 Air Products And Chemicals, Inc. Deposition of silicon nitride films from azidosilane sources
JPH04299515A (ja) 1991-03-27 1992-10-22 Shin Etsu Chem Co Ltd X線リソグラフィ−マスク用x線透過膜およびその製造方法
JPH04332115A (ja) 1991-05-02 1992-11-19 Shin Etsu Chem Co Ltd X線リソグラフィ−マスク用x線透過膜
EP0584252B1 (de) * 1991-05-17 1998-03-04 Lam Research Corporation VERFAHREN ZUR BESCHICHTUNG EINES SIOx FILMES MIT REDUZIERTER INTRINSISCHER SPANNUNG UND/ODER REDUZIERTEM WASSERSTOFFGEHALT
JP2641385B2 (ja) 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
US5413813A (en) 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
US5731238A (en) 1996-08-05 1998-03-24 Motorola Inc. Integrated circuit having a jet vapor deposition silicon nitride film and method of making the same
US5763021A (en) 1996-12-13 1998-06-09 Cypress Semiconductor Corporation Method of forming a dielectric film
JPH1174485A (ja) * 1997-06-30 1999-03-16 Toshiba Corp 半導体装置およびその製造方法
DE19749457C2 (de) 1997-11-10 2002-01-24 Schunk Motorensysteme Kohlehalteranordnung
WO2000003425A1 (en) 1998-07-10 2000-01-20 Applied Materials, Inc. Plasma process to deposit silicon nitride with high film quality and low hydrogen content
US6153541A (en) 1999-02-23 2000-11-28 Vanguard International Semiconductor Corporation Method for fabricating an oxynitride layer having anti-reflective properties and low leakage current

Also Published As

Publication number Publication date
US6630413B2 (en) 2003-10-07
US20020016084A1 (en) 2002-02-07
EP1149934A2 (de) 2001-10-31
EP1149934A3 (de) 2001-12-19
EP1149934B1 (de) 2005-08-03
JP2001358139A (ja) 2001-12-26
DE60112354D1 (de) 2005-09-08

Similar Documents

Publication Publication Date Title
DE60112354T2 (de) Cvd-synthese von siliziumnitridmaterialien
DE69834609T2 (de) Aus Bis (Tertiärbutylamino) Silan erhaltenes Siliziumnitrid
DE10123858B4 (de) Atomschicht-Abscheidungsverfahren zur Bildung einer Siliciumnitrid-haltigen Dünnschicht
DE60315850T2 (de) Verfahren zur herstellung von siliziumnitridfilmen und siliziumoxinitridfilmen durch thermische chemische aufdampfung
DE10049257B4 (de) Verfahren zur Dünnfilmerzeugung mittels atomarer Schichtdeposition
JP5593129B2 (ja) シリコン化合物によるシリコン含有層の堆積
Kane et al. Chemical vapor deposition of transparent electrically conducting layers of indium oxide doped with tin
DE10137088B4 (de) Verfahren zum Ausbilden von siliziumhaltigen Dünnschichten mittels Atomschichtabscheidung (Atomic Layer Deposition) unter Verwendung von Aminosilanen
DE3413064C2 (de)
KR102014175B1 (ko) 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
DE19627017A1 (de) Verfahren zur selektiven Ablagerung eines Metallfilms
DE19612450A1 (de) Halbleitereinrichtung und Herstellungsverfahren derselben
KR20170019668A (ko) 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
DE112016001675B4 (de) Verfahren zur Erhöhung der Wachstumsrate für ein selektives Expitaxialwachstum
DE602004000157T2 (de) CVD-Abscheidung von Hf und Zr enthaltenden Oxinitride Filmen
JP3401561B2 (ja) 高純度同位体シリコン結晶膜の製造方法
DE1544287B2 (de) Verfahren zum Herstellen einer Schutzschicht aus Siliciumnitrid
CN109585264A (zh) 一种氮化硅薄膜的可流动化学气相沉积方法
DE19653493B4 (de) Verfahren zur Ausbildung einer integrierten Schaltungseinrichtung mit Titansilicidschicht, integrierte Schaltungseinrichtung mit Titansilicidschicht und Vorrichtung zur Erzeugung derselben
TW202043542A (zh) 熱沉積含矽膜的組合物及方法
CN112969817B (zh) 含硅膜的高温原子层沉积
DE2807475A1 (de) Phosphor und stickstoff enthaltendes material, seine herstellung und verwendung
US11164739B2 (en) Use of silicon structure former with organic substituted hardening additive compounds for dense OSG films
CN112969817A (zh) 含硅膜的高温原子层沉积
DE102021124690A1 (de) Verfahren zum Bilden von Graphen auf einem Siliziumsubstrat

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee