JP4223348B2
(ja)
*
|
2003-07-31 |
2009-02-12 |
Tdk株式会社 |
磁気記録媒体の製造方法及び製造装置
|
KR100554514B1
(ko)
*
|
2003-12-26 |
2006-03-03 |
삼성전자주식회사 |
반도체 장치에서 패턴 형성 방법 및 이를 이용한 게이트형성방법.
|
JP2006012332A
(ja)
*
|
2004-06-28 |
2006-01-12 |
Tdk Corp |
ドライエッチング方法、磁気記録媒体の製造方法及び磁気記録媒体
|
US7151040B2
(en)
*
|
2004-08-31 |
2006-12-19 |
Micron Technology, Inc. |
Methods for increasing photo alignment margins
|
US7910288B2
(en)
|
2004-09-01 |
2011-03-22 |
Micron Technology, Inc. |
Mask material conversion
|
US7547945B2
(en)
*
|
2004-09-01 |
2009-06-16 |
Micron Technology, Inc. |
Transistor devices, transistor structures and semiconductor constructions
|
US7655387B2
(en)
|
2004-09-02 |
2010-02-02 |
Micron Technology, Inc. |
Method to align mask patterns
|
US7115525B2
(en)
|
2004-09-02 |
2006-10-03 |
Micron Technology, Inc. |
Method for integrated circuit fabrication using pitch multiplication
|
US7390746B2
(en)
*
|
2005-03-15 |
2008-06-24 |
Micron Technology, Inc. |
Multiple deposition for integration of spacers in pitch multiplication process
|
US7253118B2
(en)
|
2005-03-15 |
2007-08-07 |
Micron Technology, Inc. |
Pitch reduced patterns relative to photolithography features
|
US7384849B2
(en)
|
2005-03-25 |
2008-06-10 |
Micron Technology, Inc. |
Methods of forming recessed access devices associated with semiconductor constructions
|
US7611944B2
(en)
|
2005-03-28 |
2009-11-03 |
Micron Technology, Inc. |
Integrated circuit fabrication
|
KR100640640B1
(ko)
*
|
2005-04-19 |
2006-10-31 |
삼성전자주식회사 |
미세 피치의 하드마스크를 이용한 반도체 소자의 미세 패턴형성 방법
|
US7120046B1
(en)
|
2005-05-13 |
2006-10-10 |
Micron Technology, Inc. |
Memory array with surrounding gate access transistors and capacitors with global and staggered local bit lines
|
US7371627B1
(en)
|
2005-05-13 |
2008-05-13 |
Micron Technology, Inc. |
Memory array with ultra-thin etched pillar surround gate access transistors and buried data/bit lines
|
US7429536B2
(en)
|
2005-05-23 |
2008-09-30 |
Micron Technology, Inc. |
Methods for forming arrays of small, closely spaced features
|
US7560390B2
(en)
|
2005-06-02 |
2009-07-14 |
Micron Technology, Inc. |
Multiple spacer steps for pitch multiplication
|
US7396781B2
(en)
|
2005-06-09 |
2008-07-08 |
Micron Technology, Inc. |
Method and apparatus for adjusting feature size and position
|
US7541632B2
(en)
*
|
2005-06-14 |
2009-06-02 |
Micron Technology, Inc. |
Relaxed-pitch method of aligning active area to digit line
|
US7888721B2
(en)
|
2005-07-06 |
2011-02-15 |
Micron Technology, Inc. |
Surround gate access transistors with grown ultra-thin bodies
|
US7282401B2
(en)
|
2005-07-08 |
2007-10-16 |
Micron Technology, Inc. |
Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
|
US7768051B2
(en)
|
2005-07-25 |
2010-08-03 |
Micron Technology, Inc. |
DRAM including a vertical surround gate transistor
|
US7413981B2
(en)
*
|
2005-07-29 |
2008-08-19 |
Micron Technology, Inc. |
Pitch doubled circuit layout
|
US8123968B2
(en)
|
2005-08-25 |
2012-02-28 |
Round Rock Research, Llc |
Multiple deposition for integration of spacers in pitch multiplication process
|
US7867851B2
(en)
|
2005-08-30 |
2011-01-11 |
Micron Technology, Inc. |
Methods of forming field effect transistors on substrates
|
US7816262B2
(en)
|
2005-08-30 |
2010-10-19 |
Micron Technology, Inc. |
Method and algorithm for random half pitched interconnect layout with constant spacing
|
US7696567B2
(en)
|
2005-08-31 |
2010-04-13 |
Micron Technology, Inc |
Semiconductor memory device
|
US7829262B2
(en)
|
2005-08-31 |
2010-11-09 |
Micron Technology, Inc. |
Method of forming pitch multipled contacts
|
US7416943B2
(en)
|
2005-09-01 |
2008-08-26 |
Micron Technology, Inc. |
Peripheral gate stacks and recessed array gates
|
US7759197B2
(en)
|
2005-09-01 |
2010-07-20 |
Micron Technology, Inc. |
Method of forming isolated features using pitch multiplication
|
US7776744B2
(en)
|
2005-09-01 |
2010-08-17 |
Micron Technology, Inc. |
Pitch multiplication spacers and methods of forming the same
|
US7557032B2
(en)
|
2005-09-01 |
2009-07-07 |
Micron Technology, Inc. |
Silicided recessed silicon
|
US7687342B2
(en)
|
2005-09-01 |
2010-03-30 |
Micron Technology, Inc. |
Method of manufacturing a memory device
|
US7572572B2
(en)
|
2005-09-01 |
2009-08-11 |
Micron Technology, Inc. |
Methods for forming arrays of small, closely spaced features
|
US7393789B2
(en)
*
|
2005-09-01 |
2008-07-01 |
Micron Technology, Inc. |
Protective coating for planarization
|
KR100660718B1
(ko)
*
|
2005-12-20 |
2006-12-21 |
동부일렉트로닉스 주식회사 |
플래시 메모리 소자의 플로팅 게이트 어레이 형성 방법
|
US7700441B2
(en)
|
2006-02-02 |
2010-04-20 |
Micron Technology, Inc. |
Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
|
US7476933B2
(en)
*
|
2006-03-02 |
2009-01-13 |
Micron Technology, Inc. |
Vertical gated access transistor
|
US7842558B2
(en)
|
2006-03-02 |
2010-11-30 |
Micron Technology, Inc. |
Masking process for simultaneously patterning separate regions
|
US20070212892A1
(en)
*
|
2006-03-07 |
2007-09-13 |
Dirk Caspary |
Method of forming semiconductor device structures using hardmasks
|
DE102006019413A1
(de)
*
|
2006-04-26 |
2008-01-31 |
Qimonda Ag |
Speichervorrichtung, Anordnung aus Leiterbahnen, Verfahren zur Herstellung einer Speichervorrichtung und Verfahren zur Herstellung einer Anordnung aus Leiterbahnen
|
US7662721B2
(en)
*
|
2006-03-15 |
2010-02-16 |
Infineon Technologies Ag |
Hard mask layer stack and a method of patterning
|
US7579278B2
(en)
|
2006-03-23 |
2009-08-25 |
Micron Technology, Inc. |
Topography directed patterning
|
US7902074B2
(en)
|
2006-04-07 |
2011-03-08 |
Micron Technology, Inc. |
Simplified pitch doubling process flow
|
US8003310B2
(en)
*
|
2006-04-24 |
2011-08-23 |
Micron Technology, Inc. |
Masking techniques and templates for dense semiconductor fabrication
|
US7488685B2
(en)
|
2006-04-25 |
2009-02-10 |
Micron Technology, Inc. |
Process for improving critical dimension uniformity of integrated circuit arrays
|
US7560201B2
(en)
*
|
2006-05-24 |
2009-07-14 |
Synopsys, Inc. |
Patterning a single integrated circuit layer using multiple masks and multiple masking layers
|
US7537866B2
(en)
*
|
2006-05-24 |
2009-05-26 |
Synopsys, Inc. |
Patterning a single integrated circuit layer using multiple masks and multiple masking layers
|
US7795149B2
(en)
|
2006-06-01 |
2010-09-14 |
Micron Technology, Inc. |
Masking techniques and contact imprint reticles for dense semiconductor fabrication
|
US7723009B2
(en)
|
2006-06-02 |
2010-05-25 |
Micron Technology, Inc. |
Topography based patterning
|
US8852851B2
(en)
|
2006-07-10 |
2014-10-07 |
Micron Technology, Inc. |
Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
|
US7602001B2
(en)
|
2006-07-17 |
2009-10-13 |
Micron Technology, Inc. |
Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
|
KR100823706B1
(ko)
*
|
2006-07-21 |
2008-04-21 |
삼성전자주식회사 |
반도체 장치의 신호 라인 구조물 및 이를 제조하는 방법
|
US7772632B2
(en)
|
2006-08-21 |
2010-08-10 |
Micron Technology, Inc. |
Memory arrays and methods of fabricating memory arrays
|
US7611980B2
(en)
|
2006-08-30 |
2009-11-03 |
Micron Technology, Inc. |
Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
|
KR20080022375A
(ko)
*
|
2006-09-06 |
2008-03-11 |
주식회사 하이닉스반도체 |
반도체 소자의 제조방법
|
US7589995B2
(en)
|
2006-09-07 |
2009-09-15 |
Micron Technology, Inc. |
One-transistor memory cell with bias gate
|
US7790357B2
(en)
*
|
2006-09-12 |
2010-09-07 |
Hynix Semiconductor Inc. |
Method of forming fine pattern of semiconductor device
|
US7666578B2
(en)
|
2006-09-14 |
2010-02-23 |
Micron Technology, Inc. |
Efficient pitch multiplication process
|
KR100810616B1
(ko)
*
|
2006-10-02 |
2008-03-06 |
삼성전자주식회사 |
미세 선폭의 도전성 라인들을 갖는 반도체소자 및 그제조방법
|
KR100790998B1
(ko)
*
|
2006-10-02 |
2008-01-03 |
삼성전자주식회사 |
셀프 얼라인 더블 패터닝법을 사용한 패드 패턴 형성 방법 및 셀프 얼라인 더블 패터닝법을 사용한 콘택홀 형성방법
|
US8129289B2
(en)
*
|
2006-10-05 |
2012-03-06 |
Micron Technology, Inc. |
Method to deposit conformal low temperature SiO2
|
KR100790999B1
(ko)
*
|
2006-10-17 |
2008-01-03 |
삼성전자주식회사 |
더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
|
KR100771891B1
(ko)
*
|
2006-11-10 |
2007-11-01 |
삼성전자주식회사 |
더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
|
CN101542390A
(zh)
*
|
2006-11-14 |
2009-09-23 |
Nxp股份有限公司 |
用以增大特征空间密度的两次形成图案的光刻技术
|
US7867843B2
(en)
*
|
2006-12-22 |
2011-01-11 |
Intel Corporation |
Gate structures for flash memory and methods of making same
|
KR100827534B1
(ko)
*
|
2006-12-28 |
2008-05-06 |
주식회사 하이닉스반도체 |
반도체 소자 및 그의 미세 패턴 형성 방법
|
KR100866723B1
(ko)
*
|
2006-12-28 |
2008-11-05 |
주식회사 하이닉스반도체 |
반도체 소자 및 그의 미세 패턴 형성 방법
|
KR100842762B1
(ko)
*
|
2007-01-04 |
2008-07-01 |
주식회사 하이닉스반도체 |
리세스 게이트를 갖는 반도체 소자의 제조 방법
|
US7842616B2
(en)
|
2007-01-22 |
2010-11-30 |
Advanced Technology Development Facility, Inc. |
Methods for fabricating semiconductor structures
|
WO2008091343A1
(en)
*
|
2007-01-22 |
2008-07-31 |
Atdf, Inc. |
Methods for fabricating semiconductor structures
|
JP2008210930A
(ja)
*
|
2007-02-26 |
2008-09-11 |
Elpida Memory Inc |
半導体装置の製造方法
|
JP2008218516A
(ja)
*
|
2007-02-28 |
2008-09-18 |
Toshiba Corp |
パターン評価方法、評価マーク、それを用いた半導体装置の製造方法
|
US8072601B2
(en)
*
|
2007-02-28 |
2011-12-06 |
Kabushiki Kaisha Toshiba |
Pattern monitor mark and monitoring method suitable for micropattern
|
US7790360B2
(en)
|
2007-03-05 |
2010-09-07 |
Micron Technology, Inc. |
Methods of forming multiple lines
|
US20080241574A1
(en)
*
|
2007-03-26 |
2008-10-02 |
Advanced Micro Devices, Inc. |
Semiconductor device having structure with sub-lithography dimensions
|
KR100838380B1
(ko)
*
|
2007-03-26 |
2008-06-13 |
주식회사 하이닉스반도체 |
반도체 소자의 트렌치 형성 방법
|
US9460924B2
(en)
*
|
2007-03-26 |
2016-10-04 |
GlobalFoundries, Inc. |
Semiconductor device having structure with fractional dimension of the minimum dimension of a lithography system
|
JP4384199B2
(ja)
*
|
2007-04-04 |
2009-12-16 |
株式会社東芝 |
半導体装置の製造方法
|
KR100880323B1
(ko)
*
|
2007-05-11 |
2009-01-28 |
주식회사 하이닉스반도체 |
플래시 메모리 소자의 제조 방법
|
KR101368544B1
(ko)
*
|
2007-05-14 |
2014-02-27 |
마이크론 테크놀로지, 인크. |
간이화한 피치 더블링 프로세스 플로우
|
US7794614B2
(en)
*
|
2007-05-29 |
2010-09-14 |
Qimonda Ag |
Methods for generating sublithographic structures
|
US7846849B2
(en)
*
|
2007-06-01 |
2010-12-07 |
Applied Materials, Inc. |
Frequency tripling using spacer mask having interposed regions
|
US7807578B2
(en)
*
|
2007-06-01 |
2010-10-05 |
Applied Materials, Inc. |
Frequency doubling using spacer mask
|
US7923373B2
(en)
|
2007-06-04 |
2011-04-12 |
Micron Technology, Inc. |
Pitch multiplication using self-assembling materials
|
WO2008157069A1
(en)
*
|
2007-06-15 |
2008-12-24 |
Applied Materials, Inc. |
Low temperature sacvd processes for pattern loading applications
|
CN102203921A
(zh)
*
|
2007-06-15 |
2011-09-28 |
应用材料股份有限公司 |
在基板间隙中形成氧化物牺牲衬层的氧气sacvd方法
|
US7985681B2
(en)
*
|
2007-06-22 |
2011-07-26 |
Micron Technology, Inc. |
Method for selectively forming symmetrical or asymmetrical features using a symmetrical photomask during fabrication of a semiconductor device and electronic systems including the semiconductor device
|
KR100927398B1
(ko)
*
|
2007-06-26 |
2009-11-19 |
주식회사 하이닉스반도체 |
반도체 소자의 미세 패턴 형성 방법
|
KR100876892B1
(ko)
*
|
2007-06-29 |
2009-01-07 |
주식회사 하이닉스반도체 |
반도체 소자의 제조방법
|
KR100842753B1
(ko)
*
|
2007-06-29 |
2008-07-01 |
주식회사 하이닉스반도체 |
스페이서를 이용한 반도체소자의 패턴 형성방법
|
US8026180B2
(en)
*
|
2007-07-12 |
2011-09-27 |
Micron Technology, Inc. |
Methods of modifying oxide spacers
|
US8980756B2
(en)
|
2007-07-30 |
2015-03-17 |
Micron Technology, Inc. |
Methods for device fabrication using pitch reduction
|
US20090035902A1
(en)
*
|
2007-07-31 |
2009-02-05 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Integrated method of fabricating a memory device with reduced pitch
|
US8563229B2
(en)
*
|
2007-07-31 |
2013-10-22 |
Micron Technology, Inc. |
Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
|
US8481417B2
(en)
|
2007-08-03 |
2013-07-09 |
Micron Technology, Inc. |
Semiconductor structures including tight pitch contacts and methods to form same
|
US8021933B2
(en)
|
2007-08-29 |
2011-09-20 |
Qimonda Ag |
Integrated circuit including structures arranged at different densities and method of forming the same
|
KR100955265B1
(ko)
*
|
2007-08-31 |
2010-04-30 |
주식회사 하이닉스반도체 |
반도체 소자의 미세패턴 형성방법
|
KR100905157B1
(ko)
*
|
2007-09-18 |
2009-06-29 |
주식회사 하이닉스반도체 |
반도체 소자의 미세 패턴 형성 방법
|
JP5236983B2
(ja)
*
|
2007-09-28 |
2013-07-17 |
東京エレクトロン株式会社 |
半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びプログラム記憶媒体
|
KR101061316B1
(ko)
*
|
2007-09-28 |
2011-08-31 |
주식회사 하이닉스반도체 |
반도체 소자의 미세패턴 형성방법
|
JP2009088085A
(ja)
*
|
2007-09-28 |
2009-04-23 |
Tokyo Electron Ltd |
半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びプログラム記憶媒体
|
KR100877111B1
(ko)
*
|
2007-10-04 |
2009-01-07 |
주식회사 하이닉스반도체 |
미세 패턴 형성 방법
|
US20090104541A1
(en)
*
|
2007-10-23 |
2009-04-23 |
Eui Kyoon Kim |
Plasma surface treatment to prevent pattern collapse in immersion lithography
|
US8357618B2
(en)
*
|
2007-10-26 |
2013-01-22 |
Applied Materials, Inc. |
Frequency doubling using a photo-resist template mask
|
US7737039B2
(en)
*
|
2007-11-01 |
2010-06-15 |
Micron Technology, Inc. |
Spacer process for on pitch contacts and related structures
|
KR100875662B1
(ko)
*
|
2007-11-02 |
2008-12-26 |
주식회사 하이닉스반도체 |
반도체 소자의 패턴 형성 방법
|
US7659208B2
(en)
|
2007-12-06 |
2010-02-09 |
Micron Technology, Inc |
Method for forming high density patterns
|
US7846812B2
(en)
*
|
2007-12-18 |
2010-12-07 |
Micron Technology, Inc. |
Methods of forming trench isolation and methods of forming floating gate transistors
|
US7790531B2
(en)
|
2007-12-18 |
2010-09-07 |
Micron Technology, Inc. |
Methods for isolating portions of a loop of pitch-multiplied material and related structures
|
KR100983724B1
(ko)
*
|
2007-12-20 |
2010-09-24 |
주식회사 하이닉스반도체 |
반도체 소자의 형성 방법
|
KR100924193B1
(ko)
*
|
2007-12-24 |
2009-10-29 |
주식회사 하이닉스반도체 |
반도체 소자의 제조 방법
|
KR100919349B1
(ko)
*
|
2007-12-27 |
2009-09-25 |
주식회사 하이닉스반도체 |
반도체 소자의 금속 배선 형성 방법
|
KR100966976B1
(ko)
*
|
2007-12-28 |
2010-06-30 |
주식회사 하이닉스반도체 |
반도체 소자의 제조 방법
|
KR100933854B1
(ko)
*
|
2008-01-14 |
2009-12-24 |
주식회사 하이닉스반도체 |
반도체 소자의 패턴 형성방법
|
JP5269428B2
(ja)
*
|
2008-02-01 |
2013-08-21 |
株式会社東芝 |
半導体装置及びその製造方法
|
US20090197086A1
(en)
*
|
2008-02-04 |
2009-08-06 |
Sudha Rathi |
Elimination of photoresist material collapse and poisoning in 45-nm feature size using dry or immersion lithography
|
JP4630906B2
(ja)
*
|
2008-02-29 |
2011-02-09 |
株式会社東芝 |
半導体装置の製造方法
|
US8030218B2
(en)
|
2008-03-21 |
2011-10-04 |
Micron Technology, Inc. |
Method for selectively modifying spacing between pitch multiplied structures
|
KR101139460B1
(ko)
*
|
2008-03-26 |
2012-05-02 |
에스케이하이닉스 주식회사 |
반도체 소자의 제조 방법
|
KR101448854B1
(ko)
|
2008-03-28 |
2014-10-14 |
삼성전자주식회사 |
반도체 소자의 미세 패턴 형성 방법
|
KR20090110172A
(ko)
*
|
2008-04-17 |
2009-10-21 |
삼성전자주식회사 |
반도체 소자의 미세 패턴 형성 방법
|
US8106519B2
(en)
*
|
2008-04-22 |
2012-01-31 |
Macronix International Co., Ltd. |
Methods for pitch reduction
|
US7989307B2
(en)
|
2008-05-05 |
2011-08-02 |
Micron Technology, Inc. |
Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
|
US10151981B2
(en)
|
2008-05-22 |
2018-12-11 |
Micron Technology, Inc. |
Methods of forming structures supported by semiconductor substrates
|
JP2009295785A
(ja)
*
|
2008-06-05 |
2009-12-17 |
Toshiba Corp |
半導体装置の製造方法
|
WO2009150870A1
(ja)
*
|
2008-06-13 |
2009-12-17 |
東京エレクトロン株式会社 |
半導体装置の製造方法
|
US8293460B2
(en)
*
|
2008-06-16 |
2012-10-23 |
Applied Materials, Inc. |
Double exposure patterning with carbonaceous hardmask
|
KR101468028B1
(ko)
*
|
2008-06-17 |
2014-12-02 |
삼성전자주식회사 |
반도체 소자의 미세 패턴 형성 방법
|
US8404600B2
(en)
|
2008-06-17 |
2013-03-26 |
Micron Technology, Inc. |
Method for forming fine pitch structures
|
US8076208B2
(en)
|
2008-07-03 |
2011-12-13 |
Micron Technology, Inc. |
Method for forming transistor with high breakdown voltage using pitch multiplication technique
|
US8318408B2
(en)
*
|
2008-07-28 |
2012-11-27 |
Hynix Semiconductor Inc. |
Method of forming patterns of semiconductor device
|
KR101435520B1
(ko)
|
2008-08-11 |
2014-09-01 |
삼성전자주식회사 |
반도체 소자 및 반도체 소자의 패턴 형성 방법
|
JP2010080942A
(ja)
*
|
2008-08-25 |
2010-04-08 |
Elpida Memory Inc |
半導体装置の製造方法
|
US8222159B2
(en)
*
|
2008-08-25 |
2012-07-17 |
Elpida Memory, Inc. |
Manufacturing method of semiconductor device
|
KR100994714B1
(ko)
*
|
2008-08-29 |
2010-11-17 |
주식회사 하이닉스반도체 |
반도체 장치 제조 방법
|
US9236448B2
(en)
*
|
2008-09-02 |
2016-01-12 |
Cypress Semiconductor Corporation |
Method for achieving very small feature size in semiconductor device by undertaking silicide sidewall growth and etching
|
US8101497B2
(en)
|
2008-09-11 |
2012-01-24 |
Micron Technology, Inc. |
Self-aligned trench formation
|
US7709396B2
(en)
*
|
2008-09-19 |
2010-05-04 |
Applied Materials, Inc. |
Integral patterning of large features along with array using spacer mask patterning process flow
|
JP5511168B2
(ja)
*
|
2008-09-19 |
2014-06-04 |
スパンション エルエルシー |
半導体装置の製造方法
|
KR101540083B1
(ko)
|
2008-10-22 |
2015-07-30 |
삼성전자주식회사 |
반도체 소자의 패턴 형성 방법
|
KR20100049334A
(ko)
*
|
2008-11-03 |
2010-05-12 |
주식회사 하이닉스반도체 |
반도체 소자의 패턴 형성 방법
|
JP2010118501A
(ja)
*
|
2008-11-13 |
2010-05-27 |
Toshiba Corp |
半導体装置の製造方法
|
US8187480B2
(en)
*
|
2008-11-13 |
2012-05-29 |
Seagate Technology, Llc |
Ultra thin alignment walls for di-block copolymer
|
US8492282B2
(en)
|
2008-11-24 |
2013-07-23 |
Micron Technology, Inc. |
Methods of forming a masking pattern for integrated circuits
|
JP4719910B2
(ja)
*
|
2008-11-26 |
2011-07-06 |
国立大学法人東北大学 |
半導体装置の製造方法
|
US8048813B2
(en)
*
|
2008-12-01 |
2011-11-01 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Method of reducing delamination in the fabrication of small-pitch devices
|
US8247302B2
(en)
|
2008-12-04 |
2012-08-21 |
Micron Technology, Inc. |
Methods of fabricating substrates
|
US8796155B2
(en)
|
2008-12-04 |
2014-08-05 |
Micron Technology, Inc. |
Methods of fabricating substrates
|
US8273634B2
(en)
|
2008-12-04 |
2012-09-25 |
Micron Technology, Inc. |
Methods of fabricating substrates
|
KR101532012B1
(ko)
*
|
2008-12-24 |
2015-06-30 |
삼성전자주식회사 |
반도체 소자 및 반도체 소자의 패턴 형성 방법
|
KR100994715B1
(ko)
*
|
2008-12-31 |
2010-11-17 |
주식회사 하이닉스반도체 |
4중 패터닝을 이용한 반도체 소자의 미세 패턴 형성방법
|
US8114765B2
(en)
|
2008-12-31 |
2012-02-14 |
Sandisk 3D Llc |
Methods for increased array feature density
|
US8084347B2
(en)
*
|
2008-12-31 |
2011-12-27 |
Sandisk 3D Llc |
Resist feature and removable spacer pitch doubling patterning method for pillar structures
|
US8138092B2
(en)
*
|
2009-01-09 |
2012-03-20 |
Lam Research Corporation |
Spacer formation for array double patterning
|
US7862962B2
(en)
*
|
2009-01-20 |
2011-01-04 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Integrated circuit layout design
|
US7989355B2
(en)
*
|
2009-02-12 |
2011-08-02 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Method of pitch halving
|
KR101016826B1
(ko)
*
|
2009-02-13 |
2011-02-21 |
주식회사 하이닉스반도체 |
반도체 소자의 패턴 및 이의 형성 방법
|
KR101618749B1
(ko)
*
|
2009-02-27 |
2016-05-09 |
삼성전자주식회사 |
반도체 소자의 패턴 형성 방법
|
US8268543B2
(en)
|
2009-03-23 |
2012-09-18 |
Micron Technology, Inc. |
Methods of forming patterns on substrates
|
US7989336B2
(en)
*
|
2009-05-06 |
2011-08-02 |
Micron Technology, Inc. |
Methods of forming a plurality of conductive lines in the fabrication of integrated circuitry, methods of forming an array of conductive lines, and integrated circuitry
|
US8399183B2
(en)
*
|
2009-05-13 |
2013-03-19 |
Synopsys, Inc. |
Patterning a single integrated circuit layer using automatically-generated masks and multiple masking layers
|
US9330934B2
(en)
|
2009-05-18 |
2016-05-03 |
Micron Technology, Inc. |
Methods of forming patterns on substrates
|
US8268730B2
(en)
*
|
2009-06-03 |
2012-09-18 |
Micron Technology, Inc. |
Methods of masking semiconductor device structures
|
US7972926B2
(en)
|
2009-07-02 |
2011-07-05 |
Micron Technology, Inc. |
Methods of forming memory cells; and methods of forming vertical structures
|
US8110466B2
(en)
*
|
2009-10-27 |
2012-02-07 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Cross OD FinFET patterning
|
US8455364B2
(en)
*
|
2009-11-06 |
2013-06-04 |
International Business Machines Corporation |
Sidewall image transfer using the lithographic stack as the mandrel
|
US8003482B2
(en)
|
2009-11-19 |
2011-08-23 |
Micron Technology, Inc. |
Methods of processing semiconductor substrates in forming scribe line alignment marks
|
US20110129991A1
(en)
*
|
2009-12-02 |
2011-06-02 |
Kyle Armstrong |
Methods Of Patterning Materials, And Methods Of Forming Memory Cells
|
KR20110064661A
(ko)
*
|
2009-12-08 |
2011-06-15 |
삼성전자주식회사 |
반도체소자의 제조방법
|
US8222704B2
(en)
*
|
2009-12-31 |
2012-07-17 |
Nantero, Inc. |
Compact electrical switching devices with nanotube elements, and methods of making same
|
US20110244398A1
(en)
*
|
2010-03-30 |
2011-10-06 |
United Microelectronics Corp |
Patterning method
|
JP4982582B2
(ja)
*
|
2010-03-31 |
2012-07-25 |
株式会社東芝 |
マスクの製造方法
|
JP2011233878A
(ja)
*
|
2010-04-09 |
2011-11-17 |
Elpida Memory Inc |
半導体装置の製造方法
|
US9324576B2
(en)
|
2010-05-27 |
2016-04-26 |
Applied Materials, Inc. |
Selective etch for silicon films
|
US8513129B2
(en)
|
2010-05-28 |
2013-08-20 |
Applied Materials, Inc. |
Planarizing etch hardmask to increase pattern density and aspect ratio
|
JP2012015343A
(ja)
*
|
2010-07-01 |
2012-01-19 |
Hitachi High-Technologies Corp |
プラズマエッチング方法
|
US9130058B2
(en)
|
2010-07-26 |
2015-09-08 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Forming crown active regions for FinFETs
|
US8518788B2
(en)
|
2010-08-11 |
2013-08-27 |
Micron Technology, Inc. |
Methods of forming a plurality of capacitors
|
KR101692407B1
(ko)
*
|
2010-08-19 |
2017-01-04 |
삼성전자주식회사 |
라인 패턴 구조물의 형성 방법
|
US8216939B2
(en)
|
2010-08-20 |
2012-07-10 |
Micron Technology, Inc. |
Methods of forming openings
|
WO2012026286A1
(ja)
*
|
2010-08-27 |
2012-03-01 |
東京エレクトロン株式会社 |
エッチング方法、基板処理方法、パターン形成方法、半導体素子の製造方法、および半導体素子
|
US8455341B2
(en)
|
2010-09-02 |
2013-06-04 |
Micron Technology, Inc. |
Methods of forming features of integrated circuitry
|
US8691697B2
(en)
*
|
2010-11-11 |
2014-04-08 |
International Business Machines Corporation |
Self-aligned devices and methods of manufacture
|
KR101867503B1
(ko)
*
|
2010-11-19 |
2018-06-15 |
에스케이하이닉스 주식회사 |
반도체 소자의 미세 패턴 형성 방법
|
US8741778B2
(en)
|
2010-12-14 |
2014-06-03 |
Applied Materials, Inc. |
Uniform dry etch in two stages
|
KR101093241B1
(ko)
*
|
2010-12-15 |
2011-12-14 |
주식회사 하이닉스반도체 |
반도체 소자의 패턴 형성방법
|
KR101682582B1
(ko)
*
|
2010-12-16 |
2016-12-13 |
삼성전자주식회사 |
나노 임프린트 리소그래피 패턴 형성 방법
|
US8922020B2
(en)
|
2010-12-29 |
2014-12-30 |
Macronix International Co., Ltd. |
Integrated circuit pattern and method
|
CN102623423B
(zh)
*
|
2011-01-26 |
2015-01-28 |
旺宏电子股份有限公司 |
集成电路图案及多重图案化方法
|
TWI506754B
(zh)
*
|
2011-01-27 |
2015-11-01 |
Macronix Int Co Ltd |
積體電路圖案及方法
|
US8771539B2
(en)
|
2011-02-22 |
2014-07-08 |
Applied Materials, Inc. |
Remotely-excited fluorine and water vapor etch
|
US9064815B2
(en)
|
2011-03-14 |
2015-06-23 |
Applied Materials, Inc. |
Methods for etch of metal and metal-oxide films
|
US8999856B2
(en)
|
2011-03-14 |
2015-04-07 |
Applied Materials, Inc. |
Methods for etch of sin films
|
FR2973156B1
(fr)
*
|
2011-03-24 |
2014-01-03 |
St Microelectronics Crolles 2 |
Procede de decomposition de lignes d'un circuit electronique
|
US8586478B2
(en)
*
|
2011-03-28 |
2013-11-19 |
Renesas Electronics Corporation |
Method of making a semiconductor device
|
US8575032B2
(en)
|
2011-05-05 |
2013-11-05 |
Micron Technology, Inc. |
Methods of forming a pattern on a substrate
|
CN102789968B
(zh)
*
|
2011-05-20 |
2015-06-17 |
中芯国际集成电路制造(北京)有限公司 |
在半导体制造工艺中形成硬掩模的方法
|
US8722320B2
(en)
|
2011-07-27 |
2014-05-13 |
Micron Technology, Inc. |
Lithography method and device
|
US8771536B2
(en)
|
2011-08-01 |
2014-07-08 |
Applied Materials, Inc. |
Dry-etch for silicon-and-carbon-containing films
|
US8679982B2
(en)
|
2011-08-26 |
2014-03-25 |
Applied Materials, Inc. |
Selective suppression of dry-etch rate of materials containing both silicon and oxygen
|
US8679983B2
(en)
|
2011-09-01 |
2014-03-25 |
Applied Materials, Inc. |
Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
|
JP2013065772A
(ja)
*
|
2011-09-20 |
2013-04-11 |
Toshiba Corp |
半導体装置の製造方法
|
US8927390B2
(en)
|
2011-09-26 |
2015-01-06 |
Applied Materials, Inc. |
Intrench profile
|
CN103030107B
(zh)
*
|
2011-10-06 |
2014-12-10 |
清华大学 |
三维纳米结构阵列的制备方法
|
US8808563B2
(en)
|
2011-10-07 |
2014-08-19 |
Applied Materials, Inc. |
Selective etch of silicon by way of metastable hydrogen termination
|
US9076680B2
(en)
|
2011-10-18 |
2015-07-07 |
Micron Technology, Inc. |
Integrated circuitry, methods of forming capacitors, and methods of forming integrated circuitry comprising an array of capacitors and circuitry peripheral to the array
|
US20130115778A1
(en)
*
|
2011-11-04 |
2013-05-09 |
Applied Materials, Inc. |
Dry Etch Processes
|
WO2013070436A1
(en)
|
2011-11-08 |
2013-05-16 |
Applied Materials, Inc. |
Methods of reducing substrate dislocation during gapfill processing
|
US8629040B2
(en)
|
2011-11-16 |
2014-01-14 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Methods for epitaxially growing active regions between STI regions
|
TWI473205B
(zh)
*
|
2011-11-24 |
2015-02-11 |
Powerchip Technology Corp |
接觸窗開口的形成方法
|
US9059001B2
(en)
*
|
2011-12-16 |
2015-06-16 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Semiconductor device with biased feature
|
US8860184B2
(en)
*
|
2011-12-29 |
2014-10-14 |
Intel Corporation |
Spacer assisted pitch division lithography
|
US9177794B2
(en)
|
2012-01-13 |
2015-11-03 |
Micron Technology, Inc. |
Methods of patterning substrates
|
US8697537B2
(en)
*
|
2012-02-01 |
2014-04-15 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Method of patterning for a semiconductor device
|
US8741776B2
(en)
*
|
2012-02-07 |
2014-06-03 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Patterning process for fin-like field effect transistor (finFET) device
|
US8802510B2
(en)
*
|
2012-02-22 |
2014-08-12 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Methods for controlling line dimensions in spacer alignment double patterning semiconductor processing
|
CN103377985B
(zh)
*
|
2012-04-17 |
2016-06-29 |
南亚科技股份有限公司 |
接触孔的制作方法
|
US8629048B1
(en)
|
2012-07-06 |
2014-01-14 |
Micron Technology, Inc. |
Methods of forming a pattern on a substrate
|
US8703406B2
(en)
*
|
2012-07-12 |
2014-04-22 |
Transfer Devices Inc. |
Method of forming large-area masters for replication of transfer lithography templates
|
US8637406B1
(en)
*
|
2012-07-19 |
2014-01-28 |
International Business Machines Corporation |
Image transfer process employing a hard mask layer
|
US8969213B2
(en)
|
2012-07-30 |
2015-03-03 |
International Business Machines Corporation |
Non-lithographic line pattern formation
|
US9373517B2
(en)
|
2012-08-02 |
2016-06-21 |
Applied Materials, Inc. |
Semiconductor processing with DC assisted RF power for improved control
|
JP2014053436A
(ja)
*
|
2012-09-06 |
2014-03-20 |
Toshiba Corp |
半導体記憶装置の製造方法
|
US9034770B2
(en)
|
2012-09-17 |
2015-05-19 |
Applied Materials, Inc. |
Differential silicon oxide etch
|
US9023734B2
(en)
|
2012-09-18 |
2015-05-05 |
Applied Materials, Inc. |
Radical-component oxide etch
|
US9390937B2
(en)
|
2012-09-20 |
2016-07-12 |
Applied Materials, Inc. |
Silicon-carbon-nitride selective etch
|
US9132436B2
(en)
|
2012-09-21 |
2015-09-15 |
Applied Materials, Inc. |
Chemical control features in wafer process equipment
|
US8501607B1
(en)
*
|
2012-11-07 |
2013-08-06 |
Globalfoundries Inc. |
FinFET alignment structures using a double trench flow
|
US8765574B2
(en)
|
2012-11-09 |
2014-07-01 |
Applied Materials, Inc. |
Dry etch process
|
US8969212B2
(en)
|
2012-11-20 |
2015-03-03 |
Applied Materials, Inc. |
Dry-etch selectivity
|
US9064816B2
(en)
|
2012-11-30 |
2015-06-23 |
Applied Materials, Inc. |
Dry-etch for selective oxidation removal
|
US8980763B2
(en)
|
2012-11-30 |
2015-03-17 |
Applied Materials, Inc. |
Dry-etch for selective tungsten removal
|
US8889561B2
(en)
*
|
2012-12-10 |
2014-11-18 |
Globalfoundries Inc. |
Double sidewall image transfer process
|
US9111877B2
(en)
|
2012-12-18 |
2015-08-18 |
Applied Materials, Inc. |
Non-local plasma oxide etch
|
US8921234B2
(en)
|
2012-12-21 |
2014-12-30 |
Applied Materials, Inc. |
Selective titanium nitride etching
|
US9318330B2
(en)
*
|
2012-12-27 |
2016-04-19 |
Renesas Electronics Corporation |
Patterning process method for semiconductor devices
|
KR102052465B1
(ko)
*
|
2012-12-28 |
2019-12-05 |
다이니폰 인사츠 가부시키가이샤 |
나노임프린트 몰드의 제조 방법
|
US9213239B2
(en)
|
2013-01-22 |
2015-12-15 |
Micron Technology, Inc. |
Methods of forming patterns for semiconductor device structures
|
US10256079B2
(en)
|
2013-02-08 |
2019-04-09 |
Applied Materials, Inc. |
Semiconductor processing systems having multiple plasma configurations
|
US8993218B2
(en)
*
|
2013-02-20 |
2015-03-31 |
Taiwan Semiconductor Manufacturing Company Limited |
Photo resist (PR) profile control
|
US9362130B2
(en)
|
2013-03-01 |
2016-06-07 |
Applied Materials, Inc. |
Enhanced etching processes using remote plasma sources
|
TWI487004B
(zh)
*
|
2013-03-01 |
2015-06-01 |
Winbond Electronics Corp |
圖案化的方法及記憶體元件的形成方法
|
US9040422B2
(en)
|
2013-03-05 |
2015-05-26 |
Applied Materials, Inc. |
Selective titanium nitride removal
|
US8801952B1
(en)
|
2013-03-07 |
2014-08-12 |
Applied Materials, Inc. |
Conformal oxide dry etch
|
US10170282B2
(en)
|
2013-03-08 |
2019-01-01 |
Applied Materials, Inc. |
Insulated semiconductor faceplate designs
|
US8835323B1
(en)
*
|
2013-03-14 |
2014-09-16 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Method for integrated circuit patterning
|
US20140271097A1
(en)
|
2013-03-15 |
2014-09-18 |
Applied Materials, Inc. |
Processing systems and methods for halide scavenging
|
US8895449B1
(en)
|
2013-05-16 |
2014-11-25 |
Applied Materials, Inc. |
Delicate dry clean
|
US9114438B2
(en)
|
2013-05-21 |
2015-08-25 |
Applied Materials, Inc. |
Copper residue chamber clean
|
US9219007B2
(en)
|
2013-06-10 |
2015-12-22 |
International Business Machines Corporation |
Double self aligned via patterning
|
US9583381B2
(en)
|
2013-06-14 |
2017-02-28 |
Micron Technology, Inc. |
Methods for forming semiconductor devices and semiconductor device structures
|
US9493879B2
(en)
|
2013-07-12 |
2016-11-15 |
Applied Materials, Inc. |
Selective sputtering for pattern transfer
|
US20150044875A1
(en)
*
|
2013-08-09 |
2015-02-12 |
United Microelectronics Corp. |
Method of forming pattern
|
US9773648B2
(en)
|
2013-08-30 |
2017-09-26 |
Applied Materials, Inc. |
Dual discharge modes operation for remote plasma
|
JP6026375B2
(ja)
|
2013-09-02 |
2016-11-16 |
株式会社東芝 |
半導体装置の製造方法
|
US8956980B1
(en)
|
2013-09-16 |
2015-02-17 |
Applied Materials, Inc. |
Selective etch of silicon nitride
|
CN103474336B
(zh)
*
|
2013-09-22 |
2016-03-02 |
上海华力微电子有限公司 |
制作高均匀度栅极线条的方法
|
CN104576369A
(zh)
*
|
2013-10-10 |
2015-04-29 |
中芯国际集成电路制造(上海)有限公司 |
一种制作半导体器件的方法
|
US8951429B1
(en)
|
2013-10-29 |
2015-02-10 |
Applied Materials, Inc. |
Tungsten oxide processing
|
US9121890B2
(en)
*
|
2013-10-30 |
2015-09-01 |
Globalfoundries Inc. |
Planar metrology pad adjacent a set of fins of a fin field effect transistor device
|
US9236265B2
(en)
|
2013-11-04 |
2016-01-12 |
Applied Materials, Inc. |
Silicon germanium processing
|
US9576809B2
(en)
|
2013-11-04 |
2017-02-21 |
Applied Materials, Inc. |
Etch suppression with germanium
|
US9520303B2
(en)
|
2013-11-12 |
2016-12-13 |
Applied Materials, Inc. |
Aluminum selective etch
|
US9245762B2
(en)
|
2013-12-02 |
2016-01-26 |
Applied Materials, Inc. |
Procedure for etch rate consistency
|
US9177797B2
(en)
*
|
2013-12-04 |
2015-11-03 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Lithography using high selectivity spacers for pitch reduction
|
US9117855B2
(en)
|
2013-12-04 |
2015-08-25 |
Applied Materials, Inc. |
Polarity control for remote plasma
|
US9287095B2
(en)
|
2013-12-17 |
2016-03-15 |
Applied Materials, Inc. |
Semiconductor system assemblies and methods of operation
|
US9263278B2
(en)
|
2013-12-17 |
2016-02-16 |
Applied Materials, Inc. |
Dopant etch selectivity control
|
US9190293B2
(en)
|
2013-12-18 |
2015-11-17 |
Applied Materials, Inc. |
Even tungsten etch for high aspect ratio trenches
|
KR102274516B1
(ko)
|
2013-12-23 |
2021-07-08 |
인텔 코포레이션 |
다수의 핀 피치 구조에 걸쳐 곧고, 높고, 균일한 핀을 위한 진보된 에칭 기법
|
WO2015106261A1
(en)
|
2014-01-13 |
2015-07-16 |
Applied Materials, Inc. |
Self-aligned double patterning with spatial atomic layer deposition
|
US9287134B2
(en)
|
2014-01-17 |
2016-03-15 |
Applied Materials, Inc. |
Titanium oxide etch
|
US9396989B2
(en)
|
2014-01-27 |
2016-07-19 |
Applied Materials, Inc. |
Air gaps between copper lines
|
US9293568B2
(en)
|
2014-01-27 |
2016-03-22 |
Applied Materials, Inc. |
Method of fin patterning
|
US9385028B2
(en)
|
2014-02-03 |
2016-07-05 |
Applied Materials, Inc. |
Air gap process
|
US9761436B2
(en)
|
2014-06-30 |
2017-09-12 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Mechanisms for forming patterns using multiple lithography processes
|
US9299575B2
(en)
|
2014-03-17 |
2016-03-29 |
Applied Materials, Inc. |
Gas-phase tungsten etch
|
US9299538B2
(en)
|
2014-03-20 |
2016-03-29 |
Applied Materials, Inc. |
Radial waveguide systems and methods for post-match control of microwaves
|
US9299537B2
(en)
|
2014-03-20 |
2016-03-29 |
Applied Materials, Inc. |
Radial waveguide systems and methods for post-match control of microwaves
|
US9136273B1
(en)
|
2014-03-21 |
2015-09-15 |
Applied Materials, Inc. |
Flash gate air gap
|
US9903020B2
(en)
|
2014-03-31 |
2018-02-27 |
Applied Materials, Inc. |
Generation of compact alumina passivation layers on aluminum plasma equipment components
|
TW201543564A
(zh)
*
|
2014-05-09 |
2015-11-16 |
Powerchip Technology Corp |
半導體製程
|
US9454631B2
(en)
|
2014-05-23 |
2016-09-27 |
International Business Machines Corporation |
Stitch-derived via structures and methods of generating the same
|
US9710592B2
(en)
|
2014-05-23 |
2017-07-18 |
International Business Machines Corporation |
Multiple-depth trench interconnect technology at advanced semiconductor nodes
|
KR20150136387A
(ko)
|
2014-05-27 |
2015-12-07 |
삼성전자주식회사 |
반도체 소자의 제조 방법
|
US9309598B2
(en)
|
2014-05-28 |
2016-04-12 |
Applied Materials, Inc. |
Oxide and metal removal
|
US9847289B2
(en)
|
2014-05-30 |
2017-12-19 |
Applied Materials, Inc. |
Protective via cap for improved interconnect performance
|
US9378969B2
(en)
|
2014-06-19 |
2016-06-28 |
Applied Materials, Inc. |
Low temperature gas-phase carbon removal
|
US9406523B2
(en)
|
2014-06-19 |
2016-08-02 |
Applied Materials, Inc. |
Highly selective doped oxide removal method
|
JP5869057B2
(ja)
*
|
2014-06-30 |
2016-02-24 |
ウィンボンド エレクトロニクス コーポレーション |
半導体記憶装置
|
US9425058B2
(en)
|
2014-07-24 |
2016-08-23 |
Applied Materials, Inc. |
Simplified litho-etch-litho-etch process
|
US9159606B1
(en)
|
2014-07-31 |
2015-10-13 |
Applied Materials, Inc. |
Metal air gap
|
US9496167B2
(en)
|
2014-07-31 |
2016-11-15 |
Applied Materials, Inc. |
Integrated bit-line airgap formation and gate stack post clean
|
US9378978B2
(en)
|
2014-07-31 |
2016-06-28 |
Applied Materials, Inc. |
Integrated oxide recess and floating gate fin trimming
|
US9165786B1
(en)
|
2014-08-05 |
2015-10-20 |
Applied Materials, Inc. |
Integrated oxide and nitride recess for better channel contact in 3D architectures
|
US9659753B2
(en)
|
2014-08-07 |
2017-05-23 |
Applied Materials, Inc. |
Grooved insulator to reduce leakage current
|
US9553102B2
(en)
|
2014-08-19 |
2017-01-24 |
Applied Materials, Inc. |
Tungsten separation
|
US9355856B2
(en)
|
2014-09-12 |
2016-05-31 |
Applied Materials, Inc. |
V trench dry etch
|
US9673050B2
(en)
*
|
2014-11-06 |
2017-06-06 |
Tokyo Electron Limited |
Method of patterning incorporating overlay error protection
|
KR20160084236A
(ko)
|
2015-01-05 |
2016-07-13 |
삼성전자주식회사 |
반도체 소자 및 그 제조 방법
|
US9673055B2
(en)
|
2015-02-04 |
2017-06-06 |
Globalfoundries Inc. |
Method for quadruple frequency FinFETs with single-fin removal
|
US9443731B1
(en)
*
|
2015-02-20 |
2016-09-13 |
Tokyo Electron Limited |
Material processing to achieve sub-10nm patterning
|
US9847333B2
(en)
*
|
2015-03-09 |
2017-12-19 |
Globalfoundries Inc. |
Reducing risk of punch-through in FinFET semiconductor structure
|
US9711369B2
(en)
|
2015-03-16 |
2017-07-18 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Method for forming patterns with sharp jogs
|
US9601345B2
(en)
|
2015-03-27 |
2017-03-21 |
International Business Machines Corporation |
Fin trimming in a double sit process
|
US9530689B2
(en)
*
|
2015-04-13 |
2016-12-27 |
GlobalFoundries, Inc. |
Methods for fabricating integrated circuits using multi-patterning processes
|
KR102338363B1
(ko)
*
|
2015-04-15 |
2021-12-09 |
삼성전자주식회사 |
반도체 장치 및 그 제조 방법
|
US9589964B1
(en)
|
2015-06-24 |
2017-03-07 |
Samsung Electronics Co., Ltd. |
Methods of fabricating semiconductor devices
|
TWI653687B
(zh)
*
|
2015-07-01 |
2019-03-11 |
聯華電子股份有限公司 |
半導體元件及其製作方法
|
US9673051B1
(en)
*
|
2016-01-14 |
2017-06-06 |
Macronix International Co., Ltd. |
High density patterned material on integrated circuits
|
US9905657B2
(en)
*
|
2016-01-20 |
2018-02-27 |
Semiconductor Energy Laboratory Co., Ltd. |
Semiconductor device and method for manufacturing semiconductor device
|
KR102564551B1
(ko)
|
2016-01-26 |
2023-08-04 |
삼성전자주식회사 |
반도체 소자의 제조 방법
|
US9741563B2
(en)
*
|
2016-01-27 |
2017-08-22 |
Lam Research Corporation |
Hybrid stair-step etch
|
JP6458156B2
(ja)
*
|
2016-03-28 |
2019-01-23 |
株式会社日立ハイテクノロジーズ |
プラズマ処理方法
|
US10262941B2
(en)
|
2016-04-22 |
2019-04-16 |
Globalfoundries Inc. |
Devices and methods for forming cross coupled contacts
|
US9773700B1
(en)
|
2016-06-08 |
2017-09-26 |
International Business Machines Corporation |
Aligning conductive vias with trenches
|
US9882028B2
(en)
*
|
2016-06-29 |
2018-01-30 |
International Business Machines Corporation |
Pitch split patterning for semiconductor devices
|
WO2018125023A1
(en)
*
|
2016-12-26 |
2018-07-05 |
Intel Corporation |
Methods for combining mask-based and maskless lithography
|
US10157776B2
(en)
|
2017-03-15 |
2018-12-18 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Semiconductor device and manufacturing method thereof
|
US10529617B2
(en)
*
|
2017-09-29 |
2020-01-07 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Metal routing with flexible space formed using self-aligned spacer patterning
|
US10707081B2
(en)
*
|
2017-11-15 |
2020-07-07 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Fine line patterning methods
|
US10734238B2
(en)
*
|
2017-11-21 |
2020-08-04 |
Lam Research Corporation |
Atomic layer deposition and etch in a single plasma chamber for critical dimension control
|
KR102374206B1
(ko)
*
|
2017-12-05 |
2022-03-14 |
삼성전자주식회사 |
반도체 장치 제조 방법
|
WO2019178402A1
(en)
*
|
2018-03-14 |
2019-09-19 |
Obsidian Sensors, Inc. |
Mems and nems structures
|
CN110828460B
(zh)
*
|
2018-08-14 |
2022-07-19 |
中芯国际集成电路制造(北京)有限公司 |
半导体器件及其形成方法
|
US10818508B2
(en)
|
2018-10-17 |
2020-10-27 |
Nanya Technology Corporation |
Semiconductor structure and method for preparing the same
|
WO2021029976A1
(en)
*
|
2019-08-14 |
2021-02-18 |
Tokyo Electron Limited |
Method for pitch split patterning using sidewall image transfer
|
US11676817B2
(en)
|
2019-08-14 |
2023-06-13 |
Tokyo Electron Limited |
Method for pitch split patterning using sidewall image transfer
|
US11502041B2
(en)
*
|
2020-04-22 |
2022-11-15 |
Nanya Technology Corporation |
Method of forming a pattern
|
CN112038231A
(zh)
*
|
2020-09-09 |
2020-12-04 |
长江存储科技有限责任公司 |
一种半导体器件的制造方法
|
KR20230117972A
(ko)
*
|
2022-02-03 |
2023-08-10 |
삼성전자주식회사 |
반도체 장치 및 그 제조 방법
|