DE69431822T2 - Vorrichtung und Verfahren für eine modellbasierende Prozesssteuerung - Google Patents

Vorrichtung und Verfahren für eine modellbasierende Prozesssteuerung

Info

Publication number
DE69431822T2
DE69431822T2 DE69431822T DE69431822T DE69431822T2 DE 69431822 T2 DE69431822 T2 DE 69431822T2 DE 69431822 T DE69431822 T DE 69431822T DE 69431822 T DE69431822 T DE 69431822T DE 69431822 T2 DE69431822 T2 DE 69431822T2
Authority
DE
Germany
Prior art keywords
model
process control
based process
control
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69431822T
Other languages
English (en)
Other versions
DE69431822D1 (de
Inventor
Michael Sullivan
Stephenie W Butler
Judith S Hirsch
Nicholas Tovell
Jerry A Stefani
Ulrich H H Wild
Robert A Hartzell
Purnendu Mozumder
Chun-Jen J Wang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Application granted granted Critical
Publication of DE69431822D1 publication Critical patent/DE69431822D1/de
Publication of DE69431822T2 publication Critical patent/DE69431822T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70516Calibration of components of the microlithographic apparatus, e.g. light sources, addressable masks or detectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/4093Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by part programming, e.g. entry of geometrical information as taken from a technical drawing, combining this with machining and material information to obtain control information, named part programme, for the NC machine
    • G05B19/40937Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by part programming, e.g. entry of geometrical information as taken from a technical drawing, combining this with machining and material information to obtain control information, named part programme, for the NC machine concerning programming of machining or material parameters, pocket machining
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/4188Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by CIM planning or realisation
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/41885Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by modeling, simulation of the manufacturing system
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/50Machine tool, machine tool null till machine tool work handling
    • G05B2219/50123Setup, automatic setup
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/50Machine tool, machine tool null till machine tool work handling
    • G05B2219/50129Setup machines as function of process model, control strategy for optimum use of machines
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]
DE69431822T 1993-07-19 1994-07-19 Vorrichtung und Verfahren für eine modellbasierende Prozesssteuerung Expired - Lifetime DE69431822T2 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/093,842 US5402367A (en) 1993-07-19 1993-07-19 Apparatus and method for model based process control

Publications (2)

Publication Number Publication Date
DE69431822D1 DE69431822D1 (de) 2003-01-16
DE69431822T2 true DE69431822T2 (de) 2003-09-18

Family

ID=22241127

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69431822T Expired - Lifetime DE69431822T2 (de) 1993-07-19 1994-07-19 Vorrichtung und Verfahren für eine modellbasierende Prozesssteuerung

Country Status (4)

Country Link
US (2) US5402367A (de)
EP (1) EP0643344B1 (de)
JP (1) JPH07191737A (de)
DE (1) DE69431822T2 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102006009248A1 (de) * 2006-02-28 2007-09-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System zur Modellierung eines Produktstromes in einer Fertigungsumgebung durch Prozess- und Anlagenkategorisierung

Families Citing this family (132)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5852817A (en) * 1991-08-14 1998-12-22 Kabushiki Kaisha Toshiba Intelligent control apparatus
US5402367A (en) * 1993-07-19 1995-03-28 Texas Instruments, Incorporated Apparatus and method for model based process control
GB9326354D0 (en) * 1993-12-23 1994-02-23 British Aerospace Methods and apparatus for the testing,monitoring and improvement of manufacturing process effectiveness
US5666297A (en) * 1994-05-13 1997-09-09 Aspen Technology, Inc. Plant simulation and optimization software apparatus and method using dual execution models
US5646870A (en) * 1995-02-13 1997-07-08 Advanced Micro Devices, Inc. Method for setting and adjusting process parameters to maintain acceptable critical dimensions across each die of mass-produced semiconductor wafers
CA2150432A1 (en) * 1995-05-30 1996-12-01 Bert Van Den Berg Models for computer integrated manufacturing
US5835688A (en) * 1995-06-07 1998-11-10 Xerox Corporation Generic method for automatically generating finite-state machines for schedudling from print engine capabilities
US5992229A (en) * 1996-02-05 1999-11-30 Neles-Jamesbury Oy Method and equipment for determining the performance of control valve
US5781454A (en) * 1996-03-25 1998-07-14 Raytheon Company Process modeling technique
EP0802493A3 (de) * 1996-04-16 1999-11-03 Texas Instruments Incorporated Prozessablaufentwurfstechnik
US6304836B1 (en) 1996-10-28 2001-10-16 Advanced Micro Devices Worst case design parameter extraction for logic technologies
US5966527A (en) * 1996-10-28 1999-10-12 Advanced Micro Devices, Inc. Apparatus, article of manufacture, method and system for simulating a mass-produced semiconductor device behavior
CA2285351A1 (en) 1997-02-07 1998-08-27 Peter G. Brown System and method for simulation and modeling of biopharmaceutical batch process manufacturing facilities
US6662061B1 (en) 1997-02-07 2003-12-09 Peter G. Brown System and method for simulation and modeling of batch process manufacturing facilities using process time lines
US6983229B2 (en) * 1997-06-20 2006-01-03 Brown Peter G Method for scheduling solution preparation in biopharmaceutical batch process manufacturing
US7043414B2 (en) * 1997-06-20 2006-05-09 Brown Peter G System and method for simulating, modeling and scheduling of solution preparation in batch process manufacturing facilities
US6311093B1 (en) 1997-06-20 2001-10-30 Peter G. Brown System and method for simulation, modeling and scheduling of equipment maintenance and calibration in biopharmaceutical batch process manufacturing facilities
EP1050001A4 (de) * 1997-06-20 2000-12-06 Peter G Brown System und verfahren zur simulation, modellierung und zeitplanung von prozessunterstützungsoperationen in diskontinuierlichen biopharmazeutischen herstellungsanlagen
US6438439B1 (en) * 1997-09-19 2002-08-20 Texas Instruments Incorporated Equipment evaluation and design
US6014612A (en) * 1997-10-02 2000-01-11 Fisher Controls International, Inc. Remote diagnostics in a process control network having distributed control functions
DE19811895B4 (de) * 1998-03-18 2007-06-21 Rohde & Schwarz Gmbh & Co. Kg Verfahren zum Bestimmen verschiedenartiger Fehler eines digitalen Sendermodulators
US6130173A (en) * 1998-03-19 2000-10-10 Lsi Logic Corporation Reticle based skew lots
US5987398A (en) * 1998-04-30 1999-11-16 Sony Corporation Method and apparatus for statistical process control of machines and processes having non-constant mean of a response variable
US7319965B1 (en) * 1998-06-17 2008-01-15 The Hoffman Group Method and apparatus to control the operating speed of a manufacturing facility
US6230069B1 (en) * 1998-06-26 2001-05-08 Advanced Micro Devices, Inc. System and method for controlling the manufacture of discrete parts in semiconductor fabrication using model predictive control
US6442515B1 (en) 1998-10-26 2002-08-27 Invensys Systems, Inc. Process model generation independent of application mode
US6260188B1 (en) * 1998-12-31 2001-07-10 Kimberly-Clark Worldwide, Inc. Control model
US6542782B1 (en) * 1998-12-31 2003-04-01 Z. Joseph Lu Systems for generating and using a lookup table with process facility control systems and models of the same, and methods of operating such systems
US6490493B1 (en) 1999-01-21 2002-12-03 Rosemount Inc. Industrial process device management software
US6806847B2 (en) * 1999-02-12 2004-10-19 Fisher-Rosemount Systems Inc. Portable computer in a process control environment
US7230582B1 (en) 1999-02-12 2007-06-12 Fisher-Rosemount Systems, Inc. Wearable computer in a process control environment
US7640007B2 (en) * 1999-02-12 2009-12-29 Fisher-Rosemount Systems, Inc. Wireless handheld communicator in a process control environment
EP1033637A3 (de) * 1999-03-02 2001-05-02 Yamaha Hatsudoki Kabushiki Kaisha Verfahren und Gerät zur Optimierung des Gesamtennzeichens einer Einrichtung mit Hilfe von heuristischen Methoden
US6353804B1 (en) * 1999-04-09 2002-03-05 General Electric Company Method for statistically predicting equipment performance
DE19919206A1 (de) * 1999-04-28 2000-11-02 Buehler Ag Verfahren zur Herstellung von Teigwaren
DE59913062D1 (de) 1999-06-11 2006-04-06 Ivyteam Ag Zug Informationstechnisches System zur Definition, Optimierung und Steuerung von Prozessen
US6553270B1 (en) 1999-06-30 2003-04-22 Kimberly-Clark Worldwide, Inc. Proactive control of a process after the beginning of a destabilizing event
US6708155B1 (en) * 1999-07-07 2004-03-16 American Management Systems, Inc. Decision management system with automated strategy optimization
US7069101B1 (en) 1999-07-29 2006-06-27 Applied Materials, Inc. Computer integrated manufacturing techniques
US7441231B1 (en) * 1999-11-08 2008-10-21 British Telecommunications Public Limited Company Task management
US7441232B2 (en) * 1999-11-08 2008-10-21 British Telecommunications Public Limited Company Task management
US6640151B1 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Multi-tool control system, method and medium
EP1266270B1 (de) 2000-03-21 2006-06-21 ABB Research Ltd. System und verfahren zur ermittlung der optimalen betriebsgeschwindigkeit einer produktionsmaschine
US7546225B2 (en) * 2000-06-01 2009-06-09 Siemens Energy & Automation, Inc. Methods and systems for electronics assembly system consultation and sales
US6556884B1 (en) * 2000-06-16 2003-04-29 Advanced Micro Devices, Inc. Method and apparatus for interfacing a statistical process control system with a manufacturing process control framework
DE10031978A1 (de) * 2000-06-30 2002-01-10 Sms Demag Ag Verfahren und Vorrichtung zur automatischen Zundererkennung aus Oberflächen von metallischem Bandgut, insbesondere von warmgewalztem Stahlband und Edelstahlband
DE10036971A1 (de) * 2000-07-28 2002-02-28 Siemens Ag Verfahren zur Ferndiagnose eines technologischen Prozesses
US6708074B1 (en) 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
US7188142B2 (en) * 2000-11-30 2007-03-06 Applied Materials, Inc. Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility
US20020128735A1 (en) * 2001-03-08 2002-09-12 Hawkins Parris C.M. Dynamic and extensible task guide
US20020138321A1 (en) * 2001-03-20 2002-09-26 Applied Materials, Inc. Fault tolerant and automated computer software workflow
WO2002079974A2 (de) * 2001-03-29 2002-10-10 Siemens Aktiengesellschaft Verfahren und vorrichtung zur automatischen erstellung von simulationsprogrammen
WO2002079885A2 (de) * 2001-03-29 2002-10-10 Siemens Aktiengesellschaft Verfahren und vorrichtung zur instandhaltung mit simulationsmodell
US7047099B2 (en) * 2001-06-19 2006-05-16 Applied Materials Inc. Integrating tool, module, and fab level control
US6910947B2 (en) 2001-06-19 2005-06-28 Applied Materials, Inc. Control of chemical mechanical polishing pad conditioner directional velocity to improve pad life
US7160739B2 (en) * 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US7201936B2 (en) * 2001-06-19 2007-04-10 Applied Materials, Inc. Method of feedback control of sub-atmospheric chemical vapor deposition processes
US7082345B2 (en) * 2001-06-19 2006-07-25 Applied Materials, Inc. Method, system and medium for process control for the matching of tools, chambers and/or other semiconductor-related entities
US6913938B2 (en) * 2001-06-19 2005-07-05 Applied Materials, Inc. Feedback control of plasma-enhanced chemical vapor deposition processes
US7101799B2 (en) * 2001-06-19 2006-09-05 Applied Materials, Inc. Feedforward and feedback control for conditioning of chemical mechanical polishing pad
US7698012B2 (en) * 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US6970758B1 (en) * 2001-07-12 2005-11-29 Advanced Micro Devices, Inc. System and software for data collection and process control in semiconductor manufacturing and method thereof
IL144358A (en) * 2001-07-16 2006-10-31 Oded Berkooz Method for isolating sources of drifts in output properties for machines and processes
US7337019B2 (en) * 2001-07-16 2008-02-26 Applied Materials, Inc. Integration of fault detection with run-to-run control
US6984198B2 (en) 2001-08-14 2006-01-10 Applied Materials, Inc. Experiment management system, method and medium
ES2250278T3 (es) 2001-09-05 2006-04-16 Mikron Comp-Tec Ag Un metodo y un sistema de soporte del operario destinados a ayudar a un operario a ajustar parametros de maquina.
US6725098B2 (en) 2001-10-23 2004-04-20 Brooks Automation, Inc. Semiconductor run-to-run control system with missing and out-of-order measurement handling
US7577320B2 (en) * 2001-10-26 2009-08-18 Infinera Corporation Low loss lateral optical waveguide intersections
US6650955B1 (en) * 2001-12-18 2003-11-18 Advanced Micro Devices, Inc. Method and apparatus for determining a sampling plan based on process and equipment fingerprinting
US7225047B2 (en) * 2002-03-19 2007-05-29 Applied Materials, Inc. Method, system and medium for controlling semiconductor wafer processes using critical dimension measurements
US20030199112A1 (en) * 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
US6687561B1 (en) * 2002-04-03 2004-02-03 Advanced Micro Devices, Inc. Method and apparatus for determining a sampling plan based on defectivity
US8145316B2 (en) * 2002-04-08 2012-03-27 Ardian, Inc. Methods and apparatus for renal neuromodulation
US6987555B2 (en) * 2002-04-23 2006-01-17 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method, and device manufactured thereby
EP1357435A1 (de) * 2002-04-23 2003-10-29 ASML Netherlands B.V. Lithographischer Apparat und Verfahren zur Herstellung einer Vorrichtung
US6906785B2 (en) * 2002-04-23 2005-06-14 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method, and device manufactured thereby
US7035696B1 (en) * 2002-07-03 2006-04-25 Ahsoon Technologies, Inc. Method and apparatus for poly gate CD control
EP1546828A1 (de) * 2002-08-01 2005-06-29 Applied Materials, Inc. Verfahren, system und medium zur handhabung nicht repräsentativer metrologiedaten mit einem erweiterten prozesssteuersystem
US7272459B2 (en) * 2002-11-15 2007-09-18 Applied Materials, Inc. Method, system and medium for controlling manufacture process having multivariate input parameters
US7333871B2 (en) * 2003-01-21 2008-02-19 Applied Materials, Inc. Automated design and execution of experiments with integrated model creation for semiconductor manufacturing tools
GB0302602D0 (en) * 2003-02-04 2003-03-12 Young Arthur P Equipment and methods for real time application
KR101009384B1 (ko) * 2003-02-18 2011-01-19 도쿄엘렉트론가부시키가이샤 처리시스템의 자동 구성 방법
DE10314573A1 (de) * 2003-03-31 2004-10-28 Henkel Kgaa Verfahren zum rechnergestützten Regeln einer Mehrzahl von in Serie miteinander gekoppelten Maschinen, Regelungseinrichtung und Maschinen-Anordnung
US6766214B1 (en) * 2003-04-03 2004-07-20 Advanced Micro Devices, Inc. Adjusting a sampling rate based on state estimation results
US7205228B2 (en) * 2003-06-03 2007-04-17 Applied Materials, Inc. Selective metal encapsulation schemes
US20050014299A1 (en) * 2003-07-15 2005-01-20 Applied Materials, Inc. Control of metal resistance in semiconductor products via integrated metrology
US7354332B2 (en) * 2003-08-04 2008-04-08 Applied Materials, Inc. Technique for process-qualifying a semiconductor manufacturing tool using metrology data
JP4722461B2 (ja) * 2003-12-03 2011-07-13 フィッシャー−ローズマウント システムズ, インコーポレイテッド モデルスイッチングおよび属性補間を用いた適応型多変数プロセスコントローラ
US7356377B2 (en) * 2004-01-29 2008-04-08 Applied Materials, Inc. System, method, and medium for monitoring performance of an advanced process control system
US7194709B2 (en) * 2004-03-05 2007-03-20 Keith John Brankner Automatic alignment of integrated circuit and design layout of integrated circuit to more accurately assess the impact of anomalies
US6961626B1 (en) 2004-05-28 2005-11-01 Applied Materials, Inc Dynamic offset and feedback threshold
US7096085B2 (en) * 2004-05-28 2006-08-22 Applied Materials Process control by distinguishing a white noise component of a process variance
US7069098B2 (en) * 2004-08-02 2006-06-27 Advanced Micro Devices, Inc. Method and system for prioritizing material to clear exception conditions
US7502715B1 (en) * 2004-09-21 2009-03-10 Asml Netherlands B.V Observability in metrology measurements
US7296103B1 (en) 2004-10-05 2007-11-13 Advanced Micro Devices, Inc. Method and system for dynamically selecting wafer lots for metrology processing
US7076321B2 (en) * 2004-10-05 2006-07-11 Advanced Micro Devices, Inc. Method and system for dynamically adjusting metrology sampling based upon available metrology capacity
SG160423A1 (en) * 2005-03-23 2010-04-29 Hurco Co Inc Method of tolerance-based trajectory planning and control
JP4056542B2 (ja) * 2005-09-28 2008-03-05 ファナック株式会社 ロボットのオフライン教示装置
US7451004B2 (en) 2005-09-30 2008-11-11 Fisher-Rosemount Systems, Inc. On-line adaptive model predictive control in a process control system
US7444191B2 (en) 2005-10-04 2008-10-28 Fisher-Rosemount Systems, Inc. Process model identification in a process control system
US7738975B2 (en) * 2005-10-04 2010-06-15 Fisher-Rosemount Systems, Inc. Analytical server integrated in a process control network
US7463990B2 (en) * 2006-07-31 2008-12-09 Atmel Duisburg Gmbh Method for adjusting an electronic system
US7933677B2 (en) * 2006-08-04 2011-04-26 Hurco Companies, Inc. System and method for surface finish management
US8725283B2 (en) * 2006-08-04 2014-05-13 Hurco Companies, Inc. Generalized kinematics system
EP2049958B1 (de) * 2006-08-04 2012-09-19 Hurco Companies Inc. System und verfahren zur verwaltung der verwendung von werkzeugen
US8024068B2 (en) 2006-08-04 2011-09-20 Hurco Companies, Inc. Machine tool control system
US7571021B2 (en) 2007-02-13 2009-08-04 Taiwan Semiconductor Manufacturing Company Method and system for improving critical dimension uniformity
US7534725B2 (en) * 2007-03-21 2009-05-19 Taiwan Semiconductor Manufacturing Company Advanced process control for semiconductor processing
US7634325B2 (en) * 2007-05-03 2009-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Prediction of uniformity of a wafer
US7957827B2 (en) * 2007-12-27 2011-06-07 United Microelectronics Corp. Method of controlling statuses of wafers
US7967995B2 (en) * 2008-03-31 2011-06-28 Tokyo Electron Limited Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
US10467590B2 (en) * 2008-04-29 2019-11-05 Micro Focus Llc Business process optimization and problem resolution
JP2009290150A (ja) * 2008-06-02 2009-12-10 Renesas Technology Corp 半導体装置の製造システムおよび製造方法
US8527080B2 (en) * 2008-10-02 2013-09-03 Applied Materials, Inc. Method and system for managing process jobs in a semiconductor fabrication facility
US8989887B2 (en) * 2009-02-11 2015-03-24 Applied Materials, Inc. Use of prediction data in monitoring actual production targets
US9053260B2 (en) * 2009-04-13 2015-06-09 Honeywell International Inc. Utilizing spreadsheet user interfaces with flowsheets of a CPI simulation system
US10095678B2 (en) 2009-04-13 2018-10-09 Honeywell International Inc. Database user interfaces with flowsheets of a simulation system
US8433434B2 (en) * 2009-07-09 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Near non-adaptive virtual metrology and chamber control
DE102011089014A1 (de) * 2011-01-19 2012-07-19 Dr. Johannes Heidenhain Gmbh Numerische Steuerung
US9529348B2 (en) * 2012-01-24 2016-12-27 Emerson Process Management Power & Water Solutions, Inc. Method and apparatus for deploying industrial plant simulators using cloud computing technologies
DK177915B1 (en) * 2013-05-28 2015-01-05 Core As Process control method
US10162317B2 (en) * 2013-06-27 2018-12-25 The Boeing Company Real-time feedback control for performing tooling operations in assembly processes
US9396443B2 (en) * 2013-12-05 2016-07-19 Tokyo Electron Limited System and method for learning and/or optimizing manufacturing processes
JP2015184942A (ja) * 2014-03-25 2015-10-22 株式会社日立ハイテクノロジーズ 故障原因分類装置
US10325039B2 (en) * 2017-03-28 2019-06-18 Hexagon Technology Center Gmbh Method for virtually inspecting an actual produced part
US20190056702A1 (en) * 2017-08-21 2019-02-21 General Electric Company Model-based machine learing control system and method for tuning power production emissions
JP7171407B2 (ja) * 2018-12-12 2022-11-15 株式会社日立製作所 情報処理装置、生産指示支援方法
EP3705963B1 (de) * 2019-03-08 2022-07-20 ABB Schweiz AG Absichtsbasiertes automatisierungsentwurfsverfahren
EP3745667B1 (de) * 2019-05-31 2023-01-25 ABB Schweiz AG Erkennung der absicht eines schädlichen prozesses in einem absichtsbasierten herstellungsverfahren
WO2021001129A1 (en) * 2019-07-04 2021-01-07 Asml Netherlands B.V. Sub-field control of a lithographic process and associated apparatus
EP3767391A1 (de) * 2019-07-17 2021-01-20 ASML Netherlands B.V. Teilfeldsteuerung eines lithografischen prozesses und zugehörige vorrichtung
CN117075483B (zh) * 2023-10-16 2024-01-26 厦门海辰储能科技股份有限公司 液冷储能系统的状态监控方法、装置及存储介质

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3686488A (en) * 1970-12-29 1972-08-22 Texaco Inc Means and method for controlling a solvent refining unit for maximum yield
JPS5779512A (en) * 1980-10-31 1982-05-18 Hitachi Ltd Simulating method for dynamic characteristic of system
US4577280A (en) * 1983-11-03 1986-03-18 Westinghouse Electric Corp. Control system for fluid flow distribution
US4604714A (en) * 1983-11-08 1986-08-05 Westinghouse Electric Corp. Steam optimization and cogeneration system and method
US4622633A (en) * 1983-12-06 1986-11-11 Tri Sigma Corporation Object building method for self configuring computer network
US4628462A (en) * 1984-09-11 1986-12-09 Westinghouse Electric Corp. Multiplane optimization method and apparatus for cogeneration of steam and power
US4736324A (en) * 1984-11-20 1988-04-05 Tsudakoma Corp. Centralized control method for loom and device thereof
DE3523872C1 (de) * 1985-07-04 1986-09-25 KTV-Systemtechnik GmbH, 8752 Kleinostheim Zaun mit ueber Sensoren an Pfosten befestigten Sicherungsdraehten
US4926753A (en) * 1986-04-29 1990-05-22 Programmation, Inc. Flexible material transport system
US4888692A (en) * 1986-08-11 1989-12-19 Texas Instruments Incorporated Real-time scheduling system
US4796194A (en) * 1986-08-20 1989-01-03 Atherton Robert W Real world modeling and control process
US4831582A (en) * 1986-11-07 1989-05-16 Allen-Bradley Company, Inc. Database access machine for factory automation network
US5157613A (en) * 1987-01-14 1992-10-20 Lucas Industries Public Limited Company Adaptive control system for an engine
JP2707075B2 (ja) * 1987-03-27 1998-01-28 株式会社日立製作所 プラントシミユレーシヨン装置
JP2609280B2 (ja) * 1988-04-22 1997-05-14 株式会社日立製作所 シミュレーション方法
EP0360206A3 (de) * 1988-09-21 1990-12-19 Hitachi, Ltd. Selbsteinstellender Regler und Prozesssteuerungsvorrichtung
US5014208A (en) * 1989-01-23 1991-05-07 Siemens Corporate Research, Inc. Workcell controller employing entity-server model for physical objects and logical abstractions
US5060132A (en) * 1989-06-13 1991-10-22 Elsag International B.V. Method of modeling and control for delignification of pulping
US5132918A (en) * 1990-02-28 1992-07-21 Funk Gary L Method for control of a distillation process
JPH03259368A (ja) * 1990-03-09 1991-11-19 Nec Corp シミュレーションモデル生成方式
US5305221A (en) * 1990-05-04 1994-04-19 Atherton Robert W Real world modeling and control process for integrated manufacturing equipment
EP0462815B1 (de) * 1990-06-21 1996-09-25 Honeywell Inc. Auf variablem Horizont basierende adaptive Steuerung mit Mitteln zur Minimierung der Betriebskosten
US5260865A (en) * 1991-04-01 1993-11-09 Beauford Martin H Nonlinear model based distillation control
US5402367A (en) * 1993-07-19 1995-03-28 Texas Instruments, Incorporated Apparatus and method for model based process control

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102006009248A1 (de) * 2006-02-28 2007-09-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System zur Modellierung eines Produktstromes in einer Fertigungsumgebung durch Prozess- und Anlagenkategorisierung
DE102006009248B4 (de) * 2006-02-28 2017-10-12 Globalfoundries Inc. Verfahren und System zur Modellierung eines Produktstromes in einer Fertigungsumgebung durch Prozess- und Anlagenkategorisierung

Also Published As

Publication number Publication date
US5838595A (en) 1998-11-17
US5402367A (en) 1995-03-28
JPH07191737A (ja) 1995-07-28
EP0643344A1 (de) 1995-03-15
DE69431822D1 (de) 2003-01-16
EP0643344B1 (de) 2002-12-04

Similar Documents

Publication Publication Date Title
DE69431822T2 (de) Vorrichtung und Verfahren für eine modellbasierende Prozesssteuerung
DE69414292D1 (de) Verfahren und Vorrichtung zum Herstellen einer Speicherkarte
DE69624051T2 (de) Eine Vorrichtung zur Photolackbearbeitung und ein Verfahren zur Photolackbearbeitung
DE69507969T2 (de) Verfahren und Vorrichtung für eine mehrschichtige Halte/Fortsetzungsystemfunktion
DE69424118D1 (de) Verfahren und Vorrichtung zum Datenempfang
DE69422593D1 (de) Verfahren und Vorrichtung zum Überwachen von Fahrzeugen
DE4491078T1 (de) Vorrichtung und Verfahren zur Fehlererkennung für Graviermaschinen
DE69418901T2 (de) Vorrichtung und verfahren zum anbringen von ausgiessern
DE69423306D1 (de) Vorrichtung und Verfahren zur Auswahl eines Schriftensatzes
DE69428431D1 (de) Verfahren und vorrichtung zum druckformen
DE69410766T2 (de) Verfahren und Vorrichtung zum Behandeln einer Flüssigkeit
DE69412447D1 (de) Verfahren und Vorrichtung zum Biegen von Rohren
DE4491093T1 (de) Vorrichtung und Verfahren zum Antreiben einer Leitspindel
DE69016818T2 (de) Verfahren und Vorrichtung zum Aufwickeln.
DE69420170D1 (de) Verfahren und Vorrichtung für eine numerisch gesteuerte Industriemaschine
DE69433029D1 (de) Verfahren zum Berechnen einer Arbeitslastzahl und entsprechende Vorrichtung
DE69419195D1 (de) Vorrichtung und Verfahren zum Suchen von Daten
DE69332271D1 (de) Verfahren und vorrichtung zum strangpressen
DE59403914D1 (de) Verfahren und Vorrichtung zum Überwachen von Objekten
DE69327919T2 (de) Verfahren und Gerät zum Abgleichen einer Prozesssteuerungsanlage
DE59505012D1 (de) Verfahren und vorrichtung zur führung eines prozesses
DE69418376T2 (de) System und Verfahren zur zweistufigen Echtzeitsteuerung für eine Einschubmaschine
DE69415473D1 (de) Vorrichtung und Verfahren zum Bedrucken einer Kassette
DE69425241D1 (de) Vorrichtung und Verfahren zum Einstellen einer Anzeigevorrichtung
DE69408712T2 (de) Verfahren und Vorrichtung zum Zusammenstellen von Waren

Legal Events

Date Code Title Description
8364 No opposition during term of opposition