DE69637878D1 - Vakuumbehandlungsanlage und Halbleiterfertigungsstrasse die diese verwendet - Google Patents

Vakuumbehandlungsanlage und Halbleiterfertigungsstrasse die diese verwendet

Info

Publication number
DE69637878D1
DE69637878D1 DE69637878T DE69637878T DE69637878D1 DE 69637878 D1 DE69637878 D1 DE 69637878D1 DE 69637878 T DE69637878 T DE 69637878T DE 69637878 T DE69637878 T DE 69637878T DE 69637878 D1 DE69637878 D1 DE 69637878D1
Authority
DE
Germany
Prior art keywords
semiconductor manufacturing
treatment plant
manufacturing line
vacuum treatment
vacuum
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69637878T
Other languages
English (en)
Inventor
Minoru Soraoka
Ken Yoshioka
Yoshinao Kawasaki
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Application granted granted Critical
Publication of DE69637878D1 publication Critical patent/DE69637878D1/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67727Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using a general scheme of a conveying path within a factory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T70/00Locks
    • Y10T70/50Special application
    • Y10T70/5611For control and machine elements
    • Y10T70/5757Handle, handwheel or knob
    • Y10T70/5765Rotary or swinging
    • Y10T70/577Locked stationary
    • Y10T70/5792Handle-carried key lock
    • Y10T70/5796Coaxially mounted
    • Y10T70/5801Axially movable bolt
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T70/00Locks
    • Y10T70/50Special application
    • Y10T70/5611For control and machine elements
    • Y10T70/5757Handle, handwheel or knob
    • Y10T70/5765Rotary or swinging
    • Y10T70/5805Freely movable when locked
DE69637878T 1995-07-19 1996-07-12 Vakuumbehandlungsanlage und Halbleiterfertigungsstrasse die diese verwendet Expired - Fee Related DE69637878D1 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP7182921A JPH0936198A (ja) 1995-07-19 1995-07-19 真空処理装置およびそれを用いた半導体製造ライン

Publications (1)

Publication Number Publication Date
DE69637878D1 true DE69637878D1 (de) 2009-04-30

Family

ID=16126714

Family Applications (3)

Application Number Title Priority Date Filing Date
DE69637878T Expired - Fee Related DE69637878D1 (de) 1995-07-19 1996-07-12 Vakuumbehandlungsanlage und Halbleiterfertigungsstrasse die diese verwendet
DE69633487T Expired - Fee Related DE69633487T2 (de) 1995-07-19 1996-07-12 Vakuumbehandlungsvorrichtung und Halbleiter-Fertigungslinie die diese verwendet
DE69636872T Expired - Lifetime DE69636872T2 (de) 1995-07-19 1996-07-12 Vakuumbehandlungsanlage und Halbleiterfertigungsstrasse die diese verwendet

Family Applications After (2)

Application Number Title Priority Date Filing Date
DE69633487T Expired - Fee Related DE69633487T2 (de) 1995-07-19 1996-07-12 Vakuumbehandlungsvorrichtung und Halbleiter-Fertigungslinie die diese verwendet
DE69636872T Expired - Lifetime DE69636872T2 (de) 1995-07-19 1996-07-12 Vakuumbehandlungsanlage und Halbleiterfertigungsstrasse die diese verwendet

Country Status (7)

Country Link
US (18) US5855726A (de)
EP (3) EP0756316B1 (de)
JP (1) JPH0936198A (de)
KR (2) KR100440683B1 (de)
DE (3) DE69637878D1 (de)
SG (1) SG52824A1 (de)
TW (1) TW391987B (de)

Families Citing this family (303)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US5944940A (en) * 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US5980183A (en) * 1997-04-14 1999-11-09 Asyst Technologies, Inc. Integrated intrabay buffer, delivery, and stocker system
US5944857A (en) * 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
JPH1126541A (ja) * 1997-07-02 1999-01-29 Tokyo Electron Ltd 処理装置
US6312525B1 (en) 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US6034000A (en) * 1997-07-28 2000-03-07 Applied Materials, Inc. Multiple loadlock system
KR100238251B1 (ko) * 1997-08-20 2000-01-15 윤종용 하나의 도포 및 현상을 수행하는 장치에 복수의 정렬 및 노광장치를 병렬적으로 인-라인시킨 포토리쏘그래피장치
JPH11129184A (ja) * 1997-09-01 1999-05-18 Dainippon Screen Mfg Co Ltd 基板処理装置および基板搬入搬出装置
JP2974069B2 (ja) * 1997-09-25 1999-11-08 イノテック株式会社 半導体デバイスの製造装置
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
WO1999028951A2 (en) 1997-11-28 1999-06-10 Mattson Technology, Inc. Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing
US6042623A (en) * 1998-01-12 2000-03-28 Tokyo Electron Limited Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor
JP3966594B2 (ja) * 1998-01-26 2007-08-29 東京エレクトロン株式会社 予備真空室およびそれを用いた真空処理装置
JP3363375B2 (ja) * 1998-03-18 2003-01-08 東京エレクトロン株式会社 基板搬送装置および基板処理装置
US6208751B1 (en) * 1998-03-24 2001-03-27 Applied Materials, Inc. Cluster tool
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US6079927A (en) * 1998-04-22 2000-06-27 Varian Semiconductor Equipment Associates, Inc. Automated wafer buffer for use with wafer processing equipment
US6213704B1 (en) 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Method and apparatus for substrate transfer and processing
US6086362A (en) 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
US6517303B1 (en) 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6206176B1 (en) 1998-05-20 2001-03-27 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive
US6176668B1 (en) 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
KR100586773B1 (ko) * 1998-09-28 2006-06-08 동경 엘렉트론 주식회사 처리시스템
JP3665716B2 (ja) * 1998-09-28 2005-06-29 東京エレクトロン株式会社 処理システム
TW442891B (en) * 1998-11-17 2001-06-23 Tokyo Electron Ltd Vacuum processing system
JP2000150618A (ja) * 1998-11-17 2000-05-30 Tokyo Electron Ltd 真空処理システム
DE19900804C2 (de) * 1999-01-12 2000-10-19 Siemens Ag Fördersystem
JP4302817B2 (ja) * 1999-05-13 2009-07-29 東京エレクトロン株式会社 真空処理システム
US6440261B1 (en) 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
DE19952195A1 (de) * 1999-10-29 2001-05-17 Infineon Technologies Ag Anlage zur Bearbeitung von Wafern
US6298685B1 (en) 1999-11-03 2001-10-09 Applied Materials, Inc. Consecutive deposition system
US6558509B2 (en) 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US6698991B1 (en) * 2000-03-02 2004-03-02 Applied Materials, Inc. Fabrication system with extensible equipment sets
US7058627B2 (en) * 2000-04-25 2006-06-06 Brooks Automation, Inc. Reticle management system
US20010043989A1 (en) * 2000-05-18 2001-11-22 Masami Akimoto Film forming apparatus and film forming method
US6732003B1 (en) * 2000-08-07 2004-05-04 Data I/O Corporation Feeder/programming/loader system
US6906109B2 (en) 2000-09-01 2005-06-14 Chemical Products Corp. Method for controling uniformity of colloidal silica particle size
WO2002023597A2 (en) * 2000-09-15 2002-03-21 Applied Materials, Inc. Double dual slot load lock for process equipment
AU2002227418A1 (en) * 2001-01-22 2002-08-06 Tokyo Electron Limited Vertically translatable chuck assembly and method for a plasma reactor system
US20040111339A1 (en) * 2001-04-03 2004-06-10 Asyst Technologies, Inc. Distributed control system architecture and method for a material transport system
JP4937459B2 (ja) * 2001-04-06 2012-05-23 東京エレクトロン株式会社 クラスタツールおよび搬送制御方法
JP2002319609A (ja) * 2001-04-19 2002-10-31 Hitachi Ltd 半導体集積回路装置の製造方法
KR100407568B1 (ko) * 2001-06-01 2003-12-01 삼성전자주식회사 장치설치영역 내에 지지대를 갖는 반도체 제조 장치
JP4009087B2 (ja) * 2001-07-06 2007-11-14 アプライド マテリアルズ インコーポレイテッド 半導体製造装置における磁気発生装置、半導体製造装置および磁場強度制御方法
CN1996552B (zh) * 2001-08-31 2012-09-05 克罗辛自动化公司 晶片机
US7316966B2 (en) * 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
DE60214763T2 (de) * 2001-11-29 2007-09-06 Diamond Semiconductor Group LLC., Gloucester Waferhandhabungsvorrichtung und verfahren dafür
JP2003188229A (ja) * 2001-12-18 2003-07-04 Hitachi Kasado Eng Co Ltd ウエハ製造システムおよびウエハ製造方法
US6910847B1 (en) * 2002-07-19 2005-06-28 Nanometrics Incorporated Precision polar coordinate stage
US7959395B2 (en) 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US8960099B2 (en) * 2002-07-22 2015-02-24 Brooks Automation, Inc Substrate processing apparatus
US20070183871A1 (en) * 2002-07-22 2007-08-09 Christopher Hofmeister Substrate processing apparatus
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US6822244B2 (en) * 2003-01-02 2004-11-23 Loma Linda University Medical Center Configuration management and retrieval system for proton beam therapy system
US7472737B1 (en) * 2003-01-15 2009-01-06 Leannoux Properties Ag L.L.C. Adjustable micro device feeder
US7010388B2 (en) * 2003-05-22 2006-03-07 Axcelis Technologies, Inc. Work-piece treatment system having load lock and buffer
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US8602716B2 (en) * 2003-11-10 2013-12-10 Brooks Automation, Inc. Semiconductor manufacturing process modules
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US8696298B2 (en) * 2003-11-10 2014-04-15 Brooks Automation, Inc. Semiconductor manufacturing process modules
US8313277B2 (en) 2003-11-10 2012-11-20 Brooks Automation, Inc. Semiconductor manufacturing process modules
US7163586B2 (en) * 2003-11-12 2007-01-16 Specialty Coating Systems, Inc. Vapor deposition apparatus
US7497414B2 (en) * 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
DE102004035336A1 (de) * 2004-07-21 2006-02-16 Schott Ag Reinraumfähige Beschichtungsanlage
DE102004035335A1 (de) * 2004-07-21 2006-02-16 Schott Ag Reinraumfähige Beschichtungsanlage
TWI447840B (zh) * 2004-11-15 2014-08-01 尼康股份有限公司 基板搬運裝置、基板搬運方法以及曝光裝置
US7428958B2 (en) * 2004-11-15 2008-09-30 Nikon Corporation Substrate conveyor apparatus, substrate conveyance method and exposure apparatus
TWI278416B (en) * 2004-12-09 2007-04-11 Au Optronics Corp Cassette stocker
JP4688533B2 (ja) * 2005-03-18 2011-05-25 大日本スクリーン製造株式会社 基板処理装置
US20060137726A1 (en) * 2004-12-24 2006-06-29 Dainippon Screen Mfg. Co., Ltd. Substrate treating apparatus
US20060273815A1 (en) * 2005-06-06 2006-12-07 Applied Materials, Inc. Substrate support with integrated prober drive
US20070006936A1 (en) * 2005-07-07 2007-01-11 Applied Materials, Inc. Load lock chamber with substrate temperature regulation
US20080257260A9 (en) * 2005-09-30 2008-10-23 Applied Materials, Inc. Batch wafer handling system
US7845891B2 (en) * 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US8398355B2 (en) * 2006-05-26 2013-03-19 Brooks Automation, Inc. Linearly distributed semiconductor workpiece processing tool
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7951412B2 (en) * 2006-06-07 2011-05-31 Medicinelodge Inc. Laser based metal deposition (LBMD) of antimicrobials to implant surfaces
US7833351B2 (en) * 2006-06-26 2010-11-16 Applied Materials, Inc. Batch processing platform for ALD and CVD
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US8124907B2 (en) * 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
US20080251019A1 (en) * 2007-04-12 2008-10-16 Sriram Krishnaswami System and method for transferring a substrate into and out of a reduced volume chamber accommodating multiple substrates
JP4989398B2 (ja) * 2007-09-27 2012-08-01 大日本スクリーン製造株式会社 基板処理装置
US20090162170A1 (en) * 2007-12-19 2009-06-25 Asm Japan K.K. Tandem type semiconductor-processing apparatus
JP4406666B2 (ja) * 2008-02-20 2010-02-03 シャープ株式会社 真空処理装置および真空処理工場
JP5341492B2 (ja) * 2008-12-17 2013-11-13 シスメックス株式会社 検体処理システム、検体処理方法およびコンピュータプログラム
US20110049393A1 (en) * 2009-02-22 2011-03-03 Mapper Lithography Ip B.V. Lithography Machine and Substrate Handling Arrangement
JP5680557B2 (ja) 2009-02-22 2015-03-04 マッパー・リソグラフィー・アイピー・ビー.ブイ. 荷電粒子リソグラフィ装置
US8602706B2 (en) 2009-08-17 2013-12-10 Brooks Automation, Inc. Substrate processing apparatus
JP4766500B2 (ja) * 2009-08-26 2011-09-07 シャープ株式会社 真空処理装置、および真空処理工場
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN103930984B (zh) * 2011-11-23 2016-09-21 日本电产三协株式会社 工件搬运系统
JP5314789B2 (ja) * 2012-06-13 2013-10-16 株式会社日立製作所 真空処理装置及び真空処理方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
SG11201901463YA (en) * 2016-09-16 2019-03-28 Picosun Oy Apparatus and methods for atomic layer deposition
US11106145B2 (en) * 2016-10-17 2021-08-31 Nikon Corporation Exposure system and lithography system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP2018126795A (ja) * 2017-02-06 2018-08-16 セイコーエプソン株式会社 ロボットシステム
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (de) 2018-02-14 2020-11-18 ASM IP Holding B.V. Verfahren zum abscheiden eines ruthenium-haltigen films auf einem substrat durch ein zyklisches abscheidungsverfahren
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
CN108315695B (zh) * 2018-05-04 2023-11-17 苏州东福来机电科技有限公司 一种智能真空镀膜机构
CN108385081B (zh) * 2018-05-04 2024-01-12 华仪行(北京)科技有限公司 一种双仓自动镀膜装置
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) * 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
JP2022529605A (ja) * 2019-04-18 2022-06-23 ラム リサーチ コーポレーション 高密度の、制御された集積回路工場
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TWI770537B (zh) * 2020-06-23 2022-07-11 志聖工業股份有限公司 雙面曝光設備及曝光裝置
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JPWO2022208923A1 (de) 2021-03-29 2022-10-06
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (166)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS472971U (de) 1971-01-27 1972-09-01
US4314582A (en) * 1976-03-23 1982-02-09 Mordeki Drori Combined pressure-regulator and manual shut-off valve
JPS55141570A (en) * 1979-04-18 1980-11-05 Anelva Corp Dry etching apparatus
JPS5619635A (en) * 1979-07-27 1981-02-24 Hitachi Ltd Manufacturing apparatus
DE2940064A1 (de) * 1979-10-03 1981-04-16 Leybold-Heraeus GmbH, 5000 Köln Vakuumaufdampfanlage mir einer ventilkammer, einer bedampfungskammer und einer verdampferkammer
US4341582A (en) 1980-12-22 1982-07-27 The Perkin-Elmer Corporation Load-lock vacuum chamber
US4500407A (en) * 1983-07-19 1985-02-19 Varian Associates, Inc. Disk or wafer handling and coating system
US4861222A (en) * 1984-03-09 1989-08-29 Tegal Corporation Cassette elevator for use in a modular article processing machine
JPS60246635A (ja) * 1984-05-22 1985-12-06 Anelva Corp 自動基板処理装置
JPS618153A (ja) * 1984-06-22 1986-01-14 Mitsubishi Heavy Ind Ltd スプレ−ノズル
JPS61105853A (ja) * 1984-10-30 1986-05-23 Anelva Corp オ−トロ−ダ−
US4693777A (en) * 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
US5280983A (en) * 1985-01-22 1994-01-25 Applied Materials, Inc. Semiconductor processing system with robotic autoloader and load lock
US5224809A (en) * 1985-01-22 1993-07-06 Applied Materials, Inc. Semiconductor processing system with robotic autoloader and load lock
US5004924A (en) * 1985-01-28 1991-04-02 Tokyo Electron Limited Wafer transport apparatus for ion implantation apparatus
US4705951A (en) * 1986-04-17 1987-11-10 Varian Associates, Inc. Wafer processing system
US4715921A (en) * 1986-10-24 1987-12-29 General Signal Corporation Quad processor
US4670126A (en) * 1986-04-28 1987-06-02 Varian Associates, Inc. Sputter module for modular wafer processing system
US4836733A (en) * 1986-04-28 1989-06-06 Varian Associates, Inc. Wafer transfer system
JPS63369A (ja) 1986-06-19 1988-01-05 Nippon Shokubai Kagaku Kogyo Co Ltd 新規塗料用樹脂およびそれを含んでなる塗料用樹脂組成物
US4676884A (en) * 1986-07-23 1987-06-30 The Boc Group, Inc. Wafer processing machine with evacuated wafer transporting and storage system
NZ222903A (en) * 1986-12-17 1990-07-26 Focas Ltd Optical fibre connector: heat shrinkable sleeve
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
JPS63157870A (ja) * 1986-12-19 1988-06-30 Anelva Corp 基板処理装置
JPS63209702A (ja) 1987-02-25 1988-08-31 Ishikawajima Harima Heavy Ind Co Ltd 結晶缶の運転方法
JPS6464231A (en) * 1987-09-03 1989-03-10 Toshiba Corp Conveyor
JP2958005B2 (ja) 1988-01-22 1999-10-06 富士通株式会社 不等間隔データファイルのアクセス方法
US5177514A (en) * 1988-02-12 1993-01-05 Tokyo Electron Limited Apparatus for coating a photo-resist film and/or developing it after being exposed
KR970003907B1 (ko) * 1988-02-12 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 기판처리 장치 및 기판처리 방법
JPH01225321A (ja) 1988-03-04 1989-09-08 Mitsubishi Electric Corp 半導体ウエハの処理装置
US4908095A (en) * 1988-05-02 1990-03-13 Tokyo Electron Limited Etching device, and etching method
JPH01305533A (ja) 1988-06-03 1989-12-08 Toshiba Corp 搬送装置
US4857160A (en) * 1988-07-25 1989-08-15 Oerlikon-Buhrle U.S.A. Inc. High vacuum processing system and method
US5024570A (en) * 1988-09-14 1991-06-18 Fujitsu Limited Continuous semiconductor substrate processing system
US5017915A (en) 1988-09-19 1991-05-21 Dang Mieu Hong Method of enhancing communication setup between a communication station and a telecommunications network
US5536128A (en) * 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
US5019233A (en) * 1988-10-31 1991-05-28 Eaton Corporation Sputtering system
US5217340A (en) * 1989-01-28 1993-06-08 Kokusai Electric Co., Ltd. Wafer transfer mechanism in vertical CVD diffusion apparatus
JP2528962B2 (ja) * 1989-02-27 1996-08-28 株式会社日立製作所 試料処理方法及び装置
US5868854A (en) 1989-02-27 1999-02-09 Hitachi, Ltd. Method and apparatus for processing samples
JPH02234095A (ja) 1989-03-08 1990-09-17 Ishikawajima Harima Heavy Ind Co Ltd 原子炉圧力容器の蒸気逃し管系の耐圧試験方法
US5110248A (en) * 1989-07-17 1992-05-05 Tokyo Electron Sagami Limited Vertical heat-treatment apparatus having a wafer transfer mechanism
KR0155158B1 (ko) * 1989-07-25 1998-12-01 카자마 젠쥬 종형 처리 장치 및 처리방법
US5254170A (en) * 1989-08-07 1993-10-19 Asm Vt, Inc. Enhanced vertical thermal reactor system
WO1991004213A1 (en) * 1989-09-12 1991-04-04 Rapro Technology, Inc. Automated wafer transport system
JPH03154751A (ja) * 1989-11-08 1991-07-02 Hitachi Ltd 多品種搬送方法及び装置
JP2893882B2 (ja) 1990-07-11 1999-05-24 三菱電機株式会社 アクティブフィルタ装置
JPH0471692A (ja) 1990-07-12 1992-03-06 Hitachi Ltd 微生物撮像装置
JP3128229B2 (ja) 1990-07-13 2001-01-29 ソニー株式会社 液晶ディスプレイ装置
TW221318B (de) * 1990-07-31 1994-02-21 Tokyo Electron Co Ltd
JP2644912B2 (ja) * 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
KR100212819B1 (en) * 1990-08-29 1999-09-01 Hitachi Ltd Transferring system and vacuum treating apparatus and method thereby
US5436848A (en) * 1990-09-03 1995-07-25 Dainippon Screen Mfg. Co., Ltd. Method of and device for transporting semiconductor substrate in semiconductor processing system
JP2525284B2 (ja) * 1990-10-22 1996-08-14 ティーディーケイ株式会社 クリ―ン搬送方法及び装置
US5169272A (en) * 1990-11-01 1992-12-08 Asyst Technologies, Inc. Method and apparatus for transferring articles between two controlled environments
JP2595132B2 (ja) * 1990-11-26 1997-03-26 株式会社日立製作所 真空処理装置
US5286296A (en) * 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
JPH04247632A (ja) 1991-02-01 1992-09-03 Fujitsu Ltd 半導体装置
JPH05275511A (ja) * 1991-03-01 1993-10-22 Tokyo Electron Ltd 被処理体の移載システム及び処理装置
JPH04298060A (ja) * 1991-03-26 1992-10-21 Tokyo Electron Ltd ウエハの位置合わせ装置
JP2986121B2 (ja) * 1991-03-26 1999-12-06 東京エレクトロン株式会社 ロードロック装置及び真空処理装置
JPH04298059A (ja) 1991-03-27 1992-10-21 Hitachi Ltd 真空処理装置
US5271732A (en) * 1991-04-03 1993-12-21 Tokyo Electron Sagami Kabushiki Kaisha Heat-treating apparatus
US5326316A (en) * 1991-04-17 1994-07-05 Matsushita Electric Industrial Co., Ltd. Coupling type clean space apparatus
JPH0521466A (ja) 1991-07-16 1993-01-29 Nec Kansai Ltd 電界効果型トランジスタの製造方法
JP3309997B2 (ja) * 1991-09-05 2002-07-29 株式会社日立製作所 複合処理装置
US5215420A (en) * 1991-09-20 1993-06-01 Intevac, Inc. Substrate handling and processing system
JPH05136245A (ja) 1991-11-08 1993-06-01 Tokyo Electron Ltd 半導体製造装置
US5256204A (en) * 1991-12-13 1993-10-26 United Microelectronics Corporation Single semiconductor water transfer method and manufacturing system
JP2751975B2 (ja) * 1991-12-20 1998-05-18 株式会社日立製作所 半導体処理装置のロードロック室
US5468111A (en) * 1992-01-22 1995-11-21 Seagate Technology, Inc. Disc loading and unloading assembly
JP2867194B2 (ja) * 1992-02-05 1999-03-08 東京エレクトロン株式会社 処理装置及び処理方法
JPH0630369A (ja) * 1992-02-06 1994-02-04 Nec Corp 遅延画像データ出力ビデオカメラ
JPH05218176A (ja) * 1992-02-07 1993-08-27 Tokyo Electron Tohoku Kk 熱処理方法及び被処理体の移載方法
KR100230697B1 (ko) * 1992-02-18 1999-11-15 이노우에 쥰이치 감압 처리 장치
JP3030160B2 (ja) * 1992-04-28 2000-04-10 東京エレクトロン株式会社 真空処理装置
US5534072A (en) * 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
JP3046678B2 (ja) 1992-07-15 2000-05-29 松下電工株式会社 2段駐車装置
JPH0653304A (ja) 1992-07-29 1994-02-25 Tokyo Electron Ltd 減圧処理装置
US5558482A (en) * 1992-07-29 1996-09-24 Tokyo Electron Limited Multi-chamber system
JP3139155B2 (ja) * 1992-07-29 2001-02-26 東京エレクトロン株式会社 真空処理装置
JPH0697080A (ja) * 1992-09-10 1994-04-08 Mitsubishi Electric Corp 化学気相成長装置用反応室および該反応室を用いた化学気相成長装置
JP3350107B2 (ja) 1992-09-17 2002-11-25 株式会社日立製作所 枚葉式真空処理装置
JP2655975B2 (ja) * 1992-09-18 1997-09-24 三菱マテリアル株式会社 ウェーハ研磨装置
JP3172331B2 (ja) * 1993-04-28 2001-06-04 東京エレクトロン株式会社 真空処理装置
JP3151582B2 (ja) * 1993-04-28 2001-04-03 東京エレクトロン株式会社 真空処理装置
JPH06155697A (ja) 1992-11-19 1994-06-03 Toppan Printing Co Ltd カタログ類等の文字割付装置
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5607009A (en) * 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
DE69304038T2 (de) * 1993-01-28 1996-12-19 Applied Materials Inc Vorrichtung für ein Vakuumverfahren mit verbessertem Durchsatz
JP3258748B2 (ja) * 1993-02-08 2002-02-18 東京エレクトロン株式会社 熱処理装置
JPH06236914A (ja) * 1993-02-09 1994-08-23 Mitsubishi Electric Corp 半導体製造設備
JP3332982B2 (ja) * 1993-03-19 2002-10-07 東京エレクトロン株式会社 基板処理システムおよびキャリア搬送装置
US5527390A (en) * 1993-03-19 1996-06-18 Tokyo Electron Kabushiki Treatment system including a plurality of treatment apparatus
KR100221983B1 (ko) * 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
KR100267617B1 (ko) * 1993-04-23 2000-10-16 히가시 데쓰로 진공처리장치 및 진공처리방법
US5417537A (en) * 1993-05-07 1995-05-23 Miller; Kenneth C. Wafer transport device
US5466117A (en) * 1993-06-10 1995-11-14 Xilinx, Inc. Device and method for programming multiple arrays of semiconductor devices
JP2969034B2 (ja) * 1993-06-18 1999-11-02 東京エレクトロン株式会社 搬送方法および搬送装置
JPH0722490A (ja) * 1993-06-30 1995-01-24 Mitsubishi Electric Corp ロット自動編成装置及び方法
TW264601B (de) 1993-09-17 1995-12-01 Hitachi Seisakusyo Kk
US5570990A (en) * 1993-11-05 1996-11-05 Asyst Technologies, Inc. Human guided mobile loader stocker
US5851602A (en) * 1993-12-09 1998-12-22 Applied Materials, Inc. Deposition of high quality conformal silicon oxide thin films for the manufacture of thin film transistors
EP0663686B1 (de) * 1994-01-14 1997-06-18 International Business Machines Corporation Zusammenbau-/Ausbau-Einrichtung für abdichtbaren unter Druck stehenden Transportbehälter
JP3264076B2 (ja) * 1994-01-31 2002-03-11 松下電器産業株式会社 真空処理装置
US5645419A (en) * 1994-03-29 1997-07-08 Tokyo Electron Kabushiki Kaisha Heat treatment method and device
US5563798A (en) * 1994-04-05 1996-10-08 Applied Materials, Inc. Wafer positioning system
US5934856A (en) 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
US5826129A (en) * 1994-06-30 1998-10-20 Tokyo Electron Limited Substrate processing system
TW295677B (de) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
JP3453223B2 (ja) * 1994-08-19 2003-10-06 東京エレクトロン株式会社 処理装置
JPH0864732A (ja) 1994-08-26 1996-03-08 Mitsubishi Electric Corp 半導体集積回路装置
KR0152324B1 (ko) 1994-12-06 1998-12-01 양승택 웨이퍼 측면파지 이송 반도체 제조장치
TW315504B (de) * 1995-03-20 1997-09-11 Tokyo Electron Co Ltd
JPH08288355A (ja) * 1995-04-12 1996-11-01 Nikon Corp 基板搬送装置
US5672239A (en) * 1995-05-10 1997-09-30 Tegal Corporation Integrated semiconductor wafer processing system
TW319751B (de) * 1995-05-18 1997-11-11 Toshiba Co Ltd
JP3347528B2 (ja) * 1995-05-23 2002-11-20 キヤノン株式会社 半導体製造装置
TW309503B (de) * 1995-06-27 1997-07-01 Tokyo Electron Co Ltd
US5653565A (en) * 1995-07-05 1997-08-05 Asyst Technologies, Inc. SMIF port interface adaptor
US5664925A (en) * 1995-07-06 1997-09-09 Brooks Automation, Inc. Batchloader for load lock
US6672819B1 (en) * 1995-07-19 2004-01-06 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
JP3658110B2 (ja) * 1995-11-27 2005-06-08 キヤノン株式会社 画像表示装置のための製造方法及び製造装置
US5830322A (en) * 1996-02-13 1998-11-03 Thermo Fibertek Inc. Velocity induced drainage method and unit
US5900105A (en) * 1996-07-09 1999-05-04 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US5944940A (en) * 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
TW466622B (en) * 1996-09-11 2001-12-01 Hitachi Ltd Operating method of vacuum processing device and vacuum processing device
US6714832B1 (en) * 1996-09-11 2004-03-30 Hitachi, Ltd. Operating method of vacuum processing system and vacuum processing system
JP3788533B2 (ja) * 1996-09-30 2006-06-21 東京エレクトロン株式会社 研磨装置および研磨方法
JPH10107122A (ja) * 1996-10-01 1998-04-24 Tokyo Electron Ltd 被処理基板カセットの搬入装置
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US5905302A (en) * 1996-11-18 1999-05-18 Applied Materials, Inc. Loadlock cassette with wafer support rails
US5810395A (en) * 1996-12-30 1998-09-22 Morgan; Dale C. Method for recording and tracking the progress of activities
US6257827B1 (en) * 1997-12-01 2001-07-10 Brooks Automation Inc. Apparatus and method for transporting substrates
US6270306B1 (en) * 1998-01-14 2001-08-07 Applied Materials, Inc. Wafer aligner in center of front end frame of vacuum system
US6057662A (en) * 1998-02-25 2000-05-02 Applied Materials, Inc. Single motor control for substrate handler in processing system
JP4674705B2 (ja) * 1998-10-27 2011-04-20 東京エレクトロン株式会社 搬送システムの搬送位置合わせ方法及び搬送システム
WO2000028587A1 (fr) * 1998-11-09 2000-05-18 Tokyo Electron Limited Dispositif de traitement
TW442891B (en) * 1998-11-17 2001-06-23 Tokyo Electron Ltd Vacuum processing system
US6610150B1 (en) * 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
JP2001127044A (ja) * 1999-10-29 2001-05-11 Hitachi Ltd 真空処理装置および真空処理システム
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6698991B1 (en) * 2000-03-02 2004-03-02 Applied Materials, Inc. Fabrication system with extensible equipment sets
US6506009B1 (en) * 2000-03-16 2003-01-14 Applied Materials, Inc. Apparatus for storing and moving a cassette
JP4021125B2 (ja) * 2000-06-02 2007-12-12 東京エレクトロン株式会社 ウェハ移載装置の装置ユニット接続時に用いられるレールの真直性保持装置
JP4915033B2 (ja) * 2000-06-15 2012-04-11 株式会社ニコン 露光装置、基板処理装置及びリソグラフィシステム、並びにデバイス製造方法
JP2002026108A (ja) * 2000-07-12 2002-01-25 Tokyo Electron Ltd 被処理体の移載機構、処理システム及び移載機構の使用方法
US6568896B2 (en) * 2001-03-21 2003-05-27 Applied Materials, Inc. Transfer chamber with side wall port
JP4937459B2 (ja) * 2001-04-06 2012-05-23 東京エレクトロン株式会社 クラスタツールおよび搬送制御方法
US6852194B2 (en) * 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
US6752585B2 (en) * 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
US6672864B2 (en) * 2001-08-31 2004-01-06 Applied Materials, Inc. Method and apparatus for processing substrates in a system having high and low pressure areas
US6729824B2 (en) * 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
JP2003264214A (ja) * 2002-03-07 2003-09-19 Hitachi High-Technologies Corp 真空処理装置及び真空処理方法
JP4254116B2 (ja) * 2002-03-22 2009-04-15 東京エレクトロン株式会社 位置合わせ用基板
US7204669B2 (en) * 2002-07-17 2007-04-17 Applied Materials, Inc. Semiconductor substrate damage protection system
US6696367B1 (en) * 2002-09-27 2004-02-24 Asm America, Inc. System for the improved handling of wafers within a process tool
KR101120497B1 (ko) * 2002-11-15 2012-02-29 외를리콘 솔라 아게, 트뤼프바흐 2차원 확장 기판의 진공처리용 장치 및 그기판의 제조방법
KR100562500B1 (ko) * 2003-02-25 2006-03-21 삼성전자주식회사 기판 이송 시스템 및 기판 이송 방법
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US7458763B2 (en) * 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US7226269B2 (en) * 2004-01-15 2007-06-05 Applied Materials, Inc. Substrate edge grip apparatus
KR100558558B1 (ko) * 2004-01-26 2006-03-10 삼성전자주식회사 멀티챔버 프로세스장치
JP4128973B2 (ja) * 2004-03-30 2008-07-30 株式会社日立ハイテクノロジーズ 真空処理装置及び真空処理方法

Also Published As

Publication number Publication date
US20080138180A1 (en) 2008-06-12
KR100440683B1 (ko) 2004-10-22
US20020091465A1 (en) 2002-07-11
US20020099469A1 (en) 2002-07-25
JPH0936198A (ja) 1997-02-07
EP0756316A1 (de) 1997-01-29
US6962472B2 (en) 2005-11-08
US7347656B2 (en) 2008-03-25
EP1119022B1 (de) 2007-01-24
TW391987B (en) 2000-06-01
US20020062165A1 (en) 2002-05-23
US6752579B2 (en) 2004-06-22
EP0756316B1 (de) 2004-09-29
EP1143488A3 (de) 2003-10-29
US7201551B2 (en) 2007-04-10
DE69633487D1 (de) 2004-11-04
US6430469B2 (en) 2002-08-06
SG52824A1 (en) 1998-09-28
EP1143488B1 (de) 2009-03-18
US20010025204A1 (en) 2001-09-27
US6526330B2 (en) 2003-02-25
US20020068982A1 (en) 2002-06-06
EP1119022A2 (de) 2001-07-25
US20090220322A1 (en) 2009-09-03
US6253117B1 (en) 2001-06-26
KR100453276B1 (ko) 2004-10-15
US20040197169A1 (en) 2004-10-07
US20020062166A1 (en) 2002-05-23
US20020082744A1 (en) 2002-06-27
US6519504B1 (en) 2003-02-11
US6188935B1 (en) 2001-02-13
US5855726A (en) 1999-01-05
KR970008334A (ko) 1997-02-24
US6895685B2 (en) 2005-05-24
US20010025207A1 (en) 2001-09-27
US20050175435A1 (en) 2005-08-11
EP1143488A2 (de) 2001-10-10
US20040118005A1 (en) 2004-06-24
US6752580B2 (en) 2004-06-22
DE69636872D1 (de) 2007-03-15
DE69633487T2 (de) 2005-11-17
EP1119022A3 (de) 2003-10-15
US20020061244A1 (en) 2002-05-23
DE69636872T2 (de) 2007-09-20

Similar Documents

Publication Publication Date Title
DE69636872D1 (de) Vakuumbehandlungsanlage und Halbleiterfertigungsstrasse die diese verwendet
DE59601335D1 (de) Halbleiterbauelement und Herstellverfahren
DE69522514D1 (de) Halbleiteranordnung und Herstellungsverfahren
DE69526539D1 (de) Halbleiteranordnung und Herstellungsverfahren
DE69527330D1 (de) Halbleiteranordnung und Herstellungsverfahren
DE69525795D1 (de) Halbleiteranordnung und Herstellungsverfahren
DE69634813D1 (de) Halbleiter und seine Herstellung
DE69534938D1 (de) Photovoltaisches Bauelement und Herstellungsverfahren
DE69430511D1 (de) Halbleiteranordnung und Herstellungverfahren
DE69430513D1 (de) Harzvergossenes Halbleiterbauteil und dessen Herstellungsverfahren
DE69124646T2 (de) MOS-Halbleiterbauelement und dessen Herstellungsverfahren
DE798762T1 (de) Automatisiertes Halbleiter-Behandlungsverfahren
DE69526543T2 (de) Harzvergossenes Halbleiterbauteil und dessen Herstellungsverfahren
DE59205106D1 (de) Vakuumbehandlungsanlage und deren Verwendungen
DE69637900D1 (de) Harzvergossenes Halbleiterbauteil und dessen Herstellungsverfahren
DE59509632D1 (de) Bipolartransistor und Herstellungsverfahren
DE69528798D1 (de) CMOS-Halbleiterbauelement und Herstellungsverfahren
FR2738487B1 (fr) Composition dermatologique antiseptique et son procede de fabrication
DE69501522D1 (de) Sperrschicht-Feldeffekttransistor und dessen Herstellungsverfahren
DE69627052T2 (de) Wärmebehandlungsanlage
DE69528372D1 (de) Orale behandlung
FR2784270B1 (fr) Traitement des graines germees et jeunes pousses par la technologie de sechage couplee a la microtexturation
DE29512956U1 (de) Abwasserkläranlage
DE29508289U1 (de) Kläranlage
ITTO950417A0 (it) Procedimento ed impianto per il trattamento di rifiuti.

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee