DE69817251D1 - System und Verfahren zur Abscheidung von Schichten - Google Patents
System und Verfahren zur Abscheidung von SchichtenInfo
- Publication number
- DE69817251D1 DE69817251D1 DE69817251T DE69817251T DE69817251D1 DE 69817251 D1 DE69817251 D1 DE 69817251D1 DE 69817251 T DE69817251 T DE 69817251T DE 69817251 T DE69817251 T DE 69817251T DE 69817251 D1 DE69817251 D1 DE 69817251D1
- Authority
- DE
- Germany
- Prior art keywords
- depositing layers
- depositing
- layers
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/308—Oxynitrides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/34—Nitrides
- C23C16/345—Silicon nitride
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/52—Controlling or regulating the coating process
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US852786 | 1997-05-07 | ||
US08/852,786 US6083852A (en) | 1997-05-07 | 1997-05-07 | Method for applying films using reduced deposition rates |
Publications (2)
Publication Number | Publication Date |
---|---|
DE69817251D1 true DE69817251D1 (de) | 2003-09-25 |
DE69817251T2 DE69817251T2 (de) | 2004-06-09 |
Family
ID=25314220
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
DE69817251T Expired - Fee Related DE69817251T2 (de) | 1997-05-07 | 1998-04-30 | System und Verfahren zur Abscheidung von Schichten |
Country Status (5)
Country | Link |
---|---|
US (2) | US6083852A (de) |
EP (1) | EP0877098B1 (de) |
JP (1) | JPH1167745A (de) |
DE (1) | DE69817251T2 (de) |
TW (1) | TW401461B (de) |
Families Citing this family (53)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6127262A (en) * | 1996-06-28 | 2000-10-03 | Applied Materials, Inc. | Method and apparatus for depositing an etch stop layer |
US6340435B1 (en) * | 1998-02-11 | 2002-01-22 | Applied Materials, Inc. | Integrated low K dielectrics and etch stops |
US7804115B2 (en) | 1998-02-25 | 2010-09-28 | Micron Technology, Inc. | Semiconductor constructions having antireflective portions |
US6274292B1 (en) | 1998-02-25 | 2001-08-14 | Micron Technology, Inc. | Semiconductor processing methods |
US6833280B1 (en) * | 1998-03-13 | 2004-12-21 | Micron Technology, Inc. | Process for fabricating films of uniform properties on semiconductor devices |
US6300672B1 (en) * | 1998-07-22 | 2001-10-09 | Siemens Aktiengesellschaft | Silicon oxynitride cap for fluorinated silicate glass film in intermetal dielectric semiconductor fabrication |
US6171764B1 (en) * | 1998-08-22 | 2001-01-09 | Chia-Lin Ku | Method for reducing intensity of reflected rays encountered during process of photolithography |
JP2000094310A (ja) * | 1998-09-24 | 2000-04-04 | Matsushita Electric Ind Co Ltd | 被研磨基板の保持装置、基板の研磨方法及び半導体装置の製造方法 |
US6251802B1 (en) | 1998-10-19 | 2001-06-26 | Micron Technology, Inc. | Methods of forming carbon-containing layers |
US6303520B1 (en) * | 1998-12-15 | 2001-10-16 | Mattson Technology, Inc. | Silicon oxynitride film |
US6828683B2 (en) * | 1998-12-23 | 2004-12-07 | Micron Technology, Inc. | Semiconductor devices, and semiconductor processing methods |
US7235499B1 (en) * | 1999-01-20 | 2007-06-26 | Micron Technology, Inc. | Semiconductor processing methods |
US6498635B1 (en) * | 1999-03-05 | 2002-12-24 | Chartered Semiconductor Manufacturing Ltd. | Method of forming insulating material alignment posts associated with active device structures |
US6245682B1 (en) * | 1999-03-11 | 2001-06-12 | Taiwan Semiconductor Manufacturing Company | Removal of SiON ARC film after poly photo and etch |
US6355546B1 (en) * | 1999-08-11 | 2002-03-12 | Advanced Micro Devices, Inc. | Thermally grown protective oxide buffer layer for ARC removal |
US6291329B1 (en) * | 1999-08-11 | 2001-09-18 | Advanced Micro Devices, Inc. | Protective oxide buffer layer for ARC removal |
JP3406250B2 (ja) * | 1999-08-30 | 2003-05-12 | 日本エー・エス・エム株式会社 | 窒化珪素系膜の成膜方法 |
US7067414B1 (en) * | 1999-09-01 | 2006-06-27 | Micron Technology, Inc. | Low k interlevel dielectric layer fabrication methods |
US6372668B2 (en) * | 2000-01-18 | 2002-04-16 | Advanced Micro Devices, Inc. | Method of forming silicon oxynitride films |
US6440860B1 (en) * | 2000-01-18 | 2002-08-27 | Micron Technology, Inc. | Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride |
US6978437B1 (en) * | 2000-10-10 | 2005-12-20 | Toppan Photomasks, Inc. | Photomask for eliminating antenna effects in an integrated circuit and integrated circuit manufacture with same |
DE10062660B4 (de) * | 2000-12-15 | 2010-05-06 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zur Herstellung einer Siliciumoxynitrid-ARC-Schicht über einer Halbleiterstruktur |
JP2002214793A (ja) * | 2001-01-22 | 2002-07-31 | Mitsubishi Electric Corp | 反射防止膜及び半導体装置の製造方法 |
US6537733B2 (en) * | 2001-02-23 | 2003-03-25 | Applied Materials, Inc. | Method of depositing low dielectric constant silicon carbide layers |
US20050189074A1 (en) * | 2002-11-08 | 2005-09-01 | Tokyo Electron Limited | Gas processing apparatus and method and computer storage medium storing program for controlling same |
JP2002359236A (ja) * | 2001-03-27 | 2002-12-13 | Hitachi Kokusai Electric Inc | 半導体製造装置 |
US7324865B1 (en) * | 2001-05-09 | 2008-01-29 | Advanced Micro Devices, Inc. | Run-to-run control method for automated control of metal deposition processes |
US6376392B1 (en) | 2001-05-18 | 2002-04-23 | Industrial Technology Research Institute | PECVD process for ULSI ARL |
JP2003142358A (ja) * | 2001-11-07 | 2003-05-16 | Canon Inc | 製造システム及びその制御装置及び制御方法及び制御プログラム及び記憶媒体 |
JP2003221257A (ja) * | 2002-01-31 | 2003-08-05 | Nippon Sheet Glass Co Ltd | 透明薄膜の成形方法およびそれを備える透明基体 |
US7390755B1 (en) | 2002-03-26 | 2008-06-24 | Novellus Systems, Inc. | Methods for post etch cleans |
DE10223954A1 (de) * | 2002-05-29 | 2003-12-11 | Infineon Technologies Ag | Plasmaangeregtes chemisches Gasphasenabscheide-Verfahren zum Abscheiden von Siliziumnitrid oder Siliziumoxinitrid, Verfahren zum Herstellen einer Schicht-Anordnung und Schicht-Anordnung |
US7163879B2 (en) * | 2002-05-30 | 2007-01-16 | Sharp Kabushiki Kaisha | Hard mask etch for gate polyetch |
US6853043B2 (en) * | 2002-11-04 | 2005-02-08 | Applied Materials, Inc. | Nitrogen-free antireflective coating for use with photolithographic patterning |
US7365029B2 (en) * | 2002-12-20 | 2008-04-29 | Applied Materials, Inc. | Method for silicon nitride chemical vapor deposition |
JP2006511087A (ja) * | 2002-12-20 | 2006-03-30 | アプライド マテリアルズ インコーポレイテッド | 高品位低温窒化シリコン層を形成する方法および装置 |
US7972663B2 (en) * | 2002-12-20 | 2011-07-05 | Applied Materials, Inc. | Method and apparatus for forming a high quality low temperature silicon nitride layer |
US20040121146A1 (en) * | 2002-12-20 | 2004-06-24 | Xiao-Ming He | Composite barrier films and method |
US7172792B2 (en) * | 2002-12-20 | 2007-02-06 | Applied Materials, Inc. | Method for forming a high quality low temperature silicon nitride film |
US7473566B1 (en) * | 2004-02-03 | 2009-01-06 | Advanced Micro Devices, Inc. | Method and apparatus for controlling a film formation process with multiple objectives |
US7288484B1 (en) | 2004-07-13 | 2007-10-30 | Novellus Systems, Inc. | Photoresist strip method for low-k dielectrics |
US8193096B2 (en) * | 2004-12-13 | 2012-06-05 | Novellus Systems, Inc. | High dose implantation strip (HDIS) in H2 base chemistry |
US7202176B1 (en) * | 2004-12-13 | 2007-04-10 | Novellus Systems, Inc. | Enhanced stripping of low-k films using downstream gas mixing |
US8129281B1 (en) | 2005-05-12 | 2012-03-06 | Novellus Systems, Inc. | Plasma based photoresist removal system for cleaning post ash residue |
US7740768B1 (en) | 2006-10-12 | 2010-06-22 | Novellus Systems, Inc. | Simultaneous front side ash and backside clean |
US8435895B2 (en) | 2007-04-04 | 2013-05-07 | Novellus Systems, Inc. | Methods for stripping photoresist and/or cleaning metal regions |
US20090179307A1 (en) * | 2008-01-15 | 2009-07-16 | Chartered Semiconductor Manufacturing Ltd. | Integrated circuit system employing feed-forward control |
US8591661B2 (en) | 2009-12-11 | 2013-11-26 | Novellus Systems, Inc. | Low damage photoresist strip method for low-K dielectrics |
WO2011072061A2 (en) | 2009-12-11 | 2011-06-16 | Novellus Systems, Inc. | Enhanced passivation process to protect silicon prior to high dose implant strip |
US20110143548A1 (en) | 2009-12-11 | 2011-06-16 | David Cheung | Ultra low silicon loss high dose implant strip |
US8304262B2 (en) * | 2011-02-17 | 2012-11-06 | Lam Research Corporation | Wiggling control for pseudo-hardmask |
US9613825B2 (en) | 2011-08-26 | 2017-04-04 | Novellus Systems, Inc. | Photoresist strip processes for improved device integrity |
US9514954B2 (en) | 2014-06-10 | 2016-12-06 | Lam Research Corporation | Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films |
Family Cites Families (37)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS5824951B2 (ja) * | 1974-10-09 | 1983-05-24 | ソニー株式会社 | コウガクソウチ |
DE2832388C2 (de) * | 1978-07-24 | 1986-08-14 | Siemens Ag, 1000 Berlin Und 8000 Muenchen | Verfahren zum Herstellen von MNOS- und MOS-Transistoren in Silizium-Gate-Technologie auf einem Halbleitersubstrat |
US4283249A (en) * | 1979-05-02 | 1981-08-11 | International Business Machines Corporation | Reactive ion etching |
DE2923995C2 (de) * | 1979-06-13 | 1985-11-07 | Siemens AG, 1000 Berlin und 8000 München | Verfahren zum Herstellen von integrierten MOS-Schaltungen mit MOS-Transistoren und MNOS-Speichertransistoren in Silizium-Gate-Technologie |
DE3202709A1 (de) * | 1982-01-28 | 1983-08-04 | Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V., 8000 München | Verfahren und einrichtung zum beschichten eines substrates mit inhomogenen schichten |
DE3205345A1 (de) * | 1982-02-15 | 1983-09-01 | Philips Patentverwaltung Gmbh, 2000 Hamburg | "verfahren zur herstellung von fluordotierten lichtleitfasern" |
US4910122A (en) * | 1982-09-30 | 1990-03-20 | Brewer Science, Inc. | Anti-reflective coating |
JPH0642482B2 (ja) * | 1984-11-15 | 1994-06-01 | 株式会社東芝 | 半導体装置の製造方法 |
US4721631A (en) * | 1985-02-14 | 1988-01-26 | Sharp Kabushiki Kaisha | Method of manufacturing thin-film electroluminescent display panel |
JPS6418239A (en) * | 1987-07-13 | 1989-01-23 | Mitsubishi Electric Corp | Semiconductor integrated circuit device |
US5138973A (en) * | 1987-07-16 | 1992-08-18 | Texas Instruments Incorporated | Wafer processing apparatus having independently controllable energy sources |
DE3874638T2 (de) * | 1987-07-16 | 1993-03-18 | Texas Instruments Inc | Behandlungsapparat und -verfahren. |
US4791073A (en) * | 1987-11-17 | 1988-12-13 | Motorola Inc. | Trench isolation method for semiconductor devices |
US4849366A (en) * | 1988-01-15 | 1989-07-18 | Industrial Technology Research Institute | Method of making a gated isolated structure |
GB2216336A (en) * | 1988-03-30 | 1989-10-04 | Philips Nv | Forming insulating layers on substrates |
US5204288A (en) * | 1988-11-10 | 1993-04-20 | Applied Materials, Inc. | Method for planarizing an integrated circuit structure using low melting inorganic material |
US5068124A (en) * | 1989-11-17 | 1991-11-26 | International Business Machines Corporation | Method for depositing high quality silicon dioxide by pecvd |
US4992306A (en) * | 1990-02-01 | 1991-02-12 | Air Products Abd Chemicals, Inc. | Deposition of silicon dioxide and silicon oxynitride films using azidosilane sources |
FR2666324B1 (fr) * | 1990-09-03 | 1993-04-09 | Saint Gobain Vitrage Int | Couches minces de nitrure de silicium a proprietes ameliorees. |
DE4228853C2 (de) * | 1991-09-18 | 1993-10-21 | Schott Glaswerke | Optischer Wellenleiter mit einem planaren oder nur geringfügig gewölbten Substrat und Verfahren zu dessen Herstellung sowie Verwendung eines solchen |
US5330883A (en) * | 1992-06-29 | 1994-07-19 | Lsi Logic Corporation | Techniques for uniformizing photoresist thickness and critical dimension of underlying features |
US5320864A (en) * | 1992-06-29 | 1994-06-14 | Lsi Logic Corporation | Sedimentary deposition of photoresist on semiconductor wafers |
US5286667A (en) * | 1992-08-11 | 1994-02-15 | Taiwan Semiconductor Manufacturing Company | Modified and robust self-aligning contact process |
TW349185B (en) * | 1992-08-20 | 1999-01-01 | Sony Corp | A semiconductor device |
JPH06240459A (ja) * | 1993-02-16 | 1994-08-30 | G T C:Kk | 酸化ケイ素薄膜の形成法 |
US5532191A (en) * | 1993-03-26 | 1996-07-02 | Kawasaki Steel Corporation | Method of chemical mechanical polishing planarization of an insulating film using an etching stop |
JP3339156B2 (ja) * | 1993-12-28 | 2002-10-28 | ソニー株式会社 | 微細パターンの製造方法と半導体装置の製造方法 |
JP3326943B2 (ja) * | 1993-12-29 | 2002-09-24 | ソニー株式会社 | 半導体装置の製造方法および半導体装置 |
US5418019A (en) * | 1994-05-25 | 1995-05-23 | Georgia Tech Research Corporation | Method for low temperature plasma enhanced chemical vapor deposition (PECVD) of an oxide and nitride antireflection coating on silicon |
US5399507A (en) * | 1994-06-27 | 1995-03-21 | Motorola, Inc. | Fabrication of mixed thin-film and bulk semiconductor substrate for integrated circuit applications |
US5482894A (en) * | 1994-08-23 | 1996-01-09 | Texas Instruments Incorporated | Method of fabricating a self-aligned contact using organic dielectric materials |
US5500279A (en) * | 1994-08-26 | 1996-03-19 | Eastman Kodak Company | Laminated metal structure and metod of making same |
US5492736A (en) * | 1994-11-28 | 1996-02-20 | Air Products And Chemicals, Inc. | Fluorine doped silicon oxide process |
US6127262A (en) * | 1996-06-28 | 2000-10-03 | Applied Materials, Inc. | Method and apparatus for depositing an etch stop layer |
US6020035A (en) * | 1996-10-29 | 2000-02-01 | Applied Materials, Inc. | Film to tie up loose fluorine in the chamber after a clean process |
US5935334A (en) * | 1996-11-13 | 1999-08-10 | Applied Materials, Inc. | Substrate processing apparatus with bottom-mounted remote plasma system |
US5937323A (en) * | 1997-06-03 | 1999-08-10 | Applied Materials, Inc. | Sequencing of the recipe steps for the optimal low-k HDP-CVD processing |
-
1997
- 1997-05-07 US US08/852,786 patent/US6083852A/en not_active Expired - Lifetime
-
1998
- 1998-04-30 EP EP98107960A patent/EP0877098B1/de not_active Expired - Lifetime
- 1998-04-30 DE DE69817251T patent/DE69817251T2/de not_active Expired - Fee Related
- 1998-05-07 JP JP10162730A patent/JPH1167745A/ja active Pending
- 1998-06-09 TW TW087107093A patent/TW401461B/zh not_active IP Right Cessation
-
2000
- 2000-05-16 US US09/573,499 patent/US6324439B1/en not_active Expired - Lifetime
Also Published As
Publication number | Publication date |
---|---|
EP0877098A1 (de) | 1998-11-11 |
US6083852A (en) | 2000-07-04 |
TW401461B (en) | 2000-08-11 |
EP0877098B1 (de) | 2003-08-20 |
US6324439B1 (en) | 2001-11-27 |
DE69817251T2 (de) | 2004-06-09 |
JPH1167745A (ja) | 1999-03-09 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
DE69817251D1 (de) | System und Verfahren zur Abscheidung von Schichten | |
DE69816789D1 (de) | Verfahren und system zur gestengesteuerten optionsauswahl | |
DE69832978D1 (de) | System und verfahren zur personalisierung von schnurlosen übertragungseinheiten | |
DE69719371T2 (de) | System und verfahren zur intelligenten zellularvermittlung | |
DE69832169D1 (de) | System und verfahren zur verwaltung von kommunikationsmedien | |
DE69814199D1 (de) | Verfahren und System zur Eingabe von Zeichen | |
DE69608152D1 (de) | Verfahren und System zur Wiederverwertung von Teppichen | |
DE69731581D1 (de) | Verfahren und System zum vorrangigen Herunterladen von eingebetteten Netzobjekten | |
DE69731377D1 (de) | Verfahren und system zur bereitstellung von datenströmen | |
DE69814155D1 (de) | System und verfahren zur virtuellen eingabe | |
DE69823078D1 (de) | System und Verfahren zur Verwaltung von Arbeitsgruppendruckern | |
DE69424744T2 (de) | Verfahren und System zur Verwaltung von Komponentenverbindungen | |
DE69526825T2 (de) | Verfahren und System zur Routenauswahl | |
DE69812693T2 (de) | Verfahren zur oligomerisierung von isobuten | |
DE69824306D1 (de) | System und verfahren zur weiterleitung von elektronischer post | |
DE69603255D1 (de) | Verfahren zur modifizierung von oberflächen | |
DE69831519D1 (de) | System und Verfahren zur Lageermittlung | |
DE69728683D1 (de) | Verfahren zur abscheidung von fluor-dotierten siliziumdioxidschichten | |
DE69518550D1 (de) | Verfahren zur bereitung von kohlenwasserstoffe | |
DE69632960D1 (de) | System und verfahren zur kompostierung | |
DE69815163D1 (de) | Verfahren und Vorrichtung zur Abscheidung von Titanschichten | |
DE69630686D1 (de) | Verfahren zur echtheitsprüfung von münzen | |
DE69807021T2 (de) | Verfahren und Geraet zur Implementierung von mehrfachen Ruecksprungstellen | |
DE69837376D1 (de) | Verfahren und System zur Zusammenführung von Vermittlungsstellen-Datenbanken | |
ATA96197A (de) | Verfahren zur reinigung von schadstoffbelasteten gasen |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
8364 | No opposition during term of opposition | ||
8328 | Change in the person/name/address of the agent |
Representative=s name: BOECK, TAPPE, KIRSCHNER RECHTSANWAELTE PATENTANWAELTE |
|
8328 | Change in the person/name/address of the agent |
Representative=s name: PUSCHMANN & BORCHERT, 82041 OBERHACHING |
|
8339 | Ceased/non-payment of the annual fee |