DE69817251T2 - System und Verfahren zur Abscheidung von Schichten - Google Patents

System und Verfahren zur Abscheidung von Schichten Download PDF

Info

Publication number
DE69817251T2
DE69817251T2 DE69817251T DE69817251T DE69817251T2 DE 69817251 T2 DE69817251 T2 DE 69817251T2 DE 69817251 T DE69817251 T DE 69817251T DE 69817251 T DE69817251 T DE 69817251T DE 69817251 T2 DE69817251 T2 DE 69817251T2
Authority
DE
Germany
Prior art keywords
layer
chamber
darc
substrate
nitrogen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69817251T
Other languages
English (en)
Other versions
DE69817251D1 (de
Inventor
David Cheung
Wai-Fan Yau
Joe Feng
Judy H. Huang
Madhu Deshpande
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of DE69817251D1 publication Critical patent/DE69817251D1/de
Publication of DE69817251T2 publication Critical patent/DE69817251T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process

Description

  • Die vorliegende Erfindung bezieht sich auf ein Verfahren und ein System um Halbleitersubstrate zu verarbeiten.
  • Da Halbleiterbauelemente erst vor einigen Jahrzehnten eingeführt worden sind, hat sich die Größe der Bauteilgeometrien dramatisch verkleinert. Während dieser Zeit folgten die integrierten Schaltungen der zwei Jahre/halbe Größe Regel (oft als Moorsches Gesetz bezeichnet), was bedeutet, dass die Anzahl von Bauelementen, die auf einen Chip passen, sich alle zwei Jahre verdoppelt. Heutzutage werden in den Anlagen zur Halbleiterherstellung routinemäßig Bauelemente mit einer Merkmalsgröße von 0,5 μm oder sogar 0,35 μm hergestellt und die Anlagen von morgen werden Bauelemente mit noch kleineren Merkmalsgrößen herstellen.
  • Ein gewöhnlicher Schritt in der Herstellung von solchen Bauelementen ist die Herstellung einer dünnen Schicht auf einem Substrat durch die chemische Reaktion von Gasen. Wenn dünne Schichten in Mustern aufgetragen werden, dann ist es wünschenswert, dass Fluktuationen in der Linienbreite und anderen kritischen Dimensionen minimiert werden. Fehler in diesen Dimensionen können Variationen in den Bauelementecharakteristika oder leer laufende/kurzgeschlossene Bauelemente zur Folge haben, und dadurch negativ den Ertrag an Bauelementen beeinflussen. So wie die Merkmalsgröße kleiner wird, müssen folglich die Strukturen mit einer höheren Genauigkeit hergestellt werden. Als eine Folge verlangen jetzt einige Hersteller, dass Variationen in der dimensionalen Genauigkeit von Beschichtungsoperationen innerhalb von 5% der Dimensionen gehalten werden, die vom Entwickler spezifiziert werden.
  • Dünne Schichten werden oft strukturiert, indem Abschnitte von abgeschiedenen Lagen weggeätzt werden. Dafür werden modernen Substratverarbeitungssystem oft fotolithografische Techniken bei solchen Strukturierungsprozessen eingesetzt. Typischerweise benutzen diese fotolithografischen Techniken fotoresistive (PR) oder andere fotosensitive Materialien. In einem herkömmlichen fotolithographischen Prozess wird zuerst ein fotoresistives Material auf einem Substrat abgeschieden. Eine Fotomaske (auch einfach als eine Maske bekannt) mit transparenten und lichtundurchlässigen Bereichen, welche das gewünschte Muster darstellt, wird über dem fotoresistiven Material positioniert. Wenn die Maske Strahlungsenergie (z. B. Licht) ausgesetzt wird, dann erlauben die transparenten Bereiche, dass das fotoresistive Material in diesem Bereich dem Licht ausgesetzt wird, aber nicht in den Bereichen, wo die Maske lichtundurchlässig ist. Die Strahlungsenergie bewirkt eine chemische Reaktion in den ausgesetzten Abschnitten des fotoresistiven Materi als. Dann wird eine Chemikalie, chemischer Dampf oder ein Ionenbombadierungsprozess benutzt, um wahlweise entweder die reagierten oder die nicht reagierten Abschnitte des fotoresistiven Materials zu attackieren. Dieser Prozess ist als Entwickeln des fotoresistiven Materials bekannt. Mit dem restlichen fotoresistiven Material, das als eine Maske wirkt, kann dann die darunter liegende Lage einer weiteren Verarbeitung unterzogen werden. Zum Beispiel kann Material abgeschieden werden, die darunter liegende Lage kann geätzt werden oder eine andere Verarbeitung kann ausgeführt werden.
  • Moderne fotolithografische Techniken involvieren oft die Benutzung von Ausrüstung, die als Stepper bekannt sind, die benutzt werden, um fotoresistive Lagen zu maskieren und einer Bestrahlung auszusetzen. Stepper benutzen oft monochromatische (eine Wellenlänge) Strahlungsenergie (z. B. monochromatisches Licht), was sie in die Lage versetzt, detaillierte Strukturen herzustellen, die bei der Herstellung von Bauelementen mit feinen Geometrien benötigt werden. Wenn jedoch ein Substrat verarbeitet wird, dann wird die Oberfläche der Topologie des Substrats zunehmend uneben. Diese unebene Topologie kann eine Reflexion und Refraktion der einfallenden Strahlungsenergie bewirken, was dazu führt, dass einiges fotoresistive Material zwischen den lichtundurchlässigen Abschnitten der Maske ausgesetzt wird. In der Folge kann diese unebene Oberflächentopologie, die durch die fotoresistive Lage transferierten Strukturen verändern, und dadurch die kritischen Dimensionen der hergestellten Strukturen verändern.
  • Die Reflexionen von den darunter liegenden Lagen können auch ein Phänomen bewirken, das als stehende Wellen bekannt ist. Wenn eine fotoresistive Lage auf einer reflektiven darunter liegenden Lage abgeschieden wird und monochromatischer Strahlungsenergie ausgesetzt wird (z. B. tiefes ultraviolettes (UV) Licht) dann können innerhalb der fotoresistiven Lage stehende Wellen erzeugt werden. In solch einer Situation interferiert die reflektierte Strahlungsenergie mit der einfallenden Strahlungsenergie und bewirkt eine periodische Variation der Intensität innerhalb der fotoresistiven Lage in der vertikalen Richtung. Effekte von stehenden Wellen werden gewöhnlich bei Wellenlängen von tiefem UV-Licht mehr betont, das in modernen Steppern benutzt wird, als bei längeren Wellenlängen, da viele häufig benutzten Materialien bei Wellenlängen von tiefem UV-Licht mehr reflektieren. Die Benutzung von monochromatischem Licht, im Kontrast zu polychromatischem (z. B. Weiß-) Licht trägt auch zu diesen Effekten bei, da die Resonanz in monochromatischem Licht leichter indiziert wird. Die Existenz von stehenden Wellen in den fotoresistiven Lagen während der Aussetzung bewirkt eine Rauhigkeit in den hergestellten vertikalen Wänden, wenn die fotoresistive Lage entwickelt wird, was sich in Variationen der Linienbreiten, der räumlichen Ausmaße und anderer kritischer Dimensionen überträgt.
  • Um die geforderte dimensionsmäßige Genauigkeit zu erreichen, wurden zwei Ansätze unternommen, die beide die Benutzung von einer zusätzlichen Lage zusätzlich zu der fotoresistiven Lage erforderlich machen.
  • Der erste Ansatz benutzt eine relativ dicke organische Schicht, die als eine antireflektive Beschichtung (ARC) bekannt ist, die zwischen dem fotoresistiven Material abgeschieden wird, welches die einfallende Strahlungsenergie absorbiert, so dass Reflexion und Refraktion der einfallenden Strahlungsenergie minimiert werden. Ein Nachteil solcher organischer Schichten ist, dass sie mehr Prozessschritte benötigen und, da sie polymerbasiert sind, schwierig zu ätzten sind.
  • Ein zweiter Ansatz, der zum Erreichen der notwendigen dimensionalen Genauigkeit hilfreich ist, ist die Benutzung einer dielektrischen anti-reflektiven Beschichtung (DARC), was gewöhnlich eine dünne Lage von Siliciumoxynitrid (SiOxNy) ist. Die optischen Charakteristika von DARC werden so ausgewählt, um die Reflexionseffekte zu minimieren, die während dem fotolithografischen Prozess an den Schnittstellen zwischen den Lagen auftreten. Der Absorptionsindex (k) von DARC ist so, dass der Betrag der Strahlungsenergie, die in jeder Richtung übertragen wird, minimiert wird, und folglich sowohl die übertragene einfallende Strahlungsenergie als auch die Reflexionen davon dämpft. Der refraktäre Index (n) von DARC ist auf das zugeordnete fotoresistive Material angepasst, um die Refraktion der einfallenden Strahlungsenergie zu reduzieren.
  • Solche Schichten können z. B. durch die chemische Reaktion von Gasen gebildet werden, wobei der Prozess als eine chemische Dampfabscheidung (CVD) bezeichnet wird. Thermische CVD-Prozesse führen reaktive Gase der Substratoberfläche zu, wo chemische Reaktionen, induziert durch die hohen Temperaturen, stattfinden, um die gewünschte Schicht zu produzieren. Im Gegensatz dazu fördern plasmaunterstützte CVD (PECVD) Prozesse die Erregung und/oder die Trennung von den reaktiven Gasen durch die Anwendung von Hochfrequenz (HF) Energie auf eine Reaktionszone, die sich in der Nähe der Substratoberfläche befindet, und dadurch ein Plasma von hoch reaktiven Stoffen erzeugt. Die hohe Reaktivität der freigesetzten Stoffe reduziert die Energie (d. h. die Temperatur), die für eine chemische Reaktion benötigt wird, um stattzufinden. Diese relativ niedrigen Temperaturen fördern einen stabileren Prozess und sind deshalb vorzuziehen, wenn ein DARC abgeschieden wird.
  • Die Erzeugung von DARC setzt die zuverlässige Steuerung von optischen und physikalischen Schichtparametern voraus. Diese Parameter enthalten normalerweise den refraktiven Index (n) der Schicht, den absorptiven Index (k) der Schicht und die Dicke (t) der Schicht. Die refraktiven und absorptiven Indices einer Schicht können durch die Steuerung der Zusammensetzung der Schicht gesteuert werden. Zum Beispiel können in einer Siliciumoxynitrid-DARC diese Indices durch Hinzufügen von Stickstoff enthaltenden Prozessgasen verändert werden.
  • Die Dicke, zu deren Steuerung eine Abscheidung einer SiOxNy-Schicht wichtig ist, ist ein besonders wichtiger Faktor bei der Bestimmung der optischen Qualitäten einer DARC. Da eine DARC die Interferenz benutzt, um die reflektierte Strahlungsenergie zu minimieren, muss eine DARC in einer Dicke abgeschieden werden, welche eine geeignete Phasenverschiebung der von der unteren Oberfläche reflektierte Strahlungsenergie zur Verfügung stellt. Die Auslöschung kann erreicht werden, indem sichergestellt wird, dass Licht, das an der unteren Oberfläche der DARC reflektiert wird (die Schnittstelle zwischen der DARC und der darunter liegenden Lage) um 180° (oder 540 oder ein anderes ganzzahliges Vielfaches von 180) in Bezug auf das Licht phasenverschoben ist, das an der oberen Oberfläche der DARC reflektiert wird (die Schnittstelle zwischen der DARC und der fotoresistiven Lage). Vorzugsweise sind die Intensitäten der zwei Reflexionen ähnlich, um die Interferenz zu maximieren (d. h. Löschung). Reflexionen von anderen Schnittstellen zwischen den Lagen (sowohl oberhalb als auch unterhalb der DARC) können auch zu der reflektierten Strahlungsenergie beitragen und müssen folglich beachtet werden, wenn die DARC-Charakteristika optimiert werden. Ein Prozess zur Bildung einer DARC sollte deshalb eine genaue Steuerung über die Rate, mit der die DARC abgeschieden wird, zur Verfügung stellen.
  • Im Stand der Technik wird die Steuerung der Dicke durch die Einführung von einem inerten Gas, wie z. B. Helium oder Argon in die Substratverarbeitung, während der Abscheidung von einer DARC zur Verfügung gestellt. Das Einführen von solchen Gasen in die Verarbeitungskammer bei relativ hohen Raten ermöglicht die Reduzierung der Strömungsraten von reaktiven Gasen. Diese reduzierten Strömungsraten führen zu einer Reduzierung der Materialmenge, die während einer gegebenen Zeitperiode zur Abscheidung zur Verfügung steht. Jedoch sind Gase wie z. B. Helium und Argon relativ teuer und sind nicht universell verfügbar.
  • US-A 4,721,631 ist auf die Bildung eines dünnfilmelektroluminiszenten Elementes mit verbesserter Antifeuchtigkeit, Massenproduktions- und Helligkeitscharakteristika gerichtet und offenbart eine Siliciumnitridschicht zur Abdeckung von kleinen Vorsprüngen und Verunreinigungen auf der luminiszenten Lage, um das Problem der sekundären Elektronen zu überwinden und um die Rate der Filmbildung darüber durch Sputtern zu erhöhen.
  • EP 0 588 087 offenbart die Bildung einer anti-reflektiven Lage die Silicium und Stickstoff umfasst, wobei SiH4 als Siliciumquelle und NH3 als Stickstoffquelle benutzt werden, wobei alternativ SiH4 als Siliciumquelle und N2O als Stickstoffquelle benutzt werden und alternativ SiH4 als Siliciumquelle, O2 als eine Sauerstoffquelle und N2 als die Stickstoffquelle benutzt werden. Im Falle eines Puffergases wird Ar benutzt.
  • Der Artikel von Maeda et al. in Thin Solid Films; 17. Februar 1984, Schweiz; Volume 112, Nr. 3, Seiten 279 bis 288 befasst sich mit der Verschlechterung der Isolation und anormalen Ätzphänomenen in Siliciumnitridschichten, die durch plasmaangereicherte Abscheidung vorbereitet sind, und offenbart bloß die Herstellung von Siliciumnitrid als eine letzte Passivierungsschicht oder Zwischenlagenisolierung.
  • Der Artikel von Budani et al. in dem Journal of Vacuum Science and Technology, Part A; Volume 6 Nr. 4, Teil 3, Juli 1987, Seiten 1644 bis 1648 befasst sich mit der strukturellen Ordnung in Si-N und Si-N-0 Schichten, die durch einen plasmaunterstützten chemischen Dampfabscheideprozess vorbereitet sind, und offenbart die Bildung von Siliciumnitridschichten und Siliciumoxynitridschichten unter Verwendung von Silan, salpeterhaltigem Oxid und stickstoffhaltigen Gasen.
  • EP 0 291 245 offenbart ein Verarbeitungssystem zur Abscheidung von Siliciumnitrid von Silan und Stickstoff.
  • Entsprechend ist es eine Aufgabe der Erfindung ein verbessertes Verfahren zur Steuerung der Abscheidungsrate einer Schicht zur Verfügung zu stellen und dadurch eine bessere Steuerung der Schichtendicke zur Verfügung zu stellen. Vorzugsweise sollte sich so ein verbessertes Verfahren nicht auf die Benutzung von Substanzen verlassen, die teuer oder schwierig zu beschaffen sind. Zusätzlich ist es vorzuziehen, dass solch ein Verfahren die Steuerung anderer Schichtenparameter ermöglicht, wie z. B. der refraktiven und absorptiven Schichtenindices.
  • Die obengenannte Aufgabe wird durch ein Verfahren zur Abscheidung einer antireflektiven Schicht erreicht, die entsprechend Anspruch 1 die Anordnung von Silicium und Stickstoff in einer Verarbeitungskammer und entsprechend Anspruch 8 ein Verarbeitungssystem zur Ausführung dieses Verfahrens umfasst. Vorteilhafte Ausführungsbeispiele der Erfindung werden in den Unteransprüchen dargestellt.
  • Bestimmte Ausführungsbeispiele der Erfindung stellen eine verbesserte Genauigkeit bei der Strukturierung von dünnen Schichten während der Substratverarbeitung über die Abscheidung von anti-reflektiven Beschichtungen zur Verfügung, die Silicium (Si), Stichstoff (In und Sauerstoff (O) bei niedrigen Abscheideraten enthalten, wobei Stickstoff als ein Lösemittel benutzt wird.
  • Die vorliegende Erfindung erfüllt diese Anforderungen, indem ein Verfahren ein System zur Abscheidung einer Siliciumoxynitridschicht zur Verfügung gestellt wird, während die genaue Steuerung der Schichtdicke sichergestellt wird. Es ist wünschenswert eine niedrige Abscheiderate zu verwenden, um solch eine Steuerung zur Verfügung zu stellen, wenn dünne Schichten, wie z. B. DARC, abgeschieden werden. Die Erfindung stellt ein Verfahren und ein Verarbeitungssystem zur Verfügung, das Stickstoff benutzt, um die Abscheiderate des Siliciumoxynitrid CVD-Prozesses zu senken. Die Dicke des abgeschiedenen Films wird gesteuert, indem die Rate reduziert wird, mit der Silan als Prozessgas (SiH4) eingeleitet wird. Dies reduziert die Schichtenabscheiderate auf weniger als ungefähr 200 nm/min (200 Å/min). Um dies zu kompensieren und so akzeptable Prozessparameter zur Verfügung zu stellen, wird Stickstoff in die Verarbeitungskammer eingeleitet. Diese Technik ermöglicht folglich eine feinere Steuerung der abgeschiedenen Filmdicke. Dies ist besonders wichtig bei der Herstellung von einer DARC, deren Dicke sich im Bereich zwischen ungefähr 20 nm (200 Å) und 300 nm (3000 Å) bewegen kann.
  • Die vorliegende Erfindung stellt auch eine Ausrüstung und Prozessbedingungen zur Verfügung, über welche die Parameter gesteuert werden können, um DARCs mit verschiedenen optimalen refraktiven Indices, absorptiven Indices und Dicken herzustellen, um die gewünschte Interferenz für unterschiedliche ausgestrahlte Wellenlängen und Substrate zu erhalten. Entsprechend der vorliegenden Erfindung benutzt ein Verfahren und ein Verarbeitungssystem Silan, salpeterhaltige Oxide (N2O) und Stickstoff, um eine Schicht entsprechend der vorliegenden Erfindung abzuscheiden. Das Verhältnis von Silan zu salpeterhaltigem Oxid wird benutzt, um die optischen und chemischen Eigenschaften des abgeschiedenen DARCs zu steuern. Die Erfindung lehrt auch die Benutzung von Stickstoff, um reduzierte Silanströmungsraten zu ermöglichen und dadurch eine reduzierte Abscheidungsrate erlaubt. Die Benutzung von Stickstoff ist oft kosteneffektiver als Ansätze, die inerte Gase, wie z. B. Argon oder Helium benutzen.
  • Der Einsatz von Stickstoff bietet auch andere Vorteile. Die Hinzufügung von Stickstoff führt zu einem stabileren Plasma, was die Gleichmäßigkeit der Schicht verbessert, so wie dies die Reduzierung der Abscheiderate bewirkt. Die durch die vorliegende Erfindung zur Verfügung gestellten reduzierten Abscheideraten reduzieren auch die Variationen der Filmdicke zwischen den Abscheidungen (d. h. Substraten). Darüber hinaus ermöglicht Stickstoff eine verbesserte Belastungssteuerung in der abgeschiedenen Schicht. Dies trägt dazu bei zu verhindern, dass die Schicht zu sehr gestreckt wird, was ein Abbröckeln des Substrates nach der Abscheidung bewirken kann.
  • Bevorzugte Ausführungsbeispiele der Erfindung werden jetzt im Detail in Verbindung mit den begleitenden Zeichnungen beschrieben, in denen
  • 1A und 1B vertikale Schnittansichten eines Ausführungsbeispiels einer chemischen Dampfabscheidevorrichtung entsprechend der vorliegenden Erfindung darstellen;
  • 1C und 1D perspektivische Explosionsansichten von Teilen der in 1A dargestellten CVD-Kammer zeigen;
  • 1E ein vereinfachtes Diagramm des Systemmonitors und CVD-Systems 10 in einem Multikammersystem zeigt, das eine oder mehrere Kammern enthalten kann;
  • 1F ein erklärendes Blockdiagramm der hierarchischen Steuerungsstruktur der Systemsteuersoftware, dem Computerprogramm 70, entsprechend einem spezifischen Ausführungsbeispiel; zeigt
  • 2 ein Flussdiagramm für den Abscheideprozess eines ARC-Films ist
  • 3A eine vertikale Schnittsansicht von Pfaden von reflektierter und refrektierter Strahlungsenergie eines Strahles von einfallender Strahlungsenergie ist, welcher die Oberfläche einer Multilagenstruktur während eines fotolithografischen Prozesses trifft; und
  • 3B die Multilagenstruktur von 3A darstellt, die des weiteren eine DARC enthält, die entsprechend der vorliegenden Erfindung hergestellt wurde, was die Auswirkungen der Benutzung einer solchen DARC zeigt.
  • I. Einführung
  • Die vorliegende Erfindung ist ein Verfahren zur Herstellung von dielektrischen anti-reflektiven Beschichtungen (DARC) und anderen SiOxNy Schichten, die eine genaue Steuerung der Schichtdicke erfordern. Wenn eine Schicht als eine DARC abgeschieden worden ist, dann bietet eine Schicht, die entsprechend der vorliegenden Erfindung abgeschieden worden ist, eine genaue Übertragung eines originalen Maskenmusters auf die Lage, die strukturiert wird, indem die Reflexion und Refraktion von einfallender Strahlungsenergie in einer fotoresistiven Schicht reduziert wird, die in dem Strukturierungsprozess benutzt wird. Die Schicht der vorliegenden Erfindung kann in Abscheidekammern der herkömmlichen Technik abgeschieden werden.
  • II. Ein beispielhaftes CVD-System
  • Ein geeignetes CVD-System, in welchem das Verfahren der vorliegenden Erfindung ausgeführt werden kann, ist in den 1A und 1B dargestellt, die vertikale Querschnittsansichten eines chemischen Dampfabscheidesystems 10 sind, das eine Vakuum- oder Verarbeitungskammer 15 enthält, das eine Kammerwand 15a und einen Kammerdekkelaufbau 15b enthält. Die Kammerwand 15a und der Kammerdeckelaufbau 15b sind in den 1C und 1D in perspektivischen Explosionsansichten dargestellt.
  • Der Reaktor 10 enthält eine Gasverteilleitung 11, um Prozessgase bei einem Substrat (nicht dargestellt) fein zu verteilen, das sich auf einer beheizten Halterung 12 befindet, die in der Prozesskammer zentriert ist. Während der Verarbeitung ist das Substrat (z. B. ein Halbleiter-Wafer) auf einer flachen (oder leicht konvexen) Oberfläche 12a der Halterung 12 positioniert. Die Halterung kann steuerbar zwischen einer unteren Be- und Entladeposition (in 1A dargestellt) und einer oberen Verarbeitungsposition (angezeigt durch eine gestrichelte Linie 14 in 1A und in 1B dargestellt), die sich in der Nähe der Leitung 11 befindet, bewegt werden. Ein Mittelschwert (centerboard) (nicht dargestellt) enthält Sensoren, um Information über die Position der Substrate zur Verfügung zu stellen.
  • Die Abscheide- und Trägergase werden in die Kammer 15 über perforierte Löcher 13b (1D) einer herkömmlichen flachen, kreisförmigen Gasverteilstirnplatte 13a eingeleitet. Im besonderen strömen die Abscheideprozessgase in die Kammer durch die Einlassleitung 11 (durch den Pfeil 40 in 1D angezeigt), durch eine herkömmliche perforierte Blockierplatte 42 und dann durch die Löcher 13b in der Gasverteilstirnplatte 13a. Bevor sie die Leitung erreichen, werden die Abscheide- und Trägergase von den Gasquellen 7 über Gasversorgungsleitungen 8 in ein Mischsystem 9 eingeleitet, wo sie kombiniert und dann zur Leitung 11 geleitet werden. Im allgemeinen enthält die Versorgungsleitung für jedes Prozessgas (i) einige Sicherheitsabsperrventile (nicht dargestellt), die automatisch oder manuell benutzt werden können, um die Strömung der Prozessgase in die Kammer abzusperren, und (ii) Massenströmungsregler (auch nicht dargestellt), welche die Gasströmung durch die Versorgungsleitung messen. Wenn in dem Prozess toxische Gase benutzt werden, dann werden die Sicherheitsabsperrventile bei jeder Gaszuführleitung in den herkömmlichen Konfigurationen positioniert.
  • Der im Reaktor 10 durchgeführte Abscheideprozess ist ein angereicherter Plasmaprozess, in dem eine HF-Energieversorgung 44 eine elektrische Energie zwischen die Gasverteilstirnplatte 13a und die Halterung anlegt, um das Prozessgasgemisch anzuregen, um ein Plasma innerhalb des zylindrischen Bereichs zwischen der Stirnplatte 13a und der Halterung zu bilden. (Dieser Bereich wird hier als der "Reaktionsbereich" bezeichnet werden.) Die Bestandteile des Plasmas reagieren, um einen gewünschten Film auf der Oberfläche des Substrats abzuscheiden, das auf der Halterung 12 liegt. Die HF-Energieversorgung 44 ist eine HF-Energieversorgung mit gemischten Frequenzen, die typischerweise eine Energie bei einer hohen HF-Frequenz (RF1) von 13,56 MHz und bei einer niedrigen HF-Frequenz (RF2) von 360 kHz liefert, um den Abbau von reaktiven Stoffen zu fördern, die in die Vakuumkammer 15 eingeleitet werden.
  • Während einem Abscheideprozess erhitzt das Plasma die gesamte Prozesskammer 10, einschließlich der Wände des Kammerkörpers 15a, der die Abgasleitung 23 und das Absperrventil 24 umgibt. Wenn das Plasma nicht erregt wird, dann wird eine heiße Flüssigkeit durch die Wände 15a der Prozesskammer geleitet, um die Kammer auf einer erhöhten Temperatur zu halten. Die Flüssigkeit, die benutzt wird, um die Kammerwände 15a zu erhitzen, enthält die typischen Flüssigkeitstypen, d. h. wasserbasiertes Ethylenglycol oder ölbasierte thermische Übertragungsflüssigkeiten. Diese Heizung reduziert oder eliminiert mit Vorteil die Kondensierung von unerwünschten Reaktionsprodukten und verbessert die Eliminierung von flüchtigen Produkten der Prozessgase und andere Kontaminierungen, welche den Prozess kontaminieren können, wenn sie an den Wänden der kalten Vakuumleitungen kondensieren und während den Perioden ohne Gasströmung zurück in die Verarbeitungskammer gelangen.
  • Der Rest der Gasmischung, die nicht in einer Lage abgeschieden wird, einschließlich der Reaktionsprodukte, wird durch eine Vakuumpumpe (nicht dargestellt) aus der Kammer evakuiert. Im besonderen werden die Gase durch eine ringförmige, schlitzförmige Öffnung 16, die den Reaktionsbereich umgibt, und in einen ringförmigen Auspuffkanal 17 ausgestoßen. Der ringförmige Schlitz 16 und der Kanal 17 werden durch die Lücke zwischen dem oberen Ende der zylindrischen Seitenwand 15a der Kammer (einschließlich der oberen di-elektrischen Auskleidung 19 an der Wand) und dem Boden des kreisförmigen Kammerdeckels 20 definiert. Die 360° kreisförmige Symmetrie und die Gleichmäßigkeit der Schlitzöffnung 16 und des Kanals 17 sind wichtig, um eine gleichmäßige Strömung der Prozessgase über das Substrat zu erreichen, um eine gleichmäßige Schicht auf dem Substrat abzuscheiden.
  • Von dem Abgaskanal 17 strömen die Gase unter einem lateralen Erweiterungsabschnitt 21 des Abgaskanals 17 nach einer Betrachtungsöffnung durch sich eine nach unten erstreckende Gasleitung 23 nach einem Vakuumabsperrventil 24 (dessen Körper in der unteren Kammerwand 15a integriert ist) und in die Abgasöffnung 25 hinein, die über eine Vorleitung (auch nicht dargestellt) diese mit der externen Vakumpumpe nicht dargestellt) verbindet.
  • Die Substrathalteplatte der Halterung 12 (vorzugsweise aus Aluminium) wird unter Verwendung eines doppelten, voll gedrehten, einschleifigen, eingebetteten Heizelements beheizt, das so ausgebildet ist, um parallele konzentrische Kreise zu bilden. Ein äußerer Abschnitt des Heizelements verläuft in der Nähe eines Umfangs der Halteplatte, während ein innerer Abschnitt auf einem konzentrischen Kreis mit einem kleineren Radius verläuft. Die Verdrahtung des Heizelements passt durch den Schaft der Halterung 12.
  • Typischerweise wird jedes Teil oder alle Teile der Kammerauskleidung, der Gaseinleitungsstirnplatte und verschiedene andere Reaktorbauelemente aus einem Material, wie z. B. Aluminium, oder anodisiertem Aluminium hergestellt. Ein Beispiel für solch eine CVD-Vorrichtung wird im US-Patent 5,558,717 beschrieben.
  • Ein Aufzugmechanismus und Motor 32 senkt und hebt den Heizungshalteraufbau 12 und seine Substrataufzugpins 12b, wenn die Substrate durch ein Roboterblatt (nicht dargestellt) durch eine Einführungs-/Entfernungsöffnung 26 in der Seite der Kammer 10 in den Körper der Kammer eingeführt und entnommen werden. Der Motor 32 hebt und senkt die Halterung 12 zwischen einer Verarbeitungsposition 14 und einer niedrigen Substratbeladeposition. Der Motor, die Ventile oder Strömungsregler, die mit den Versorgungsleitungen 8 verbunden sind, das Gasverteilsystem, das Drosselventil, die HF-Energieversorgung 44 und die Kammer- und Substratheizsysteme werden alle durch einen Systemcontroller 34 über die Steuerleitungen 36 gesteuert, von denen nur einige dargestellt sind. Der Controller 34 verlässt sich auf die Signale von optischen Sensoren, um die Position des beweglichen mechanischen Ausbaus zu bestimmen, wie z. B. des Drosselventils und des Suszeptors, die unter der Steuerung des Controllers 34 durch geeignete Motoren bewegt werden.
  • In einem bevorzugten Ausführungsbeispiel enthält der Systemcontroller ein Festplattenlaufwerk (Speicher 38), ein Diskettenlaufwerk und einen Prozessor 37. Der Systemcontroller 34 steuert alle Aktivitäten der CVD-Maschine. Der Systemcontroller führt die Systemsteuersoftware aus, welche ein Computerprogramm ist, das in einem computerlesbaren Medium, wie z. B. einem Speicher 38, abgespeichert ist. Vorzugsweise ist der Speicher 38 ein Festplattenlaufwerk, wobei aber der Speicher 38 auch eine andere Art von Speicher sein kann. Das Computerprogramm enthält Befehlssätze, welche die Zeit, die Mischung der Gase, den Kammerdruck, die Kammertemperatur, die HF-Energiewerte, die Suszeptorposition und andere Parameter eines bestimmten Prozesses vorgeben. Andere Computerprogramme, die auf anderen Speichergeräten abgespeichert sind, einschließlich z. B. eines Diskettenlaufwerks oder anderer geeigneter Laufwerke, können auch benutzt werden, um den Controller 34 zu betreiben.
  • Die Schnittstelle zwischen einem Benutzer und einem Controller 34 wird über einen CRT-Monitor 50a und dem Lichtgriffel 50b hergestellt, die in 1E dargestellt sind, was ein vereinfachtes Diagramm des Systemmonitors und des CVD-Systems 10 in einem Substratverarbeitungssystem ist, das eine oder mehrere Kammern enthalten kann. In dem bevorzugten Ausführungsbeispiel werden zwei Monitore 50a benutzt, von denen einer im Reinraum an der Wand für den Bediener befestigt ist und der andere hinter der Wand für die Servicetechniker befestigt ist. Die Monitore 50a stellen gleichzeitig dieselbe Information dar, wobei aber nur ein Lichtgriffel 50b freigegeben ist.
  • 1F zeigt ein beispielhaftes Blockdiagramm der hierarchischen Steuerstruktur der Systemsteuersoftware des Computerprogramms 70, entsprechend einem spezifischen Ausführungsbeispiel. Unter Verwendung der Schnittstelle des Lichtgriffels gibt ein Benutzer eine Prozesssatznummer und eine Prozesskammernummer in eine Prozessauswahlunterroutine 73 als Antwort auf die Menüs oder Anzeigen ein, die auf dem CRT-Monitor dargestellt werden. Die Prozesssätze sind vorbestimmte Sätze von Prozessparametern, die notwendig sind, um spezifizierte Prozesse auszuführen und werden durch vorgegebene Satznummern identifiziert. Die Prozessauswahlunterroutine 73 identifiziert (i) die gewünschte Prozesskammer und (ii) den gewünschten Satz von Prozessparametern, die benötigt werden, um die Prozesskammer zur Durchführung des gewünschten Prozesses zu betreiben.
  • Eine Prozesssequenzerunterroutine 75 umfasst einen Programmcode, um die identifizierte Prozesskammer und den Satz von Prozessparametern von der Prozessauswahlunterroutine 73 zu akzeptieren und um die verschiedenartigen Prozesskammern im Betrieb zu steuern.
  • Wenn die Sequenzunterroutine 75 bestimmt, welche Prozesskammer und Prozesssatzkombination als nächstes ausgeführt wird, dann initiiert die Sequenzunterroutine 75 die Ausführung des Prozesssatzes, indem die bestimmten Prozesssatzparameter an eine Kammermanagerunterroutine 77a–c weitergeleitet werden, welche mehrere Verarbeitungsaufgaben in einer Verarbeitungskammer 15 entsprechend dem Prozesssatz, der durch die Sequenzunterroutine 75 bestimmt wird, steuert. Die Kammermanagerunterroutine 77a umfasst z. B. einen Programmcode zur Steuerung des Sputterns und des CVD-Prozessbetriebs in der Prozesskammer 15. Die Kammermanagerunterroutine 77 steuert auch die Ausführung von verschiedenen Kammerkomponentenunterroutinen, welche den Betrieb der Kammerkomponenten steuern, die notwendig sind, um den ausgewählten Prozesssatz aus zuführen. Beispiele von Kammerkomponentenunterroutinen sind die Substratpositionierungsunterroutine 80, die Prozessgassteuerunterroutine 83, die Drucksteuerunterroutine 85, die Heizungssteuerungsunterroutine 87 und die Plasmasteuerungsunterroutine 90.
  • Der Betrieb von bestimmten Komponentenunterroutinen wird jetzt mit Bezug auf 1F beschrieben. Die Substratpositionierungsunterroutine 80 umfasst einen Programmcode zur Steuerung von Kammerkomponenten, die benutzt werden, um das Substrat auf den Suszeptor 12 zu laden, und optional, das Substrat auf eine gewünschte Höhe in der Kammer 15 anzuheben, um den räumlichen Abstand zwischen dem Substrat und der Gasverteilleitung 11 zu steuern.
  • Die Prozessgassteuerunterroutine 83 hat einen Programmcode, um die Prozessgaszusammensetzung und die Strömungsraten zu steuern. Die Prozessgassteuerunterroutine 83 steuert die Position offen/geschlossen des Sicherheitsabsperrventils und fährt den Massenströmungsregler nach oben/nach unten, um die gewünschte Gasströmungsrate zu erhalten.
  • Die Drucksteuerunterroutine 85 umfasst einen Programmcode, um den Druck in der Kammer 15 zu steuern, indem die Öffnungsgröße des Drosselventils im Abgassystem der Kammer geregelt wird. Die Öffnungsgröße des Drosselventils wird so eingestellt, um den Kammerdruck auf einen gewünschten Wert in Relation zur gesamten Prozessgasströmung, der Größe der Prozesskammer und den eingestellten Pumpdruck für das Abgassystem zu steuern.
  • Die Heizungssteuerunterroutine 87 umfasst einen Programmcode, um den Strom für eine Heizeinheit zu steuern, die benutzt wird, um das Substrat 20 aufzuheizen. Die Heizungssteuerungsunterroutine 87 wird auch durch die Kammermanagerunterroutine 77a aufgerufen und empfängt einen Ziel- oder Einstellungstemperaturparameter. Die Heizungssteuerungsunterroutine 87 misst die Temperatur, indem die Ausgangsspannung einer Thermokupplung, die in einem Suszeptor 12 lokalisiert ist, gemessen wird, die gemessene Temperatur mit der eingestellten Temperatur verglichen wird und den an der Heizungseinheit angelegten Strom erhöht oder absenkt, um die eingestellte Temperatur zu erreichen.
  • Die Plasmasteuerunterroutine 90 umfasst einen Programmcode, um niedrige und hohe Frequenzen der HF-Energiewerte einzustellen, die an die Prozesselektroden in der Kammer 15 angelegt werden, und um die benutzte niederfrequente HF-Frequenz einzustellen. Ähnlich zu den vorher beschriebenen Kammerkomponentenunterroutinen wird die Plasmasteuerunterroutine 90 durch die Kammermanagerunterroutine 77a aufgerufen.
  • II. Abscheidung einer Siliciumoxynitridschicht durch das Verfahren der vorliegenden Erfindung
  • 2 stellt die Schritte dar, die beim Abscheiden einer Schicht entsprechend dem Verfahren der vorliegenden Erfindung mit den am meisten zu bevorzugenden Umweltparametern ausgeführt werden. Das Flussdiagramm wird in Bezug auf das beispielhafte PECVD-System beschrieben, das in 1A dargestellt ist. Der Prozess beginnt mit der Positionierung eines Substrats in der Vakuumkammer 15. Zuerst werden im Schritt 220 Prozessgase eingeleitet. Bei der Abscheidung einer Siliciumoxynitridschicht (d. h. einer DARC) enthalten diese Prozessgase Silan und salpeterhaltige Oxide. Das Silan kann in die Vakuumkammer 15 mit einer Rate zwischen ungefähr 5 sccm und 300 sccm eingeleitet werden, das aber vorzugsweise bei einer Rate von ungefähr 50 sccm eingeleitet wird. Das salpeterhaltige Oxid kann in die Vakuumkammer 15 bei einer Rate zwischen ungefähr 5 sccm und 300 sccm eingeleitet werden, was aber vorzugsweise bei einer Rate von ungefähr 50 sccm eingeleitet wird.
  • Die niedrige Silanströmungsrate (relativ zu Standardverfahren) ist ein Schlüsselfaktor bei einer Reduzierung der Abscheiderate. Mit der reduzierten Siliciumquellenströmungsrate wird die Abscheidungsrate der Siliciumoxynitridschicht notwendigerweise reduziert. Silan ist aufgrund seiner Verfügbarkeit eine attraktive Auswahlmöglichkeit zur Abscheidung einer Schicht, wie z. B. einer Siliciumoxidschicht. Auch die Möglichkeit einiger silanbasierter Prozesse, Schichten über Metalle mit einem niedrigen Schmelzpunkt abzuscheiden, ist bei Anwendungen vorteilhaft, die solche Metalle benutzen.
  • Als nächstes wird in Schritt 240 über die Gasverteilleitung 11 Stickstoff in die Vakuumkammer 15 eingeleitet. Die Stickstoffströmungsrate kann zwischen ungefähr 100 sccm und 4000 sccm variieren, die aber vorzugsweise ungefähr einen Wert von ungefähr 1000 sccm hat. Die vorhergehend als vorzuziehend gekennzeichnete Strömungsraten stellen eine Mischung dar, die ungefähr 5% Silan, 5% salpeterhaltige Oxide und 90% Stickstoff pro Volumen enthält. Dies übersetzt sich in ein Verhältnis von ungefähr 1 : 1 : 18 (SiH4 : N2O : N2) pro Volumen. Die Hinzufügung von Stickstoff ermöglicht die reduzierte Abscheiderate, die von dem Verfahren der vorliegenden Erfindung zur Verfügung gestellt wird. Obwohl Stickstoff ein bekanntes Trägergas ist, ist es seine Verwendung in der vorliegenden Erfindung reduziere Strömungsraten zu ermöglichen und eine einsatzfähige Quelle von Stickstoff zur Aufnahme in die resultierende Schicht zur Verfügung zu stellen. Folglich ist Stickstoff sowohl ein Lösungsgas als auch ein Reaktionsgas in diesem Prozess (und ist so per Definition nicht inert).
  • Wie beschrieben beeinflusst die Menge von benutztem Silan die Abscheiderate und die physikalischen Eigenschaften des abgeschiedenen Films. Durch Aufrechterhalten der Umgebungsparameter der Vakuumkammer erlaubt die Hinzufügung von Stickstoff niedrigere Silanströmungsraten, was folglich die Schichtenabscheidungsraten senkt. Dies ermöglicht eine bessere Steuerung des Schichtendicke, zusätzlich zur Steuerung der optischen Schichteneigenschaften. Die Aufnahme von Stickstoff hilft auch den gewünschten Kammerdruck aufrecht zu erhalten und dadurch die Prozessstabilität sicherzustellen.
  • Die Aufnahme von Stickstoff führt auch zu einer besseren Gleichmäßigkeit der Schichtendicke und verbesserte die Schichtqualität (z. B. Schichten mit einem größeren Widerstand gegen Feuchtigkeit). Entsprechend dem Verfahren der vorliegenden Erfindung müssen reaktive Gase (außer Stichstoff) nur bei einer Rate eingeleitet werden, die ausreichend ist, um die Reaktion zu unterstützen, und nicht bei einer höheren Rate, die notwendig ist, um den Prozess selbst zu unterstützen (d. h. ein Plasma). Überschüssige Reaktionsstoffe werden folglich nicht in die Prozesskammer eingeleitet und die Reaktion verläuft gleichmäßiger. In dem hier beschriebenen Ausführungsbeispiel erlaubt die Aufnahme von Stickstoff eine Reduzierung in den Strömungsraten von Silan und salpeterhaltigem Oxid. Bei Schritt 260 werden die Umgebungsparameter in der Vakuumkammer 15 eingestellt. Abhängig von den gewünschten Schichtcharakteristika wird der Abstand zwischen dem Halter 12 und der Leitung 11 zwischen ungefähr 0,5 cm (200 mil) und 1,65 cm (650 mil) eingestellt, die Substrattemperatur wird zwischen ungefähr 200°C und 400°C gehalten und der Kammerdruck wird zwischen ungefähr 130 pa und 800 pa (ungefähr 1,05 torr und 6,0 torr) gehalten. Die HF-Energieversorgung 44 führt die HF-Energie zu, um ein gesteuertes Plasma in der Nähe des Substrates bei Schritt 280 zu bilden. Die HF-Energieversorgung 44 führt eine Hochfrequenz (z. B. 13,56 MHz) Energie im Bereich von zwischen ungefähr 50 W und 500 W der Leitung 11 zu. Diese setzt sie in eine Plasmadichte von ungefähr 0,8 W/cm2 und 8 W/cm2 unter Verwendung des beispielhaften PECVD-Systems um. Ein Siliciumoxidnitridfilm wird abgeschieden durch Beibehaltung der vorher beschriebenen Bedingungen.
  • Die Schichtabscheiderate ist hier von besonderer Bedeutung. Traditionelle Ab- scheidetechniken, wie z. B. PECVD, die z. B. Silan benutzen, haben im allgemeinen Abscheideraten oberhalb von ungefähr 200 nm/min (2000 Å/min) und noch allgemeiner in einer Größenordnung von ungefähr 400–800 nm/min (4000–8000 Å/min). Eine entsprechend dem Verfahren der vorliegenden Erfindung abgeschiedene Schicht kann bei einer Rate unterhalb 200 nm/min (2000 Å/min) abgeschieden werden. Das Verfahren der vorliegenden Erfindung ist für Abscheiderate geeignet, die so niedrig sind wie ungefähr 50 nm/min (500 Å/min). Diese niedrigeren Abscheideraten setzen eine feinere Steuerung der abgeschiedenen Schichtendicke um, als es sonst möglich wäre.
  • Zum Beispiel würde es ungefähr 12,5 Sekunden dauern, um unter Verwendung herkömmlicher Verfahren eine 100 nm (1000 Å) dicke DARC herzustellen, während die Abscheidung einer solchen Schicht unter Verwendung des Verfahrens der vorliegenden Erfindung ungefähr 80 Sekunden benötigt. Eine Variation von nur einer Sekunde in der Abscheidezeit wird in eine Veränderung von ungefähr 8% der Dicke unter Verwendung früherer Verfahren umgesetzt, während aus der Erfahrung nur eine Veränderung von ungefähr 1,25% unter Verwendung des letzten Verfahrens bekannt ist. Folglich ist eine abgeschiedene Schichtendicke weniger empfindlich auf Variationen in der Abscheidezeit unter Verwendung des Verfahrens der vorliegenden Erfindung.
  • Die höheren Abscheideraten sind nicht nur schwieriger, um genau die Zeit einzuhalten, sondern auch die Auswirkungen der Zeit, die benötigt wird, um das Plasma, das benutzt wird, um die Schicht abzuscheiden, zu zünden und zu löschen, ebenfalls bedeutsam wird, wenn dünne Schichten bei solchen Abscheideraten abgeschieden werden. Dies sind nicht gut gesteuerte Bereiche des Abscheidungsprozesses und tragen im allgemeinen zu Ungenauigkeiten beim Steuern der Schichtendicke und der Variation der Schichteneigenschaften bei. Solche Abscheidungsungenauigkeiten können ein Ansteigen der Abscheidedicke und der Dickenvariationen von einem zum anderen Substrat verursachen. Zum Beispiel kann die zum Zünden eines Plasmas benötigte Zeit zwischen ungefähr 1 Sekunde und 4 Sekunden variieren, die aber im allgemeinen bei ungefähr 2 Sekunden liegt. Folglich kann im obigen Beispiel die Gleichmäßigkeit der abgeschiedenen Schicht von Substrat zu Substrat um ungefähr 5%, unter Verwendung von herkömmlichen Techniken, variieren. Bei Verwendung des Verfahrens der vorliegenden Erfindung kann die Variation von Substrat zu Substrat auf 2% reduziert werden.
  • Die oben beschriebenen Prozessparameter und Gaseinleitungsraten sind beispielhafte Werte für eine resistiv beheizte Centura DxZ-Kammer, die von Applied Materials, Inc., hergestellt wird, die für die Verarbeitung von 8-Inch-Substraten ausgestattet. Andere Kammergrößen oder Kammern, die von anderen Herstellern hergestellt werden, können unterschiedliche Werte haben.
  • III. Theoretischer Betrieb einer DARC und beispielhafte DARC-Parameter
  • 3A ist eine vertikale Schnittansicht der Reflexion und Refraktion, die typischerweise beobachtet wird, wenn ein Lichtstrahl die Oberfläche einer Multilagenstruktur während der Fotolithografie trifft. (Der Begriff "Licht" wird anstatt des allgemeineren, aber schwerfälligeren Begriffs "Strahlungsenergie" benutzt.) Wie in 3A dargestellt wird, erzeugt ein herkömmlicher Fotolithografieprozess eine Struktur, die eine fotoresistive Schicht 305 enthält, die über der zu verarbeitenden Lage aufgebracht wird, die als darunter liegende Lage 310 dargestellt wird. Die darunter liegende Lage 310 wird für gewöhnlich über anderen Lagen oder einem Substrat gebildet, das in 3A durch ein Substrat 315 beispielhaft dargestellt wird. Das Substrat 315 kann jedoch auch unter Verwendung solch eines Prozesses verarbeitet werden. Solch eine Prozessierung kann Schritte enthalten, wie z. B. Ätzen, Dotieren, Oxidwachstum oder andere Prozessschritte.
  • Einmal angewandt, wird die fotoresistive Schicht 305 als ein Teil des Prozesses zur Bildung einer darin befindlichen Struktur belichtet. Diese Belichtung wird in 3A durch einen einfallenden Lichtstrahl 320 beispielhaft dargestellt. Ein Teil des einfallenden Lichtstrahls 320 wird von der fotoresistiven Schicht als ein erster reflektierter Lichtstrahl 322 reflektiert, während der Rest durch die fotoresistive Lage 305 als ein erster übertragener Lichtstrahl 325 übertragen wird. Während ein Teil des ersten übertragenen Lichtstrahls 325 durch die fotoresistive Schicht 305 absorbiert werden kann, erreicht ein bedeutender Teil eine erste Schnittstelle 326. Der Teil des übertragenen Lichtstrahls 325, welcher die erste Schnittstelle 326 erreicht, wird als ein zweiter reflektierter Lichtstrahl 330 reflektiert.
  • Diese Reflexion kann verschiedene Probleme verursachen. Ein mögliches Problem ist die Streuung des übertragenen Lichtstrahls 325. Wenn der übertragene Lichtstrahl 325 auf die erste Schnittstelle 326 unter einem Winkel auftrifft, der anders als 90° ist, dann können Flächen der fotoresistiven Lage 305, welche durch die Maske (nicht dargestellt) geschützt werden, unbeabsichtigt der Strahlung ausgesetzt werden. Dies kann z. B. durch eine unregelmäßige Topologie in der darunter liegenden Lage 310 oder durch einen einfallenden Lichtstrahl 320 bewirkt werden, der in einem anderen Winkel als 90°, auf die erste Schnittstelle 326 auftrifft.
  • Ein anderes mögliches Problem ist die Erzeugung von stehenden Wellen. Sogar wenn der übertragene Lichtstrahl 325 auf die erste Schnittstelle 326 in einem Winkel von 90° auf einen Punkt auftrifft, wo die erste Schnittstelle 326 flach ist, können der übertragene Lichtstrahl 325 und der zweite reflektierte Lichtstrahl 330 miteinander konstruktiv und destruktiv interferieren und dabei stehende Wellen erzeugen (d. h. Bereiche von variierender Intensität). Dies resultiert in einer gewellten Seitenwand in der fotoresistiven Lage 305 und einem zugeordneten Verlust an Auflösung. Die Benutzung eines DARC ist auf diese Phänomene gerichtet.
  • In 3B ist ein DARC 335 in der Struktur von 3A durch Abscheidung zwi schen der fotoresistiven Lage 305 und der darunter liegenden Lage 310 enthalten. Die Wege des Lichts in 3B stellen die Funktion des DARC 335 dar. Zur Einfachheit werden Elemente, die sowohl in der 3A als auch in der 3B auftreten, unter Verwendung derselben Zahlen bezeichnet. Wie dargestellt wird der einfallende Lichtstrahl 320 wieder teilweise durch die fotoresistive Lage 305 reflektiert, was einen ersten reflektierten Lichtstrahl 322 und einen ersten übertragenen Lichtstrahl 325 erzeugt. Jedoch wird mit der Hinzufügung des DARC 335 der erste übertragene Lichtstrahl 325 nicht nur als zweiter reflektierter Lichtstrahl 330 reflektiert, sondern wird an einer zweiten Schnittstelle 341 in einen zweiten reflektierten Lichtstrahl 330 und einen zweiten übertragenen Lichtstrahl 340 aufgesplittet. Der zweite übertragene Lichtstrahl 340 geht durch DARC 335 hindurch und wird an einer dritten Schnittstelle 342 als ein dritter reflektierter Lichtstrahl 345 reflektiert.
  • Der DARC 335 bewirkt vorzugsweise, dass der zweite reflektierte Lichtstrahl 330 und der dritte reflektierte Lichtstrahl 345 ungefähr die gleiche Intensität und im wesentlichen entgegengesetzte Phasen haben, so dass sie sich im wesentlichen gegeneinander auslöschen. Folglich ist die einzige dem Licht ausgesetzte fotoresistive Lage 305 dem einfallenden Lichtstrahl 320 ausgesetzt. Die Intensitäten der reflektierten Lichtstrahlen werden durch Einstellung des Absorptionsindexes der DARC 335 ausgeglichen und dadurch die Intensität des dritten reflektierten Lichtstrahls 345 variiert. Die Phasendifferenz der reflektierten Lichtstrahlen wird durch Variierung des Refraktionsindexes der DARC 335 eingestellt. Die geeignete Dicke der DARC 335 wird durch diese beiden Parameter negativ beeinflusst.
  • Im besonderen kann eine wesentliche Auslöschung zwischen dem zweiten reflektierten Lichtstrahl 330 und dem dritten reflektierten Lichtstrahl 345 erreicht werden, wenn die folgenden zwei Bedingungen gleichzeitig erfüllt werden. Erstens die Phasendifferenz zwischen den reflektierten Lichtstrahlen sollte in der Nähe eines ganzzahligen Vielfachen von 180° sein. Zweitens die Intensität der reflektierten Lichtstrahlen sollte nahezu identisch sein. Die erste dieser Bedingungen wird durch die destruktive Interferenzgleichung beschrieben, die durch Gleichung 1 dargestellt wird: t = (mλ)/4n (1) wobei t ist die Dicke der DARC, m ist die gewünschte Anzahl von Halbwellenlängen, welche die Dicke der DARC darstellen soll (eine gerade Zahl), λ ist die Wellenlänge des Lichts, das bei einer Aussetzung der fotoresistiven Schicht verwendet wird und n ist der re fraktive Index des DARC. Der Wert von m gibt die Gesamtdicke des DARC für einfallen des Licht mit einer gegebenen Wellenlänge an. Mit anderen Worten benötigen Lösungen für m = 1 (180° Phasendifferenz), m = 3 (540° Phasendifferenz), m = 5 (900° Phasendifferenz) und höheren geradzahligen Vielfachen von 180° Phasendifferenz eine zunehmende größere DARC-Dicke, um die Gleichung 1 zu erfüllen. Die zweite Gleichung: I330 = I340 (2)beschreibt den Zustand, wobei die Intensität der reflektierten Lichtstrahlen, die als I330 und I340 bezeichnet sind, angepasst sind. Dies impliziert, dass ihre Intensität in der physikalischen Implementierung im wesentlichen gleich ist.
  • Für eine gegebene Struktur können die Bedingungen 1 und 2 (wie durch die Gleichungen 1 und 2 dargestellt) gleichzeitig mit geeigneten Auswahlen des refraktiven Indexes, des absorptiven Indexes und der Dicke der DARC-Schicht erfüllt werden. Eine Variation dieser Parameter erlaubt einen abgeschiedenen DARC, dessen Dicke im Bereich von ungefähr 20 nm (200 Å) bis ungefähr 300 nm (3000 Å) bei Verwendung von Bestrahlungswellenlängen zwischen ungefähr 190 nm und 900 nm liegt. Zum Beispiel kann eine Bestrahlungswellenlänge von ungefähr 248 nm benutzt werden, wenn durch PECVD-Techniken SION-Schichten abgeschieden werden (d. h. eine SiOxNy-Schicht, wobei x = y = 1). Bei dieser Wellenlänge kann eine durch diesen Prozess abgeschiedene DARC einen refraktiven Index im Bereich von 1,7 bis 2,9 und einen absorptiven Index im Bereich von 0 bis 1,3 haben.
  • IV. Simulation
  • Bei der Durchführung von Simulationen, um vorzuziehende Charakteristika von DARCs zu bestimmen, die in verschiedenen Anwendungen benutzt werden, wurden Strukturen, einschließlich einer DARC, unter Verwendung eines Prolitho-Simulators mit dem positiven/negativen resistiven optischen Lithografiemodells Version 4.05a simuliert. Die Prolitho-Software wurde benutzt, um die Aussetzung von einigen verschiedenen Multilagenstrukturen (bekannt als Stacks) einer tiefen UV-Strahlungsenergie mit einer Wellenlänge von 248 nm zu simulieren. Jeder der simulierten Stacks enthielt einen DARC. Andere Simulationsparameter enthielten eine Bestrahlungsenergie von 26 mJ und eine Bestrahlungszeit von 80 Sekunden. Die simulierte fotoresistive Schicht näherte die Charakteristika einer Lage von APEX E-fotoresisitiver Lage mit einer Dicke von 1000 nm (1 μm) an.
  • Die simulierten Multilagenstrukturen waren analog zu denen, die in 3B dargestellt werden. Einige Materialien wurden sowohl als darüber liegende als auch als darunter liegende Lagen benutzt. Die Ergebnisse dieser Simulationen werden in der Tabelle 1 zusammengefasst.
  • Figure 00190001
    Tabelle 1: Vorzuziehende optische Parameter für die simulierten Multilagenstrukturen.
  • In den simulierten Strukturen werden Oxidlagen durch Siliciumoxid dargestellt (SiOx; auch als nicht dotiertes Siliciumglas oder USG bekannt). In diesen Lagen können verschiedene andere Oxide benutzt werden, entweder alleine oder in Kombination, obwohl Oxide, anders als solche, die auf Siliciumoxid basieren, unterschiedliche DARC-Charakteristika benötigen können, um die Substratreflexivität zu minimieren. Lagen aus Borsilikatglas (BSG; Siliciumoxid, das mit Bor dotiert ist; und Borophoshorsilikatglas (BPSPG; Siliciumoxid, das mit Bor und Phosphor dotiert ist) wurden so behandelt, als hätten sie optische Charakteristika, die im wesentlichen identisch sind zu einer USG-Lage von ähnlicher Dicke, da die refraktiven Indices dieser Substanzen ähnlich sind. Folglich wird für die Zwecke der hier beschriebenen Simulationen keine Abgrenzung zwischen solchen Lagen in der Zusammensetzung der darunter liegenden Oxidlagen gemacht. Lagen, die aus einem Nitrid bestehen, werden durch Siliciumnitrid (SiNx) dargestellt. Es können wieder unterschiedliche Nitride benutzt werden, die aber unterschiedliche DARC-Charakteristika benötigen können, um die Substratreflexivität zu minimieren.
  • Der erste simulierte Stack bestand aus einem DARC, Siliciumnitrid, Siliciumoxid, Wolframsilicium (WSix) und Polysiliciumlagen (die von der obersten zur untersten Lage aufgelistet sind). Es wurde herausgefunden, dass die Substratreflexivität empfindlich auf die Dicke der Nitridlage ist. Optimal war die Nitridlage bei 150 nm in der Dicke, was eine Annäherung von 0% der Substratreflexivität ergab. Die Simulation sagte jedoch für Variationen von ungefähr 33% in der Nitridlagendicke einen Anstieg in der Substratreflexivität bis über 40% voraus. Sogar für Variationen in der Nitridlagendicke von nur 10%, können Substratreflexivitäten von ungefähr bis zu 20% erwartet werden. Folglich ist die Nitridlagendicke bei der Herstellung solch einer Struktur ein Grund zur Sorge.
  • Der zweite simulierte Stack bestand aus einem DARC, einem α-Polysilicium, Siliciumoxid, Wolframsilicium und Polysiliciumlagen (wieder von der obersten bis zur untersten Lage aufgelistet). Es wurde herausgefunden, dass die Substratreflexivität von einer Höhe von 40% bei einer Dicke von 0 nm abfällt, wenn die α-Polysiliciumlagendicke erhöht wird. Wenn die α-Polysiliciumlagendicke 30 nm (300 Å) erreichte, dann ging die Substratreflexivität auf nahe zu 0% und blieb so nach diesem Punkt (die α-Polysiliciumlagendicke wurde jedoch nur bis zu 100 nm (1000 Å) simuliert). Dies zeigte an, dass wenn einmal die α-Polysiliciumlagendicke gleich oder größer als 30 nm (300 Å) war, dann konnten für die Zwecke dieser Analyse die Lagen, welche unter der α-Polysiliciumlage sich befinden, ignoriert werden. Anwendungen für einen Stack dieses Typs enthalten eine duale Oxid-/Nitridstruktur.
  • Der dritte simulierte Stack bestand aus einem DARC, Siliciumoxid, Wolframsilicium und Polysiliciumlagen (wieder von der obersten bis zur untersten Lage aufgelistet). Es wurde herausgefunden, dass die Substratreflexivität periodisch mit der Oxidlagendicke variiert. Es wurde geschätzt, dass minimal ungefähr alle zusätzlichen 120 nm auftreten würden, beginnend bei 80 nm (d. h. bei 80 nm, 200 nm, 320 nm usw.), obwohl dieser Stack nur für Oxiddicken zwischen 100 nm und 300 nm simuliert wurde. Variationen bis zu 10% in der Oxidlagendicke wurden erkannt um Anstiege in der Substratreflexivität von weniger als ungefähr 1% zu bewirken.
  • Der vierte simulierte Stack bestand aus einer DARC und einer Aluminiumlage (wieder von der obersten bis zur untersten Lage aufgelistet). Da Aluminium für die Wellenlänge der Strahlungsenergie, die in Fotolithographieprozessen benutzt wird, undurchdringlich ist, ist die Zusammensetzung der Lagen, die sich unter der Aluminiumlage befin den, für diese Analyse nicht von Bedeutung. Wie in Tabelle 1 angegeben zeigen die Simulationen eine optimale DARC-Dicke von 25,5 nm (255 Å) an.
  • Der fünfte simulierte Stack bestand aus einer ersten Siliciumoxidlage, einem DARC, einer zweiten Siliciumoxidlage und einer Polysiliciumlage (wieder von der obersten bis zur untersten Lage aufgelistet). Durch die Simulation verschiedener erster und zweiter Siliciumoxidlagendicken wurden optimale Dickenwerte von 840 nm (8400 Å) für die erste Siliciumoxidlage und 1235 nm (12350 Å) für die zweite Siliciumoxidlage bestimmt. Die Optimierung dieser Dickenwerte ermöglichte die Einstellung der DARC-Charakteristika für die minimale Substratreflektanz und die hohe Resistivität (in der Größenordnung von 9,5 × 109Ω). Wie in Tabelle 1 dargestellt wird, benutzte dieser Stack jeweils einen DARC mit einer Dicke von 100 nm (1000 Å) und n- und k-Werten von 2,5 und 0,35.
  • Es wurden auch Prolitho-Simulationen durchgeführt, um die optimalen optischen Eigenschaften eines Stacks mit tiefem Graben (DTS; wobei die sechste Lage simuliert wurde) und einem geätzten Kontakt-Stack (CES; die siebente Lage wurde simuliert) zu bestimmen. DTS-Strukturen werden oft bei der Verbesserung der Isolation von integrierten Bauelementen, wie z. B. Speicherzellen benutzt, um dadurch die Möglichkeit eines Bauelemente-Latch-ups zu reduzieren. Die simulierte DTS bestand aus einer DARC, Siliciumoxid- und Siliciumnitridlagen (von der obersten bis zur untersten Lage aufgelistet). Wie in Tabelle 1 dargestellt schlugen die Simulationen vor, dass die optimalen Werte der DTC-Anwendung n = 2,15 und k = 0,5 sein würden, um eine Substratreflexivität von weniger als 5% zu erreichen. Ein Stack, der diese DARC enthält, wird eine ±200 Å-Variation bei der Dicke der Siliciumoxidlage und eine Variation von ±75 Å bei der Dicke der DARC aufweisen, ohne die Substratreflexivität zusehends negativ zu beeinträchtigen. Die Dicke der Siliciumoxidlage und der Siliciumnitridlage kann jeweils variiert ±17,5 nm (±175 Å) und ±10 nm (±100 Å) sein, ohne eine Variation der Substratreflexivität von mehr als 3% zu erfahren.
  • Die simulierte CES bestand aus einer DARC, Siliciumoxid und Siliciumnitridlagen (die von der obersten bis zur untersten Lage aufgelistet waren). CES-Strukturen werden in Anwendungen benutzt wie z. B. bei der Herstellung von Kontakten zwischen einer Metalllage und einer dotierten Wanne, einem Führungsring oder einer Polysiliciumlage. Wie in Tabelle 1 dargestellt wird, wurden die optimalen optischen Werte für die CES-Anwendung als n = 2,15 und k = 0,54 gefunden, um eine Substratreflexivität von weniger als 50% zu erreichen. Die vorhergehenden n- und k-Werte gelten für ein nach dem Verfahren der vorliegenden Erfindung hergestelltes DARC und sind für tiefe UV-Anwendungen eingestellt (d. h. eine Strahlungsenergie bei einer Wellenlänge von 248 nm). Eine Variation von ±25 nm (±250 Å) der Dicke von Siliciumoxidlagen und eine Variation von ±10 nm (±100 Å) der DARC-Dicke kann unter Verwendung dieser Struktur vorhanden sein. Die Dicke der Siliciumoxidlage und der Siliciumnitridlage kann um ±20 nm (±200 Å) und um ±20 nm (±200 Å) jeweils variieren, ohne wieder eine Variation in der Substratreflexion von mehr als 3% zu erfahren.
  • Neben diesen vorhergehend beschriebenen vereinfachenden Annahmen in Bezug auf die Zusammensetzung der Oxidlagen, wurde eine weitere vereinfachende Annahme in Bezug auf die Simulationen der oben beschriebenen DTS- und CES-Strukturen getroffen. Da von ihnen nicht erwartet werden kann, die optischen DES- und CES-Qualitäten zusehends zu verändern, wurden die Oxidlagen, die normalerweise unterhalb der Nitridlage einer DTS (mit einer nominellen Dicke von 5 nm (50 Å)) und einer CES (mit einer nominellen Dicke von 8 nm (80 Å)) liegen für die ausgeführten Simulationen nicht in Betrachtung gezogen. Dies ist eine gerechtfertigte Annahme, da für die einfallende Strahlungsenergie von der Art, die in der Fotolithografie benutzt wird, für diese Stacks nicht angenommen wird, dass sie diese Oxid- und Nitridlagen, welche sich unter der DARC befinden, durchdringt.
  • Der achte simulierte Stack bestand aus einer DARC, einer Titannitrid (TiN) Lage und einer Aluminiumlage (wieder von der obersten bis zur untersten Lage aufgelistet). Dies ist ein gutes Beispiel für eine Nitridlage, die kein Silicium enthält, von der die Verwendung vorher beschrieben worden ist. Da Aluminium für die in Fotolithografieprozessen benutzten Wellenlängen von Strahlungsenergie undurchdringbar ist, ist die Zusammensetzung der Lagen, die sich unter der Aluminiumlage befinden, für diese Analyse ohne Bedeutung. Wie in Tabelle 1 angegeben, wurden gute Ergebnisse für eine DARC-Dicke von 30 nm (300 Å) (d. h. minimale Substratreflexivität) erreicht.
  • Die vorgeschlagenen Kombinationen von optischen DARC-Eigenschaften und Dicken sind in der Lage, Substratreflexivitäten unterhalb 5% in allen hier beschriebenen Stacks zur Verfügung zu stellen. Eine DARC entsprechend der vorliegenden Erfindung minimiert auch die Substratreflexionen und verbessert die Linienbreitengenauigkeit, sogar wenn die darunter liegende Topographie und der DARC Variationen in der Dicke erfahren. Die einfache Einbeziehung einer DARC, die entsprechend der vorliegenden Erfindung hergestellt worden ist, kann sinnvollerweise betrachtet werden, die Substratreflexivität auf weniger als ungefähr 8% zu begrenzen, ungeachtet der Veränderungen in der darunter liegenden Topographie. Diese Reflexionen können 50% überschreiten, wenn eine DARC nicht benutzt wird.

Claims (7)

  1. Verfahren zum Abscheiden einer antireflektierenden, Silicium und Stickstoff enthaltenden Schicht über ein Substrat, das in einer Verarbeitungskammer angeordnet ist, wobei das Verfahren folgende Schritte aufweist: Einführen von Silan als erstes Prozeßgas in die Verarbeitungskammer bei einer ersten ausgewählten Rate, wobei die erste ausgewählte Rate bewirkt, dass die Schicht mit einer Rate von weniger als 200 nm/min vorzugsweise mit einer Rate zwischen 50 nm/min und 150 nm/min abgeschieden wird; Einführen von Stickstoff (N2) in die Verarbeitungskammer, sowohl als Verdünnungsgas als auch als Reaktionsgas mit einer zweiten ausgewählten Rate von 100 sccm bis 4000 sccm, wodurch ein Druck in der Verarbeitungskammer zwischen 130 Pa und 800 Pa bei einer Substrattemperatur von 200°C bis 400°C erhalten wird; Einführen von Stickoxydul als ein zweites Prozeßgas in die Verarbeitungskammer; Ausbringen einer Plasmaenergie an das erste Prozeßgas und den Stickstoff, um die genannte Reaktion zu bewirken; und Aufrechterhalten der Reaktion während einer Zeitdauer, deren Länge ausreicht, um den Film mit einer gewünschten Dicke abzuscheiden; wobei das erste Prozeßgas, das zweiten Prozeßgas und der Stickstoff mit einem Verhältnis von etwa 1 : 1 : 18 eingeführt werden.
  2. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass die gewünschte Dicke der Schicht eine Dicke ist, die es ermöglicht, dass die Schicht die Reflektion und Refraktion von in eine zweite Schicht einfallender Strahlungsenergie reduziert, wobei die zweite Schicht über der Schicht ausgebildet ist und die einfallende Strahlungsenergie die Löslichkeit von Bereichen der zweiten Schicht, die der einfallenden Strahlungsenergie ausgesetzt sind, im Bezug auf einen Entwickler verändert wird, der zur Entwicklung der zweiten Schicht verwendet wird.
  3. Verfahren nach Anspruch 1 oder 2, worin die angewendete Energie eine HF-Energie ist, und wobei die HF-Energie ein Plasma aus den Prozeßgasen und dem Stickstoff erzeugt.
  4. Verfahren nach Anspruch 3, worin eine Plasmadichte des Plasmas zwischen 0,8 W/cm2 und 8 W/cm2 liegt.
  5. Verfahren nach Anspruch 4, worin die Periode so ist, dass die Schicht bis zu einer Dicke von zwischen 20 nm und 300 nm, vorzugsweise zwischen 20 nm und 100 nm abgeschieden wird.
  6. Verfahren nach einem der Ansprüche 1 bis 5, ferner umfassend den Schritt des variierens eines Stickstoffgehalts der Schicht, um zu bewirken, dass die Schicht einen Refraktionsindex im Bereich von 1,7–2,9, vorzugsweise im Bereich von 2,1–2,9 und einen Absorbtionsindex im Bereich von 0–1,3, vorzugsweise in dem Bereich von 0,2–0,9 zeigt. 7 Verfahren nach Anspruch 2, worin die einfallende Strahlungsenergie eine Wellenlänge zwischen 190 nm und 900 nm hat.
  7. Substratverarbeitungssystem umfassend: ein Gehäuse, welches eine Kammer bildet, wobei das Substrat in der Kammer angeordnet ist; ein Gasverteilungssystem zur Einleitung von Prozeßgasen zu der Kammer; ein Plasmaerzeugungssystem, um ein Plasma aus den Prozeßgasen in der Kammer zu erzeugen; einen Kontroller, der einen Computer umfasst, um das Gasverteilersystem und das Plasmaerzeugungssystem zu steuern; und einen Speicher, der mit dem Kontroller gekoppelt ist und ein computerlesbares Medium aufweist, in dem ein computerlesbares Programm eingebettet ist, welches das System steuert, um das Verfahren nach einem der Ansprüche 1 bis 7 auszuführen.
DE69817251T 1997-05-07 1998-04-30 System und Verfahren zur Abscheidung von Schichten Expired - Fee Related DE69817251T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/852,786 US6083852A (en) 1997-05-07 1997-05-07 Method for applying films using reduced deposition rates
US852786 1997-05-07

Publications (2)

Publication Number Publication Date
DE69817251D1 DE69817251D1 (de) 2003-09-25
DE69817251T2 true DE69817251T2 (de) 2004-06-09

Family

ID=25314220

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69817251T Expired - Fee Related DE69817251T2 (de) 1997-05-07 1998-04-30 System und Verfahren zur Abscheidung von Schichten

Country Status (5)

Country Link
US (2) US6083852A (de)
EP (1) EP0877098B1 (de)
JP (1) JPH1167745A (de)
DE (1) DE69817251T2 (de)
TW (1) TW401461B (de)

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6127262A (en) * 1996-06-28 2000-10-03 Applied Materials, Inc. Method and apparatus for depositing an etch stop layer
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6274292B1 (en) 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US7804115B2 (en) 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
US6833280B1 (en) * 1998-03-13 2004-12-21 Micron Technology, Inc. Process for fabricating films of uniform properties on semiconductor devices
US6300672B1 (en) * 1998-07-22 2001-10-09 Siemens Aktiengesellschaft Silicon oxynitride cap for fluorinated silicate glass film in intermetal dielectric semiconductor fabrication
US6171764B1 (en) * 1998-08-22 2001-01-09 Chia-Lin Ku Method for reducing intensity of reflected rays encountered during process of photolithography
JP2000094310A (ja) * 1998-09-24 2000-04-04 Matsushita Electric Ind Co Ltd 被研磨基板の保持装置、基板の研磨方法及び半導体装置の製造方法
US6251802B1 (en) 1998-10-19 2001-06-26 Micron Technology, Inc. Methods of forming carbon-containing layers
US6303520B1 (en) * 1998-12-15 2001-10-16 Mattson Technology, Inc. Silicon oxynitride film
US6828683B2 (en) * 1998-12-23 2004-12-07 Micron Technology, Inc. Semiconductor devices, and semiconductor processing methods
US7235499B1 (en) 1999-01-20 2007-06-26 Micron Technology, Inc. Semiconductor processing methods
US6498635B1 (en) * 1999-03-05 2002-12-24 Chartered Semiconductor Manufacturing Ltd. Method of forming insulating material alignment posts associated with active device structures
US6245682B1 (en) * 1999-03-11 2001-06-12 Taiwan Semiconductor Manufacturing Company Removal of SiON ARC film after poly photo and etch
US6355546B1 (en) * 1999-08-11 2002-03-12 Advanced Micro Devices, Inc. Thermally grown protective oxide buffer layer for ARC removal
US6291329B1 (en) * 1999-08-11 2001-09-18 Advanced Micro Devices, Inc. Protective oxide buffer layer for ARC removal
JP3406250B2 (ja) * 1999-08-30 2003-05-12 日本エー・エス・エム株式会社 窒化珪素系膜の成膜方法
US7067414B1 (en) * 1999-09-01 2006-06-27 Micron Technology, Inc. Low k interlevel dielectric layer fabrication methods
US6440860B1 (en) * 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6372668B2 (en) * 2000-01-18 2002-04-16 Advanced Micro Devices, Inc. Method of forming silicon oxynitride films
US6978437B1 (en) * 2000-10-10 2005-12-20 Toppan Photomasks, Inc. Photomask for eliminating antenna effects in an integrated circuit and integrated circuit manufacture with same
DE10062660B4 (de) * 2000-12-15 2010-05-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Siliciumoxynitrid-ARC-Schicht über einer Halbleiterstruktur
JP2002214793A (ja) * 2001-01-22 2002-07-31 Mitsubishi Electric Corp 反射防止膜及び半導体装置の製造方法
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US20050189074A1 (en) * 2002-11-08 2005-09-01 Tokyo Electron Limited Gas processing apparatus and method and computer storage medium storing program for controlling same
JP2002359236A (ja) * 2001-03-27 2002-12-13 Hitachi Kokusai Electric Inc 半導体製造装置
US7324865B1 (en) * 2001-05-09 2008-01-29 Advanced Micro Devices, Inc. Run-to-run control method for automated control of metal deposition processes
US6376392B1 (en) 2001-05-18 2002-04-23 Industrial Technology Research Institute PECVD process for ULSI ARL
JP2003142358A (ja) * 2001-11-07 2003-05-16 Canon Inc 製造システム及びその制御装置及び制御方法及び制御プログラム及び記憶媒体
JP2003221257A (ja) * 2002-01-31 2003-08-05 Nippon Sheet Glass Co Ltd 透明薄膜の成形方法およびそれを備える透明基体
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
DE10223954A1 (de) * 2002-05-29 2003-12-11 Infineon Technologies Ag Plasmaangeregtes chemisches Gasphasenabscheide-Verfahren zum Abscheiden von Siliziumnitrid oder Siliziumoxinitrid, Verfahren zum Herstellen einer Schicht-Anordnung und Schicht-Anordnung
US7163879B2 (en) * 2002-05-30 2007-01-16 Sharp Kabushiki Kaisha Hard mask etch for gate polyetch
US6853043B2 (en) * 2002-11-04 2005-02-08 Applied Materials, Inc. Nitrogen-free antireflective coating for use with photolithographic patterning
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US20040121146A1 (en) * 2002-12-20 2004-06-24 Xiao-Ming He Composite barrier films and method
US7365029B2 (en) * 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
JP2006511087A (ja) * 2002-12-20 2006-03-30 アプライド マテリアルズ インコーポレイテッド 高品位低温窒化シリコン層を形成する方法および装置
US7972663B2 (en) * 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7473566B1 (en) * 2004-02-03 2009-01-06 Advanced Micro Devices, Inc. Method and apparatus for controlling a film formation process with multiple objectives
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US8193096B2 (en) * 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7202176B1 (en) * 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US8435895B2 (en) * 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US20090179307A1 (en) * 2008-01-15 2009-07-16 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system employing feed-forward control
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
WO2011072061A2 (en) 2009-12-11 2011-06-16 Novellus Systems, Inc. Enhanced passivation process to protect silicon prior to high dose implant strip
US8304262B2 (en) * 2011-02-17 2012-11-06 Lam Research Corporation Wiggling control for pseudo-hardmask
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5824951B2 (ja) * 1974-10-09 1983-05-24 ソニー株式会社 コウガクソウチ
DE2832388C2 (de) * 1978-07-24 1986-08-14 Siemens Ag, 1000 Berlin Und 8000 Muenchen Verfahren zum Herstellen von MNOS- und MOS-Transistoren in Silizium-Gate-Technologie auf einem Halbleitersubstrat
US4283249A (en) * 1979-05-02 1981-08-11 International Business Machines Corporation Reactive ion etching
DE2923995C2 (de) * 1979-06-13 1985-11-07 Siemens AG, 1000 Berlin und 8000 München Verfahren zum Herstellen von integrierten MOS-Schaltungen mit MOS-Transistoren und MNOS-Speichertransistoren in Silizium-Gate-Technologie
DE3202709A1 (de) * 1982-01-28 1983-08-04 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V., 8000 München Verfahren und einrichtung zum beschichten eines substrates mit inhomogenen schichten
DE3205345A1 (de) * 1982-02-15 1983-09-01 Philips Patentverwaltung Gmbh, 2000 Hamburg "verfahren zur herstellung von fluordotierten lichtleitfasern"
US4910122A (en) * 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
JPH0642482B2 (ja) * 1984-11-15 1994-06-01 株式会社東芝 半導体装置の製造方法
US4721631A (en) * 1985-02-14 1988-01-26 Sharp Kabushiki Kaisha Method of manufacturing thin-film electroluminescent display panel
JPS6418239A (en) * 1987-07-13 1989-01-23 Mitsubishi Electric Corp Semiconductor integrated circuit device
EP0299245B1 (de) * 1987-07-16 1992-09-16 Texas Instruments Incorporated Behandlungsapparat und -verfahren
US5138973A (en) * 1987-07-16 1992-08-18 Texas Instruments Incorporated Wafer processing apparatus having independently controllable energy sources
US4791073A (en) * 1987-11-17 1988-12-13 Motorola Inc. Trench isolation method for semiconductor devices
US4849366A (en) * 1988-01-15 1989-07-18 Industrial Technology Research Institute Method of making a gated isolated structure
GB2216336A (en) * 1988-03-30 1989-10-04 Philips Nv Forming insulating layers on substrates
US5204288A (en) * 1988-11-10 1993-04-20 Applied Materials, Inc. Method for planarizing an integrated circuit structure using low melting inorganic material
US5068124A (en) * 1989-11-17 1991-11-26 International Business Machines Corporation Method for depositing high quality silicon dioxide by pecvd
US4992306A (en) * 1990-02-01 1991-02-12 Air Products Abd Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride films using azidosilane sources
FR2666324B1 (fr) * 1990-09-03 1993-04-09 Saint Gobain Vitrage Int Couches minces de nitrure de silicium a proprietes ameliorees.
DE4228853C2 (de) * 1991-09-18 1993-10-21 Schott Glaswerke Optischer Wellenleiter mit einem planaren oder nur geringfügig gewölbten Substrat und Verfahren zu dessen Herstellung sowie Verwendung eines solchen
US5320864A (en) * 1992-06-29 1994-06-14 Lsi Logic Corporation Sedimentary deposition of photoresist on semiconductor wafers
US5330883A (en) * 1992-06-29 1994-07-19 Lsi Logic Corporation Techniques for uniformizing photoresist thickness and critical dimension of underlying features
US5286667A (en) * 1992-08-11 1994-02-15 Taiwan Semiconductor Manufacturing Company Modified and robust self-aligning contact process
TW349185B (en) * 1992-08-20 1999-01-01 Sony Corp A semiconductor device
JPH06240459A (ja) * 1993-02-16 1994-08-30 G T C:Kk 酸化ケイ素薄膜の形成法
US5532191A (en) * 1993-03-26 1996-07-02 Kawasaki Steel Corporation Method of chemical mechanical polishing planarization of an insulating film using an etching stop
JP3339156B2 (ja) * 1993-12-28 2002-10-28 ソニー株式会社 微細パターンの製造方法と半導体装置の製造方法
JP3326943B2 (ja) * 1993-12-29 2002-09-24 ソニー株式会社 半導体装置の製造方法および半導体装置
US5418019A (en) * 1994-05-25 1995-05-23 Georgia Tech Research Corporation Method for low temperature plasma enhanced chemical vapor deposition (PECVD) of an oxide and nitride antireflection coating on silicon
US5399507A (en) * 1994-06-27 1995-03-21 Motorola, Inc. Fabrication of mixed thin-film and bulk semiconductor substrate for integrated circuit applications
US5482894A (en) * 1994-08-23 1996-01-09 Texas Instruments Incorporated Method of fabricating a self-aligned contact using organic dielectric materials
US5500279A (en) * 1994-08-26 1996-03-19 Eastman Kodak Company Laminated metal structure and metod of making same
US5492736A (en) * 1994-11-28 1996-02-20 Air Products And Chemicals, Inc. Fluorine doped silicon oxide process
US6127262A (en) * 1996-06-28 2000-10-03 Applied Materials, Inc. Method and apparatus for depositing an etch stop layer
US6020035A (en) * 1996-10-29 2000-02-01 Applied Materials, Inc. Film to tie up loose fluorine in the chamber after a clean process
US5935334A (en) * 1996-11-13 1999-08-10 Applied Materials, Inc. Substrate processing apparatus with bottom-mounted remote plasma system
US5937323A (en) * 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing

Also Published As

Publication number Publication date
JPH1167745A (ja) 1999-03-09
EP0877098B1 (de) 2003-08-20
US6083852A (en) 2000-07-04
TW401461B (en) 2000-08-11
EP0877098A1 (de) 1998-11-11
US6324439B1 (en) 2001-11-27
DE69817251D1 (de) 2003-09-25

Similar Documents

Publication Publication Date Title
DE69817251T2 (de) System und Verfahren zur Abscheidung von Schichten
EP0880166B1 (de) Verfahren und Vorrichtung zum Auftragen einer Ätz-Stoppschicht
US6235456B1 (en) Graded anti-reflective barrier films for ultra-fine lithography
DE60116216T2 (de) Verfahren zur Reduzierung der Dielektrizitätskonstante in einer SiOC Schicht
US6951826B2 (en) Silicon carbide deposition for use as a low dielectric constant anti-reflective coating
KR100513568B1 (ko) 기판에대한포토리소그래픽처리의정확도를개선시키는방법및장치
DE69838039T2 (de) Verfahren zur Herstellung einer dünnen Schicht und Vorrichtung zur Durchführung dieses Verfahrens
US5641607A (en) Anti-reflective layer used to form a semiconductor device
US6514667B2 (en) Tunable vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and applications thereof
EP0778496B1 (de) Verfahren und Gerät zur Abscheidung einer Antireflexbeschichtung
US5710067A (en) Silicon oxime film
DE69835276T2 (de) Verfahren zur Herstellung einer selbst-planarisierten dielektrischen Schicht für eine seichte Grabenisolation
KR100716622B1 (ko) 유전상수가 낮은 반사 방지막으로 사용되는 규소 탄화물 및그 증착방법
DE69819030T2 (de) Doppelfrequenz cvd verfahren und vorrichtung
DE69837124T2 (de) Abscheidungsverfahren von einer mit Halogen dotierten Si02-Schicht
JP3117429B2 (ja) 多層基板構造
EP0877415A2 (de) System und Methode zur Ablagerung von einem Mehrschichtfilm
EP0933683A2 (de) Verfahren zur Bildung eines Lackmusters unter Verwendung einer, aus Siliziumkarbid, optimierten Antireflexionsschicht
US6365320B1 (en) Process for forming anti-reflective film for semiconductor fabrication using extremely short wavelength deep ultraviolet photolithography
DE4138999A1 (de) Verfahren zum herstellen einer halbleitervorrichtung
KR20000057111A (ko) 개선된 cd 제어
DE10349087B4 (de) Verfahren zur Herstellung von Halbton-Phasenverschiebungsmasken-Rohlingen
DE10062660B4 (de) Verfahren zur Herstellung einer Siliciumoxynitrid-ARC-Schicht über einer Halbleiterstruktur
DE60007208T2 (de) Reflexionsvermindernde Schicht zur Kontrolle von kritischen Dimensionen
US6395434B1 (en) Phase shift mask and phase shift mask blank

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8328 Change in the person/name/address of the agent

Representative=s name: BOECK, TAPPE, KIRSCHNER RECHTSANWAELTE PATENTANWAELTE

8328 Change in the person/name/address of the agent

Representative=s name: PUSCHMANN & BORCHERT, 82041 OBERHACHING

8339 Ceased/non-payment of the annual fee