DE69837674T2 - Doppeldamaszen-metallisierung - Google Patents

Doppeldamaszen-metallisierung Download PDF

Info

Publication number
DE69837674T2
DE69837674T2 DE69837674T DE69837674T DE69837674T2 DE 69837674 T2 DE69837674 T2 DE 69837674T2 DE 69837674 T DE69837674 T DE 69837674T DE 69837674 T DE69837674 T DE 69837674T DE 69837674 T2 DE69837674 T2 DE 69837674T2
Authority
DE
Germany
Prior art keywords
layer
copper
cvd
metal
conductive metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69837674T
Other languages
English (en)
Other versions
DE69837674D1 (de
Inventor
Liang-Yuh San Jose CHEN
Rong San Jose TAO
Ted Palo Alto GUO
Roderick Craig Pleasanton MOSELY
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of DE69837674D1 publication Critical patent/DE69837674D1/de
Application granted granted Critical
Publication of DE69837674T2 publication Critical patent/DE69837674T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Description

  • HINTERGRUND DER ERFINDUNG
  • Gebiet der Erfindung
  • Die vorliegende Erfindung bezieht sich auf ein Metallisierungsverfahren zur Herstellung von Halbleiterbauelementen. Insbesondere bezieht sich die vorliegende Erfindung auf eine Metallisierung von Doppeldamaszen-Umgrenzungen für Kontaktloch und Leiter in einer dielektrischen Schicht zur Bildung von Metallzwischenverbindungen und Metallkontaktlochverschlüssen.
  • Hintergrund der verwandten Technik
  • Die Vielniveau-Metallisierung im Bereich unter einem halben μm ist eine der Schlüsseltechnologien für die nächste Generation mit sehr hohem Integrationsgrad (VLSI – Very Large Scale Integration). Die Vielniveau-Zwischenverbindungen, die das Wesen dieser Technologie sind, erfordern eine Planarisierung der in Öffnungen mit hohem Seitenverhältnis ausgebildeten Zwischenverbindungsstrukturen, zu denen Kontakte, Durchgangslöcher, Leiter oder andere Strukturen gehören. Eine zuverlässige Ausbildung dieser Zwischenverbindungsstrukturen ist für den Erfolg des VLSI und für die fortgesetzte Anstrengung sehr wichtig, die Schaltungsdichte und Qualität an einzelnen Substraten und Chips zu steigern.
  • Wenn die Schaltungsdichten erhöht werden, müssen die Breiten von Durchgangslöchern, Kontakten und anderen Strukturen sowie der dielektrischen Materialien zwischen ihnen kleiner werden, was für die Strukturen ein größeres Seitenverhältnis ergibt. Deshalb nehmen die Anstrengungen zu, die sich auf die Ausbildung von hohlraumfreien Strukturen mit hohen Seitenverhältnissen richten, bei denen das Verhältnis von Strukturbreite zu Strukturhöhe 4:1 oder größer ist. Ein solches Verfahren umfasst die selektive chemische Gasphasenabscheidung (CVD – Chemical Vapor Deposition) von Material nur auf exponierten Keimbildungsoberflächen, wie sie an der Substratoberfläche vorgesehen sind. Zu einer selektiven CVD gehört die Abscheidung einer Filmschicht bei Kontakt einer Komponente der chemischen Gasphase mit einem leitenden Substrat. Die Komponente bildet Keime auf einem solchen Substrat und erzeugt eine Metalloberfläche, auf der die weitere Abscheidung weitergeht.
  • Eine selektive CVD-Metallabscheidung basiert auf der Tatsache, dass die Zersetzung eines CVD-Metallvorläufergases gewöhnlich eine Quelle von Elektronen aus einem leitenden Keimbildungsfilm erfordert. Bei einem herkömmlichen Prozess der selektiven CVD-Metallabscheidung soll das Metall im Boden einer Öffnung wachsen, wo entweder ein Metallfilm oder dotiertes Silicium oder Metallsilicid aus der darunter liegenden leitenden Schicht freigelegt worden ist, sollte aber auf dielektrischen Flächen, beispielsweise den Ebenen- und Öffnungswänden, nicht wachsen. Die darunter liegenden Metallfilme oder das dotierte Silicium sind im Gegensatz zu der dielektrischen Ebene und den Öffnungswänden elektrisch leitend und sorgen für die Elektronen, die für die Zersetzung des Metallvorläufergases und die sich ergebende Zersetzung des Metalls erforderlich sind. Das durch eine selektive Abscheidung erhaltene Ergebnis ist ein epitaxiales Wachstum "vom Boden aus" des CVD-Metalls in den Öffnungen, die in der Lage sind, Kontaktlöcher oder Kontaktöffnungen mit sehr kleiner Abmessung (< 0,25 μm) und hohem Seitenverhältnis (> 5:1) zu füllen.
  • Elementares Aluminium (Al) und seine Legierungen waren die traditionellen Metalle, die wegen des niedrigen spezifischen Widerstands des Aluminiums, der überlegenen Haftung an Siliciumdioxid (SiO2), der leichten Strukturbildung und der hohen Reinheit zur Bildung von Leitern und Zapfen bei der Halbleiterbehandlung verwendet wurden. Darüber hinaus sind Aluminiumvorläufergase verfügbar, die den vorstehend beschriebenen selektiven CVD-Prozess erleichtern. Aluminium hat jedoch einen höheren spezifischen Widerstand und Probleme mit der Elektromigration. Die Elektromigration ist ein Phänomen, das in einer Metallschaltung, wenn sie in Betrieb ist, im Gegensatz zu einem während der Herstellung auftretenden Defekt auftritt. Die Elektromigration wird durch die Diffusion des Metalls in dem elektrischen Feld verursacht, das in der Schaltung gebildet wird. Das Metall wird von einem Ende zum anderen nach Stunden des Betriebs transportiert und führt schließlich zu einer vollständigen Trennung, was ein Öffnen der Schaltung verursacht. Dieses Problem wird manchmal durch Cu-Dotierung und eine Texturverbesserung überwunden. Die Elektromigration ist jedoch ein Problem, das schlimmer wird, wenn die Stromdichte zunimmt.
  • Andererseits haben Kupfer und seine Legierungen noch niedrigere spezifische Widerstände als Aluminium und einen beträchtlich höheren Elektromigrationswiderstand. Diese Eigenschaften sind wesentlich für das Halten von höheren Stromdichten, die sich bei hohen Integrationsniveaus ergeben, und erhöhen die Bauelementgeschwindigkeit. Die Hauptprobleme beim Integrieren von Kupfermetall in Vielniveau-Metallisierungssysteme sind jedoch (1) die Schwierigkeit der Strukturbildung aus dem Metall unter Verwendung von Ätztechniken und (2) die Schwierigkeit beim Füllen kleiner Durchgangslöcher unter Verwendung von PVD, wenn eine Nachbehandlung durch CVD-Prozesse fehlt. Für Bauelemente mit minimaler Strukturgröße unter einem μm sind Nassätztechniken für Kupferstrukturen aufgrund der Flüssigkeitsoberflächenspannung, des isotropen Ätzprofils und der Schwierigkeit bei einer Überätzsteuerung nicht akzeptabel, und ein zuverlässiger Trockenätzprozess steht nicht zur Verfügung.
  • Zur Herstellung von strukturierten Kupferzwischenverbindungen wurden mehrere Verfahren vorgeschlagen, zu denen ein selektives stromloses Plattieren, eine selektive chemische Gasphasenabscheidung, eine reaktive Ionenätzung bei hoher Temperatur und eine Abhebebehandlung gehören. Das stromlose Plattieren erfordert, dass der Boden einer Zwischenverbindung geimpft wird, um den Boden leitend zu machen. Der leitende Boden kann dann geladen werden, um Kupfer aus einer Lösung oder aus einem Bad anzuziehen.
  • Zur selektiven chemischen Gasphasenabscheidung gehört gewöhnlich die Zersetzung eines Metallvorläufergases an einer elektrisch leitenden Fläche. Jedoch steht ein zuverlässiger und ausgereifter Prozess für selektives CVD-Kupfer nicht zur Verfügung.
  • Reaktives Ionenätzen (RIE – Reaktiv Ion Etching) bei hoher Temperatur oder Zerstäubungsätzen wurde ebenfalls zur Strukturierung einer Kupferschicht verwendet. Außerdem kann RIE in Verbindung mit Abhebebehandlungen verwendet werden, bei denen Überschussmetall von den Strukturen durch eine Trennschicht abgehoben wird, um eine planare Oberfläche zu belassen, in der eine Kupferstruktur ausgebildet ist.
  • Eine andere Technik zur Metallverdrahtung von Kupfer weist die Strukturierung und das Ätzen eines Grabens und/oder eines Kontaktes in einer Dickenschicht aus Isoliermaterial, wie SiO2, auf. Danach kann eine dünne Schicht aus einem Sperrmetall, wie Ti, TiW oder TiN auf der Oberseite der Isolierschicht und innerhalb des Grabens und/oder Kontakts vorgesehen werden, um als Diffusionssperre zu wirken und um eine Interdiffusion von nachher abzuscheidendem Metall in das Silicium und zwischen einem solchen Metall und dem Oxid zu verhindern. Nach dem Abscheiden des Sperrmetalls wird eine Kupferschicht abgelegt, um den Graben vollständig zu füllen.
  • Eine bekannte Metallisiertechnik besteht aus einem Verfahren zur Ausbildung einer Doppeldamaszen-Zwischenverbindung in einer dielektrischen Schicht, die Doppeldamaszen-Umgrenzungen für Kontaktloch und Leiter aufweist, wobei das Kontaktloch einen Boden hat, der eine darunter liegende Schicht freilegt. Zu dem Verfahren gehört die physikalische Gasphasenabscheidung einer Sperrschicht, die physikalische Gasphasenabscheidung eines leitenden Metalls, vorzugsweise Kupfer, und dann das Elektroplattieren des leitenden Metalls, um die Kontaktlöcher und Gräben auszufüllen. Abschließend werden die abgeschiedenen Schichten und die dielektrischen Schichten planarisiert, beispielsweise durch chemisches-mechanisches Polieren, um einen Leiter zu bilden.
  • In 1A bis 1E ist eine Querschnittsdarstellung eines Schichtaufbaus 10 mit einer dielektrischen Schicht 16 gezeigt, die über einer darunter liegenden Schicht 14 ausgebildet ist, die elektrisch leitende Strukturen 15 enthält. Die darunter liegende Schicht 14 kann die Form eines dotierten Siliciumsubstrats haben oder kann eine erste oder darauf folgende leitende Schicht sein, die auf einem Substrat ausgebildet ist. Die dielektrische Schicht 16 wird über der darunter liegenden Schicht 14 nach bekannten Vorgängen als Teil der gesamten integrierten Schaltung ausgebildet. Wenn die dielektrische Schicht 16 abgeschieden ist, wird sie geätzt, um eine Doppeldamaszen-Umgrenzung von Kontaktloch und Leiter zu bilden, wobei das Kontaktloch einen Boden 30 hat, der einen kleinen Teil der leitenden Struktur 15 exponiert. Das Ätzen der dielektrischen Schicht 16 wird mit einem dielektrischen Ätzprozess erreicht, zu dem das Plasmaätzen gehört. Spezielle Techniken zum Ätzen von Siliciumdioxid und organischen Materialien können solche Verbindungen aufweisen, wie gepufferte Fluorwasserstoffsäure bzw. Aceton oder EKC. Die Strukturierung kann jedoch unter Verwendung irgendeines bekannten Verfahrens erzielt werden.
  • In 1A ist eine Schnittansicht einer Doppeldamaszen-Umgrenzung von Kontaktloch und Leiter gezeigt, die in der dielektrischen Schicht 16 ausgebildet ist. Die Kontaktloch- und -leiter-Umgrenzung erleichtert das Ablegen einer leitenden Zwischenverbindung, die eine elektrische Verbindung mit der darunter liegenden leitenden Struktur 15 bildet. Die Umgrenzung sieht Kontaktlöcher 32 mit Kontaktlochwänden 34 und einem Boden 30 vor, der wenigstens einen Teil der leitenden Struktur 15 exponiert, sowie Gräben 17 mit Grabenwänden 38.
  • Gemäß 1B wird eine Sperrschicht 20 aus PVD-TaN auf der Umgrenzung für Kontaktloch und Draht abgeschieden, die in den Kontaktlöchern 32 Löcher 18 belässt. Die Sperrschicht wird vorzugsweise von Titan, Titannitrid, Tantal oder Tantalnitrid gebildet. Der verwendete Prozess kann zur Verbesserung der Textur und Filmeigenschaft PVD, CVD oder kombiniert CVD/PVD sein. Die Sperrschicht begrenzt die Diffusion des Kupfers und erhöht die Zuverlässigkeit der Zwischenverbindung extrem. Bevorzugt hat die Sperrschicht eine Dicke zwischen etwa 25 und etwa 300 Å (1 Å = 0,1 nm), besonders bevorzugt etwa 100 Å.
  • Gemäß 1C wird eine PVD-Kupferschicht 21 auf der Sperrschicht über den Wänden 34, 48 und dem Boden 30 der Leiterumgrenzung abgeschieden. Das verwendete Metall kann auch Aluminium oder Wolfram sein. Die PVD-Kupferschicht 21 sorgt für eine gute Haftung für zusätzliche Metallschichten.
  • Gemäß 1D wird Kupfer 22 über der PVD-Kupferschicht 21 elektroplattiert, um das Durchgangsloch 32 mit einem Kupferstopfen 19 zu füllen. Das Elektroplattieren gehört zum Stand der Technik und kann durch eine Vielzahl von Techniken erreicht werden.
  • Gemäß 1E wird dann der obere Teil der Struktur 10 planarisiert, vorzugsweise durch chemisches mechanisches Polieren (CMP – Chemical Mechanical Polishing). Während des Planarisiervorgangs werden Teile der Kupferschichten 21, 22, der Sperrschicht 20 und des Dielektrikums 16 von der Oberseite der Struktur entfernt, so dass eine vollständig planare Oberfläche mit Leitern 39 verbleibt, die in den Gräben in ihr ausgebildet sind.
  • Im Vergleich zur PVD-Kupferabscheidung sind Dünnfilme, die während eines Decken-CVD-Prozesses abgeschieden werden, üblicherweise konform und geben eine hervorragende Stu fenabdeckung, d.h. eine gleichförmige Dicke der Schichten an den Seiten und der Basis einer in dem Substrat ausgebildeten Öffnung, auch bei sehr kleinen Öffnungsgeometrien. Deshalb ist die Decken-CVD ein übliches Verfahren, das zum Füllen von Öffnungen verwendet wird. Den Decken-CVD-Prozessen sind jedoch zwei Hauptschwierigkeiten zugeordnet. Zuerst wachsen die Decken-CVD-Filme von allen Seiten in eine Öffnung, was gewöhnlich einen Hohlraum in der gefüllten Öffnung ergibt, da die abgeschiedene Schicht nach oben und nach außen an den oberen Ecken der Öffnung wächst und an der oberen Fläche die Öffnung überbrückt, bevor die Öffnung vollständig gefüllt worden ist (d.h. Brücken- oder Kronenbildung). Ferner verringert eine fortlaufende Keimbildungsschicht, d.h. eine fortlaufende Filmschicht zur Gewährleistung der Keimbildung über allen Flächen des Substrats, die auf den Öffnungswänden abgeschieden wird, um eine Abscheidung der CVD-Schicht darauf zu gewährleisten, die Breite der Öffnung weiterhin, wodurch die Schwierigkeit zunimmt, die Öffnung ohne Hohlräume zu füllen. Zweitens tendieren durch Decken-CVD abgeschiedene Filme zu einer Konformierung mit der Topographie der Oberfläche, auf der die Filme abgeschieden werden, was einen Film ergeben kann, der eine willkürlich ausgerichtete Kristallstruktur und daraus resultierende geringere Reflexionseigenschaften sowie eine schlechte Elektromigration hat, wenn die Topographie nicht orientiert oder willkürlich ist.
  • Ein selektives CVD basiert auf der Tatsache, dass die Zersetzung des CVD-Vorläufergases zur Bildung eines Abscheidefilms gewöhnlich eine Elektronenquelle aus einem leitenden Keimbildungsfilm erfordert. Bei einem herkömmlichen selektiven CVD-Prozess sollte die Abscheidung in dem Boden einer Öffnung vor sich gehen, wo entweder ein leitender Film oder ein dotiertes Silicium aus der darunter liegenden Schicht freigelegt worden ist, sie sollte jedoch nicht auf dem Isolierbereich oder den Isolieröffnungswänden wachsen, wo keine Keimbildungsstellen vorgesehen sind. Diese leitenden Filme und/oder das dotierte Silicium, das an der Basis der Öffnungen freigelegt ist, sorgt im Gegensatz zu dielektrischen Oberflächen für die Elektronen, die für die Zersetzung des Vorläufergases und der daraus resultierenden Abscheidung der Filmschicht erforderlich sind. Das durch die selektive Abscheidung erhaltene Ergebnis ist ein Wachstum des Films in den Öffnungen "vom Boden nach oben", wodurch Kontaktlöcher oder Kontakte mit sehr kleinen Abmessungen (< 0,25 μm) und hohem Seitenverhältnis (> 5,1) gefüllt werden können. In selektiven CVD-Prozessen bilden sich jedoch auf der Ebene, wo Defekte in dieser Oberfläche vorhanden sind, unerwünschte Knoten.
  • Andererseits ermöglichen PVD-Prozesse eine Abscheidung von in hohem Maße ausgerichteten Filmen mit verbessertem Reflexionsvermögen, haben jedoch kein gutes Öffnungsfüllen oder keine gute Stufenabdeckung bei Anwendungen mit hohem Seitenverhältnis. Das physikalische Zerstäuben von Target-Material ergibt Teilchen, die sich in spitzen Winkeln bezüglich der Substratoberfläche bewegen. Als Folge neigen beim Füllen von Öffnungen mit hohem Seitenverhältnis zerstäubte Teilchen zur Abscheidung an den oberen Wandflächen und zur Abdeckung ihrer Mündung, bevor die Öffnung vollständig mit Abscheidematerial gefüllt wird. Die sich ergebende Struktur weist typischerweise Hohlräume in sich auf, die die Integrität der auf dem Substrat ausgebildeten Bauelemente gefährden.
  • Öffnungen mit großem Seitenverhältnis können unter Verwendung von PVD-Prozessen dadurch gefüllt werden, dass der Film bei erhöhten Temperaturen abgeschieden wird. Beispielsweise kann Aluminium bei 400°C oder mehr abgeschieden werden, um den Fluss des Aluminiums auf die Oberfläche und durch die Öffnung zu steigern. Man hat gefunden, dass dieses heiße Al-Verfahren eine verbesserte Stufenabdeckung ergibt. Es zeigt sich jedoch, dass das heiße Al-Verfahren eine unzuverlässige Kontaktlochfüllung, hohe Abscheidetemperaturen und lange Füllzeiten sowie ein schlechtes Filmreflexionsvermögen aufweist.
  • Trotz der Verfügbarkeit dieser technischen Maßnahmen besteht ein Bedürfnis nach einem Metallisierprozess zur Herstellung von Doppeldamaszen-Zwischenverbindungen und Kontaktlöchern, die Böden mit irgendeinem Abscheidematerial haben. Solche hochintegrierten Zwischenverbindungen müssen hohlraumfreie Kontaktlöcher, insbesondere mit großem Seitenverhältnis, sowie zur Bildung von Kontakten und Kontaktlöchern Öffnungen haben, die unter einem Viertel μm groß sind. Weiterhin besteht ein Bedürfnis für ein Verfahren zur Bereitstellung einer Schaltung mit höherer elektrischer Leitfähigkeit und verbessertem Elektromigrationswiderstand. Man wünscht sich ein einfaches Verfahren, das weniger Behandlungsschritte erfordert, um Metallstopfen in Kontaktlöchern und Leiter in den Gräben zu bilden. Ferner ist erwünscht, dass mit dem Verfahren dies alles erreicht werden kann, ohne Metallätztechniken zu verwenden.
  • ZUSAMMENFASSUNG DER ERFINDUNG
  • Die vorliegende Erfindung betrifft ein Verfahren zur Ausbildung einer Doppeldamaszen-Zwischenverbindung in einer dielektrischen Schicht mit einer Doppeldamaszen-Umgrenzung für Kontaktloch und Leiter, bei welchem
    • a) auf exponierten Oberflächen der dielektrischen Schicht eine Sperrschicht abgelegt wird,
    • b) auf der Sperrschicht durch chemische Gasphasenabscheidung oder Elektroplattieren ein leitendes Metall aufgebracht wird,
    • c) das leitende Metall nach der chemischen Gasphasenabscheidung oder dem Elektroplattieren zum Füllen der Kontaktlochumgrenzung wärmebehandelt wird,
    • d) nach der Wärmebehandlung das leitende Metall zum Füllen der Leiterumgrenzung durch physikalische Gasphasenabscheidung aufgebracht wird, und
    • e) das leitende Metall, die Sperrschicht und die dielektrische Schicht zur Bildung eines Leiterdrahts planarisiert werden.
  • KURZBESCHREIBUNG DER ZEICHNUNGEN
  • Damit die Art und Weise, in der die vorstehend erwähnten Merkmale, Vorteile und Ziele der vorliegenden Erfindung erreicht werden können, im Einzelnen verstanden werden kann, folgt eine speziellere Beschreibung der Erfindung, wie sie vorstehend kurz zusammengefasst ist, unter Bezugnahme auf Ausführungsformen von ihr, die in den beiliegenden Zeichnungen dargestellt sind.
  • Es ist jedoch zu vermerken, dass die beiliegenden Zeichnungen nur typische Ausführungsformen dieser Erfindung veranschaulichen und deshalb nicht als Begrenzung ihres Umfangs anzusehen sind, da die Erfindung Zugang zu anderen, gleich wirksamen Ausführungsformen haben kann.
  • 1A bis 1E (Stand der Technik) zeigen eine Doppeldamaszen-Kontaktloch- und -Leiterumgrenzung und die Schritte nach dem Stand der Technik zur Bereitstellung einer metallischen Zwischenverbindung unter Verwendung einer Sperrschicht, einer PVD-Metallabscheidung und einer Metallelektroplattierung.
  • 2A bis 2E dienen lediglich als Hintergrundinformation und zeigen eine Doppeldamaszen-Umgrenzung für Kontaktloch und Leiter sowie die Abscheidung einer Sperrschicht vor dem Füllen der Umgrenzung für Kontaktloch und Leiter mit einem leitenden Metall.
  • 3A bis 3E zeigen eine Doppeldamaszen-Umgrenzung für Kontaktloch und Leiter mit einer Sperrschicht sowie Schritte zur Abscheidung eines leitenden Metalls gemäß einer Ausführungsform der Erfindung.
  • 4A bis 4E zeigen eine Doppeldamaszen-Umgrenzung für Kontaktloch und Leiter mit einer Sperrschicht sowie Schritte zur Abscheidung eines leitenden Metalls gemäß einer zweiten Ausführungsform der vorliegenden Erfindung.
  • 5A bis 5E dienen nur als Hintergrundinformation und zeigen eine Doppeldamaszen-Umgrenzung mit Kontaktloch und Leiter, die eine Sperrschicht aufweist, sowie Schritte zur Ablage eines leitenden Metalls.
  • 6 ist ein integriertes Behandlungssystem für eine sequenzielle Metallisierung gemäß einer bevorzugten Ausführungsform der vorliegenden Erfindung.
  • INS EINZELNE GEHENDE BESCHREIBUNG EINER BEVORZUGTEN AUSFÜHRUNGSFORM
  • Die vorliegende Erfindung stellt insgesamt ein In-situ-Metallisierungsverfahren für eine Zwischenverbindung in einer hochintegrierten Struktur bereit, die einen reduzierten Zwischenverbindungswiderstand und eine verbesserte Elektromigrationsleistung hat. Insbesondere stellt die vorliegende Erfindung ein Verfahren zur Ausbildung einer Doppeldamaszen-Zwischenverbindung, die eine Sperrschicht an exponierten Oberflächen einer Umgrenzung von Kontaktloch und Leiter aufweist, sowie Abscheidungstechniken zum Füllen der Umgrenzung der Kontaktlochs – teilweise – und des Leiters bereit, die durch einen Glühbehandlungsschritt zum Füllen des Kontaktlochs getrennt sind. In einem integrierten Behandlungssystem können bevorzugte Abscheidungstechniken kombiniert werden, obwohl nicht integrierte Techniken geeignete Metallzwischenverbindungen und Metallkontaktlochstopfen bereitstellen.
  • Zur Klarstellung wird die vorliegende Erfindung nachstehend unter Bezug auf Kupferabscheidetechniken beschrieben. Es können jedoch auch andere Metallprozesse, wie PVD-Aluminium oder AUCu verwendet werden, um die Vorteile der vorliegenden Erfindung zu erreichen.
  • Gemäß 2A wird zur Ausbildung einer außerhalb der Erfindung liegenden IC-Struktur 40 eine dielektrische Schicht 42 nach herkömmlichen Techniken über einer Oberfläche 44 einer leitenden Schicht oder eines leitenden Bereichs 46 einer strukturierten Schicht ausgebildet. Die dielektrische Schicht kann so dick sein wie etwa die doppelte Dicke einer einzigen Metallisierungsschicht, wenn eine Doppeldamaszen-Umgrenzung für Kontaktloch und Leiter hindurchgeätzt wird. Es kann jedes dielektrische Material, ob es gegenwärtig bekannt ist oder noch nicht entdeckt ist, verwendet werden und liegt innerhalb des Rahmens der vorliegenden Erfindung, einschließlich gering dielektrische Materialien, wie Kohlenstoff-fluoriertes SiO2, organische Polymere, usw. Die dielektrische Schicht kann auf jedem geeigneten, die Abscheidung begünstigenden Material abgeschieden werden, jedoch gehören zu den bevorzugten, die Abscheidung begünstigenden Materialien leitende Metalle und dotiertes Silicium.
  • Wenn die dielektrische Schicht abgeschieden ist, wird sie zur Bildung einer Doppeldamaszen-Umgrenzung für Kontaktloch und Draht geätzt, wobei das Kontaktloch 48 den unteren leitenden Bereich 46 mit einem Graben 50 verbindet, der einen Leiter oder eine Zwischenverbindung bildet, wenn er gefüllt ist. Das Kontaktloch hat gewöhnlich ein großes Seitenverhältnis mit steilen Seitenwänden 52. Das Ätzen der dielektrischen Schicht 42 kann mit jedem dielektrischen Ätzprozess einschließlich Plasmaätzen erreicht werden. Zu speziellen Techniken zum Ätzen von Siliciumdioxid und organischen Materialien können solche Verbindungen gehören, wie gepufferte Fluorwasserstoffsäure bzw. Aceton oder EKC. Eine Strukturierung kann jedoch unter Verwendung jedes bekannten Verfahrens erreicht werden.
  • Gemäß 2B ist eine Sperrschicht 54 auf exponierten Oberflächen abgeschieden, zu denen die Oberflächen in den Umgrenzungen des Kontaktlochs 48 und des Leiters 50 gehören. Zu bevorzugten Sperr-/Benetzungsschichten gehören Schichten aus beispielsweise hitzebeständi gem Material (wie Wolfram (W)), Wolframnitrid (WN), Niob (Nb), Aluminiumsilicate, usw.), Tantal (Ta), Tantalnitrid (TaN), Titannitrid (TiN), PVD-Ti/N2-gefüllt, eine ternäre Verbindung (wie TiSiN, WSiN, usw.) oder eine Kombination dieser Schichten. Zu bevorzugten Sperrmaterialien gehören Titan, Titannitrid, Titansiliciumnitrid, Wolframnitrid, Wolframsiliciumnitrid, Tantal, Tantalnitrid, Tantalsiliciumnitrid, dotiertes Silicium, Aluminium und Aluminiumoxide. Die besonders bevorzugten Sperr-Benetzungsmaterialien sind Ta und TaN, die gewöhnlich als PVD-Schicht mit einer Dicke zwischen etwa 50 und etwa 1000 Å bereitgestellt werden. Umgekehrt hat eine CVD-, TiN- oder eine WN-Sperr-Benetzungsschicht gewöhnlich eine Dicke zwischen etwa 100 und etwa 400 Å. Die Sperr-Benetzungsschicht wird abgeschieden, um eine im Wesentlichen durchgehende Kappe über der dielektrischen Schicht zu bilden, und kann mit Stickstoff behandelt werden. Alternativ können exponierte Oberflächen von Siliciumoxid mit Stickstoff behandelt werden, um eine SixOvN2-Schicht zu bilden, die als Sperrschicht für Kupfer wirksam ist.
  • Durch abwechselnde Prozessgasströme kann eine Kombination von Sperr-Benetzungsschichten hergestellt werden, um eine bessere Adhäsion für CVD-Cu zu bilden. Beispielsweise gibt eine CVD-Abscheidung von WN durch Reaktion von WF6, N2, H2 und SiH4 eine hervorragende Haftung für die dielektrische Schicht. Die Unterbrechung des Stickstoffstroms während des Abscheidens führt zu einer abschließenden Sperr-Benetzungsschicht von CVD-W, die an der CVD-WN-Schicht und der darauf folgenden CVD-Cu-Schicht haftet. Auf ähnliche Weise kann eine TaN-Schicht mit einer Ta-Schicht kombiniert werden oder es kann eine TiN-Schicht mit einer Ti-Schicht durch Unterbrechen des Stickstoffstroms kombiniert werden. Die kombinierten Schichten verbessern die Haftung an dem CVD-Cu, das eine bessere Textur für das Material gibt, das in dem Kontaktloch oder Graben abgeschieden wird. Alternativ können WN-, TaN- oder TiN-Sperr-Benetzungsschichten mit H2-, Ar- oder He-Plasma vorbehandelt werden, um die CVD-Cu-Schicht mit Keimstellen zu versehen und für eine bessere Haftung zu sorgen.
  • CVD/PVD-Füllen
  • Bei einer Ausführung 40, die lediglich als Hintergrundinformation eingeführt wird, wie weiter in 2C, 2E gezeigt ist, wird ein Verfahren zur Ausbildung von Doppeldamaszen-Stopfen und -Zwischenverbindungen vorgesehen, die einen niedrigeren spezifischen Widerstand und einen größeren Elektromigrationswiderstand haben. Das Kontaktloch unter einem halben μm wird ohne Hohlräume durch konformes CVD-Cu gefüllt, wonach der Graben mit PVD-Cu gefüllt wird, das vorzugsweise Zinn als Dotierung aufweist. Nach dem Abscheiden wandert das Dotiermittel in die CVD-Cu-Schicht und verbessert den Elektromigrationswiderstand. Die Leiter werden durch Planarisierung der Struktur vervollständigt.
  • Die im Querschnitt in 2C gezeigte Doppeldamaszen-Umgrenzung für Kontaktloch und Leiter, die die konforme Sperrschicht 54 aufweist, hat eine konforme CVD-Cu-Schicht 55, die gleichförmig auf Feldbereich 56 und Seitenwänden 58 abgeschieden ist, bis das Kontaktloch vollständig durch einen Kupferstopfen 60 gefüllt ist.
  • Gemäß 2D ist eine Kupferschicht 62 über der CVD-Cu-Schicht durch physikalische Gasphasenabscheidung abgeschieden, um die Leiterumgrenzung 50 zu füllen. Zum Füllen der Leiterumgrenzung tritt im Allgemeinen ein, dass das gesamte Feld der Struktur mit dem PVD-Cu bedeckt wird.
  • Gemäß 2E wird dann der obere Teil der Struktur 40 planarisiert, vorzugsweise durch chemisches-mechanisches Polieren (CMP) (beispielsweise durch ein MirraTM-System, lieferbar von Applied Materials, Santa Clara, Cal.). Während des Planarisierungsprozesses werden Teile des Kupfers 62, des Sperrmaterials 54 und des Dielektrikums 42 von der Oberseite der Struktur entfernt, so dass eine volle planare Oberfläche mit darin ausgebildeten Leiterdrähten 64, 66 verbleibt.
  • CVD/Glühbehandeln/PVD-Füllen
  • Bei einer Ausführungsform 70 der Erfindung, die in 3A bis 3E gezeigt ist, wird das Kontaktloch mit unter einem halben μm teilweise mit dem konformen CVD-Cu gefüllt und dann zum Füllen des Kontaktlochs glühbehandelt. Dann wird der Graben mit PVD-Cu, wie vorher beschrieben, gefüllt. Wenn ein Graben eine zu geringe Breite hat, kann der Glühbehandlungsschritt den Graben auch füllen. Die Gräben können die gleiche Breite wie das Kontaktloch haben, das den Graben mit einer darunter liegenden Schicht verbindet. Der PVD-Cu-Schritt kann noch verwendet werden, um Dotiermittel bereitzustellen oder um eine ausreichende Filmdicke zur Planarisierung der Struktur vorzusehen.
  • 3A zeigt ein strukturiertes Dielektrikum mit einer darauf ausgebildeten Sperrschicht 54 ähnlich wie sie in 2B gezeigt ist. Gemäß 3B hat eine Querschnittsansicht des Doppeldamaszen-Umrisses für Kontaktloch und Draht mit der konformen Sperrschicht 54 eine konforme CVD-Cu-Schicht 72, die gleichförmig auf Feldbereichen 56 und Seitenwänden 58 abgeschieden ist, bis das Kontaktloch teilweise gefüllt ist und ein Loch 74 verbleibt. Gemäß 3C wird dann die CVD-Cu-Schicht glühbehandelt, indem der Wafer auf eine Temperatur von etwa 300°C bis etwa 450°C erhitzt wird, um das Kupfer in das Loch 74 aufzuschmelzen und einen Kupferstopfen 76 zu bilden.
  • Gemäß 3D wird eine Kupferschicht 62 über der CVD-Cu-Schicht durch physikalische Gasphasenabscheidung abgeschieden, um die Leiterumgrenzung 50 zu füllen. Zum Füllen der Leiterumgrenzung tritt gewöhnlich ein, dass das gesamte Feld der Struktur mit dem PVD-Cu bedeckt wird.
  • Gemäß 3E wird dann der obere Teil der Struktur 70 planarisiert, vorzugsweise durch chemisches mechanisches Polieren (CMP). Während des Planarisierprozesses werden Teile des Kupfers 62, des Sperrmaterials 54 und des Dielektrikums 52 von der Oberseite der Struktur entfernt, so dass eine vollständig planare Oberfläche mit darin ausgebildeten Leiterdrähten 64, 66 verbleibt.
  • Elektroplattieren/PVD oder CVD/PVD-Füllen
  • Bei einer weiteren Ausführungsform 80 der Erfindung, wie sie in den 4A bis 4E gezeigt ist, wird das Kontaktloch mit unter einem halben μm teilweise durch Cu-Elektroplattieren oder durch konformes CVD-Cu gefüllt. Dann kann der Graben in einem nicht integrierten System mit PVD-Cu, wie vorher beschrieben, gefüllt werden. Wenn man dem Cu-Elektroplattieren folgt, ist das PVD-Cu vollständig dotiert, um die Elektromigration zu verbessern. Die Drähte werden durch Planarisierung der Struktur fertig gestellt.
  • 4A zeigt ein strukturiertes Dielektrikum mit einer darauf ausgebildeten Sperrschicht 54 ähnlich wie in 2B. Gemäß 4B hat ein Querschnitt der Doppeldamaszen-Umgrenzung für Kontaktloch und Leiter mit der konformen Sperrschicht 43 eine konforme Cu- elektroplattierte Schicht 82, die gleichförmig auf den Feldbereich 56 und Seitenwänden 58 abgeschieden ist, bis das Kontaktloch teilweise gefüllt ist, so dass ein Loch 84 verbleibt. Gemäß 4C wird dann die Cu-elektroplattierte Schicht durch Erhitzen des Wafers auf eine Temperatur von etwa 300°C bis etwa 450°C glühbehandelt, um das Kupfer in dem Loch 84 aufzuschmelzen und einen Kupferstopfen 86 zu bilden.
  • Gemäß 4D wird über der Cu-elektroplattierten Schicht eine Kupferschicht 62 durch physikalische Gasphasenabscheidung abgeschieden, um die Leiterumgrenzung 50 zu füllen. Beim Füllen der Leiterumgrenzung tritt gewöhnlich ein, dass das gesamte Feld der Struktur mit dem PVD-Cu abgedeckt wird.
  • Gemäß 4E wird dann der obere Teil der Struktur 80 planarisiert, vorzugsweise durch chemisches mechanisches Polieren (CMP). Während des Planarisierungsprozesses werden Teile des Kupfers 62, des Sperrmaterials 54 und des Dielektrikums 42 von der Oberseite der Struktur entfernt, so dass eine vollständig planare Oberfläche mit darin ausgebildeten Leiterdrähten 64, 66 verbleibt.
  • CVD/Glühbehandeln/CVD/Glühbehandeln Füllen
  • Bei einer Ausgestaltung 100, die lediglich zur Hintergrundinformation aufgenommen wird und in 5A bis 5E gezeigt ist, wird das Kontaktloch mit unter einem halben μm mit konformem CVD-Cu gefüllt und dann glühbehandelt, um das Kontaktloch zu füllen. Anschließend wird der Graben mit CVD-Cu gefüllt und dann glühbehandelt, wie für das Kontaktloch beschrieben. Die Drähte werden durch Planarisieren der Struktur gebildet.
  • 5A zeigt ein strukturiertes Dielektrikum mit einer darauf ausgebildeten Sperrschicht 54 ähnlich wie in 2B gezeigt. Gemäß 5B hat ein Querschnitt einer Doppeldamaszen-Umgrenzung für Kontaktloch und Leiter die konforme Sperrschicht 54, eine konforme CVD-Cu-Schicht 102, die gleichförmig auf Feldbereichen 56 und Seitenwänden 58 abgeschieden ist, bis das Kontaktloch teilweise gefüllt ist, wodurch ein Loch 104 verbleibt. Gemäß 5C wird dann die CVD-Cu-Schicht durch Erhitzen des Wafers auf eine Temperatur von etwa 300°C bis etwa 450°C glühbehandelt, um das Kupfer in dem Loch 104 aufzuschmelzen und einen Kupferstopfen 106 zu bilden. Dann wird eine zweite konforme CVD-Cu-Schicht 108 gleichmäßig auf der glühbehandelten CVD-Schicht abgeschieden, bis die Gräben teilweise gefüllt sind, so dass ein Loch 110 verbleibt. Gemäß 5D wird dann die zweite CVD-Cu-Schicht 108 durch Erhitzen des Wafers auf eine Temperatur von etwa 300°C bis etwa 450°C glühbehandelt, um das Kupfer in das Grabenloch 110 aufzuschmelzen und um einen Kupferdraht 112 zu bilden. Gemäß 5E wird dann der Kupferdraht 112 durch Planarisieren, wie vorher beschrieben, fertig gestellt.
  • Integriertes Behandlungssystem
  • In 6 ist schematisch ein integriertes Behandlungssystem 160 gezeigt, das sowohl PCV- als CVD-Kammem aufweist, in denen die vorstehend beschriebenen integrierten Prozesse ausgeführt werden können. Gewöhnlich werden Substrate in das Behandlungssystem 160 durch eine Kassettenladeschleuse 162 eingeführt und daraus abgeführt. In dem Behandlungssystem 160 ist ein Roboter 164 mit einem Blatt 167 angeordnet, um die Substrate durch das System 160 zu bewegen. Ein Robot 164 ist gewöhnlich in einer Pufferkammer 168 angeordnet, um Substrate zwischen der Kassettenladeschleuse 162, einer Entgasungs-Waferausrichtkammer 170, einer Vorreinigungskammer 172, einer PVD-TiN-Kammer 174 und einer Abkühlkammer 176 zu überführen. In einer Überführungskammer 180 ist ein zweiter Roboter 178 angeordnet, um Substrate zu der Abkühlkammer 176, einer kohärenten Ti-Kammer 162, einer CVD-Zinn-Kammer 184, einer CVD-Cu-Kammer 186 und einer PVD-IMP-Cu-Behandlungskammer 188 hin und daraus heraus zu überführen. Die Überführungskammer 180 in dem integrierten System wird vorzugsweise auf einem niedrigen Druck oder einem hohen Vakuum im Bereich von 10–3 bis 10–8 Torr gehalten. Diese spezielle Ausgestaltung der Kammern in 6 hat ein integriertes Behandlungssystem, das sowohl CVD- als auch PVD-Prozesse in einem einzigen Gruppengerät ausführen kann. Diese spezielle Kammerausgestaltung oder -anordnung dient nur der Veranschaulichung, die vorliegende Erfindung sieht auch mehrere Ausgestaltungen von PVD- und CVD-Prozessen in Betracht.
  • Gewöhnlich wird ein in dem Behandlungssystem 160 behandeltes Substrat aus der Kassettenladeschleuse 162 zu der Pufferkammer 168 geführt, wo der Roboter 164 das Substrat zuerst in eine Entgasungskammer 170 bewegt. Das Substrat wird dann in eine Vorreinigungskammer 172, eine PVD-TiN-Kammer 174 und dann in eine Abkühlkammer 176 überführt. Aus der Abkühlkammer 176 bewegt der Roboter 178 das Substrat gewöhnlich in und zwischen eine oder mehrere Behandlungskammern, bevor er das Substrat zurück zu der Abkühlkammer 178 führt. Das Substrat kann in einer oder mehreren Kammern beliebig oft in jeder Reihenfolge behandelt oder gekühlt werden, um die Fertigung einer gewünschten Struktur auf dem Substrat zu erreichen. Das Substrat wird nach der Behandlung aus dem Behandlungssystem 160 über die Pufferkammer 168 und dann die Ladeschleuse 162 entfernt. Eine Mikroprozessorsteuerung 190 steuert die Abfolge und Ausbildung der Schichten auf den Substraten.
  • Das Behandlungssystem 160 führt ein Substrat durch die Ladeschleuse 162 in die Entgasungskammer 170, in die das Substrat eingeführt wird, um Verunreinigungen auszugasen. Dann wird das Substrat in eine Vorreinigungskammer 172 bewegt, wo die Oberfläche des Substrats gereinigt wird, um alle Verunreinigungen darauf zu entfernen. Anschließend wird das Substrat in der CVD-TiN-Kammer 175 behandelt, um eine Sperrschicht auf der dielektrischen Schicht abzuscheiden. Anschließend überführt der Roboter 178 das Substrat zu einer CVD-Cu-Kammer 174. Das Substrat erhält zwei oder mehr Metallschichten, um den Metallstopfen und die Zwischenverbindung auszubilden. Eine Glühbehandlung kann in jeder erhitzten Kammer erfolgen. Wenn die Metallschicht voll abgeschieden ist, wird das Substrat zur Planarisierungseinheit geschickt.
  • Ein Waferbehandlungssystem mit Stufenvakuum ist in dem US-Patent 5,186,718 mit dem Titel "Staged-Vacuum Wafer Processing Systems and Method" (System und Verfahren zur Waferbehandlung mit abgestuftem Vakuum), Tepman et al., ausgegeben am 16. Februar 1993, offenbart. Dieses System wurde für die Aufnahme einer CVD-Kammer modifiziert.
  • Unter Verwendung irgendeines bekannten CVD-Cu-Prozess- oder -Vorläufergases, wozu Kupfer+2(hfac)2 und Cu2(fod)2 (fod ist die Abkürzung für Heptafluordimethyloctandien) gehören, kann eine CVD-Cu-Schicht abgeschieden werden, ein bevorzugter Prozess verwendet jedoch einen flüchtigen flüssigen Komplex Kupfer+1hfac-TMVS (hfac ist die Abkürzung für das Hexafluoracetylacetonat-Anion und TMVS ist die Abkürzung für Trimethylvinylsilan) mit Argon als Trägergas. Da dieser Komplex unter Umgebungsbedingungen eine Flüssigkeit ist, kann es in Standard-CVD-Blasenbildner-Vorläuferabgabesystemen verwendet werden, die gegenwärtig bei der Halbleiterherstellung genutzt werden. Sowohl TMVS als auch Kupfer+2(hfac)2 sind flüchtige Nebenprodukte der Abscheidungsreaktion, die aus der Kammer abgeführt werden. Man nimmt an, dass die Abscheidungsreaktion nach den folgenden Mechanismen abläuft, in denen (s) die Interaktion mit einer Oberfläche und (g) die Gasphase bezeichnet. 2Cu+1hfac,TMVS(g) ------ 2Cu+1hfac,TMV VS(s) Schritt 1 2Cu+1hfac,TMVS(s) ------ 2Cu+1hfac(s) + 2TMVS(g) Schritt 2 2Cu+1hfac(s) Cu(s) ------ Cu+2(hfac)2(g) Schritt 3
  • Im Schritt 1 wird der Komplex aus der Gasphase aus einer metallischen Oberfläche adsorbiert. Im Schritt 2 dissoziiert das koordinierte Olefin (in diesem speziellen Fall TMVS) aus dem Komplex als ein freies Gas, das als instabile Verbindung Cu+1hfac hinterlässt. Im Schritt 3 dissoziiert das Cu+1hfac und ergibt Kupfermetall und flüchtiges Cu+2(hfac)2. Die Dissoziation bei CVD-Temperaturen scheint durch metallische oder elektrisch leitende Oberflächen stark katalysiert zu werden. Bei einer alternativen Reaktion kann der organometallische Kupferkomplex zu Wasserstoff reduziert werden, um metallisches Kupfer zu ergeben.
  • Der flüchtige flüssige Komplex Cu+1hfac,TMVS kann dazu verwendet werden, Cu entweder über einen thermischen oder einen Prozess auf Plasmabasis abzuscheiden, wobei der thermische Prozess stärker bevorzugt wird. Die Substrattemperatur für den plasmagestützten Prozess liegt vorzugsweise zwischen etwa 100 und etwa 400°C, während die für den thermischen Prozess zwischen etwa 50 und etwa 300°C, besonders bevorzugt bei etwa 170°C liegt. Anschließend an jeden dieser Prozesse kann eine CVD-Cu-Benetzungsschicht über einer Keimbildungsschicht vorgesehen werden. Alternativ kann elektroplattiertes Kupfer in Kombination mit oder als Ersatz der CVD-Cu-Benetzungsschicht verwendet werden.
  • Nach dem Abscheiden einer CVD-Cu-Schicht wird das Substrat in eine PVD-Cu-Kammer gebracht, um PVD-Cu unter der Schmelzpunkttemperatur von CVD-Cu und PVD-Cu abzuscheiden. Wenn das Weichmetall Kupfer ist, wird bevorzugt, dass das PVD-Cu bei einer Wafertemperatur unter etwa 550°C, vorzugsweise etwa unter 400°C abgeschieden wird. Die Kupferschichten beginnen während des PVD-Abscheidungsprozesses bei über 200°C zu fließen, während die Tantal-Sperr-Benetzungsschicht als massive Metallschicht fest an Ort und Stelle bleibt. Da Tantal eine gute Benetzung mit Kupfer hat, wird das CVD-Cu davon abgehalten, das Tantal bei etwa 400°C zu entnetzen, so dass Wafertemperaturen über dem Schmelzpunkt von Aluminium (> 660°C), wie es der CVD-Prozess nach dem Stand der Technik lehrt, nicht erforderlich sind. Deshalb ermöglicht die Verwendung einer dünnen Tantalschicht das Erreichen einer Planarisierung des Kupfers bei Temperaturen weit unter dem Schmelzpunkt von Kupfer.
  • Bei jedem Aspekt der Erfindung können abgeschiedene Cu-Schichten mit H2 glühbehandelt werden, um die Schichten gegen die Bildung von CuO widerstandsfähiger zu machen.
  • Das Kupferplattieren ist viel billiger als PVD- oder CVD-Prozesse, kann jedoch nicht in dem integrierten Behandlungssystem ausgeführt werden. Glücklicherweise hat das Aussetzen des Substrats an Luft beim Überführen zwischen verschiedenen Prozessanordnungen keine merkliche Trennfläche in der Metallschicht gebildet. Es können Kupfer-Targets, die von etwa 0,5 Gew.-% bis etwa 2 Gew.-% Sn enthalten, durch Gasphasenabscheidung abgeschieden oder unter Verwendung eines dualen Elektronenkanonensystems unter einem Vakuum von 10–7 Torr (1 Torr = 1,33 Pa) und bei einer Substrattemperatur von 150°C elektroplattiert werden.
  • Während die vorstehenden Ausführungen sich auf die bevorzugte Ausgestaltung der vorliegenden Erfindung richten, sind andere und weitere Ausführungsformen der Erfindung möglich, ohne von ihrem Grundgedanken abzuweichen. Der Umfang der Erfindung wird durch die nachstehenden Ansprüche bestimmt.

Claims (8)

  1. Verfahren zur Ausbildung einer Doppeldamaszen-Zwischenverbindung in einer dielektrischen Schicht mit einer Doppeldamaszen-Umgrenzung für Kontaktloch und Leiter, bei welchem a) auf exponierten Oberflächen der dielektrischen Schicht eine Sperrschicht abgelegt wird, b) auf der Sperrschicht durch chemische Gasphasenabscheidung oder Elektroplattieren ein leitendes Metall aufgebracht wird, c) das leitende Metall nach der chemischen Gasphasenabscheidung oder dem Elektroplattieren zum Füllen der Kontaktlochumgrenzung wärmebehandelt wird, d) nach der Wärmebehandlung das leitende Metall zum Füllen der Leiterumgrenzung durch physikalische Gasphasenabscheidung aufgebracht wird und e) das leitende Metall, die Sperrschicht und die dielektrische Schicht zur Bildung eines Leiterdrahts planarisiert werden.
  2. Verfahren nach Anspruch 1, bei welchem das leitende Metall aus der Gruppe ausgewählt wird, die aus Kupfer, Aluminium, dotiertem Kupfer, dotiertem Aluminium und Mischungen davon besteht.
  3. Verfahren nach Anspruch 1, bei welchem die Schritte (a) und (b) in einem integrierten Behandlungssystem ausgeführt werden.
  4. Verfahren nach Anspruch 1, bei welchem der Schritt der Planarisierung durch chemisches-mechanisches Polieren ausgeführt wird.
  5. Verfahren nach Anspruch 1, bei welchem die Sperrschicht ein Material aufweist, das aus der Gruppe ausgewählt wird, die aus Titan, Titannitrid, Titansiliciumnitrid, Wolframnitrid, Wolframsiliciumnitrid, Tantal, Tantalnitrid, Tantalsiliciumnitrid, dotiertem Silicium, Aluminium und Aluminiumoxiden besteht.
  6. Verfahren nach Anspruch 1, bei welchem das leitende Metall Kupfer oder dotiertes Kupfer ist.
  7. Verfahren nach Anspruch 1, bei welchem der Schritt b) eine chemische Gasphasenabscheidung aufweist.
  8. Verfahren nach Anspruch 1, bei welchem der Schritt b) das Elektroplattieren aufweist.
DE69837674T 1997-08-19 1998-08-17 Doppeldamaszen-metallisierung Expired - Fee Related DE69837674T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/914,521 US5989623A (en) 1997-08-19 1997-08-19 Dual damascene metallization
US914521 1997-08-19
PCT/US1998/017010 WO1999009593A1 (en) 1997-08-19 1998-08-17 Dual damascene metallization

Publications (2)

Publication Number Publication Date
DE69837674D1 DE69837674D1 (de) 2007-06-06
DE69837674T2 true DE69837674T2 (de) 2008-01-10

Family

ID=25434472

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69837674T Expired - Fee Related DE69837674T2 (de) 1997-08-19 1998-08-17 Doppeldamaszen-metallisierung

Country Status (6)

Country Link
US (2) US5989623A (de)
EP (1) EP1021827B1 (de)
JP (1) JP4615707B2 (de)
KR (1) KR100506139B1 (de)
DE (1) DE69837674T2 (de)
WO (1) WO1999009593A1 (de)

Families Citing this family (123)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6475903B1 (en) * 1993-12-28 2002-11-05 Intel Corporation Copper reflow process
US6100196A (en) * 1996-04-08 2000-08-08 Chartered Semiconductor Manufacturing Ltd. Method of making a copper interconnect with top barrier layer
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US6731007B1 (en) * 1997-08-29 2004-05-04 Hitachi, Ltd. Semiconductor integrated circuit device with vertically stacked conductor interconnections
US6307267B1 (en) * 1997-12-26 2001-10-23 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method thereof
US6140234A (en) * 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
US7244677B2 (en) * 1998-02-04 2007-07-17 Semitool. Inc. Method for filling recessed micro-structures with metallization in the production of a microelectronic device
WO1999040615A1 (en) 1998-02-04 1999-08-12 Semitool, Inc. Method and apparatus for low-temperature annealing of metallization micro-structures in the production of a microelectronic device
US6025264A (en) * 1998-02-09 2000-02-15 United Microelectronics Corp. Fabricating method of a barrier layer
US6682970B1 (en) * 1998-02-27 2004-01-27 Micron Technology, Inc. Capacitor/antifuse structure having a barrier-layer electrode and improved barrier layer
US7034353B2 (en) 1998-02-27 2006-04-25 Micron Technology, Inc. Methods for enhancing capacitors having roughened features to increase charge-storage capacity
US6150706A (en) 1998-02-27 2000-11-21 Micron Technology, Inc. Capacitor/antifuse structure having a barrier-layer electrode and improved barrier layer
JP3149846B2 (ja) * 1998-04-17 2001-03-26 日本電気株式会社 半導体装置及びその製造方法
EP1091024A4 (de) * 1998-04-30 2006-03-22 Ebara Corp Verfahren und vorrichtung zum beschichten von substraten
US6433428B1 (en) * 1998-05-29 2002-08-13 Kabushiki Kaisha Toshiba Semiconductor device with a dual damascene type via contact structure and method for the manufacture of same
US6475912B1 (en) 1998-06-01 2002-11-05 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method and apparatus for fabricating the same while minimizing operating failures and optimizing yield
US6355562B1 (en) * 1998-07-01 2002-03-12 Advanced Technology Materials, Inc. Adhesion promotion method for CVD copper metallization in IC applications
KR100265772B1 (ko) * 1998-07-22 2000-10-02 윤종용 반도체 장치의 배선구조 및 그 제조방법
JP3244058B2 (ja) * 1998-07-28 2002-01-07 日本電気株式会社 半導体装置の製造方法
US6093623A (en) * 1998-08-04 2000-07-25 Micron Technology, Inc. Methods for making silicon-on-insulator structures
US6284656B1 (en) 1998-08-04 2001-09-04 Micron Technology, Inc. Copper metallurgy in integrated circuits
JP2000068230A (ja) * 1998-08-25 2000-03-03 Mitsubishi Electric Corp 半導体装置、その製造装置、および、その製造方法
US6380083B1 (en) * 1998-08-28 2002-04-30 Agere Systems Guardian Corp. Process for semiconductor device fabrication having copper interconnects
JP3187011B2 (ja) * 1998-08-31 2001-07-11 日本電気株式会社 半導体装置の製造方法
US6288442B1 (en) * 1998-09-10 2001-09-11 Micron Technology, Inc. Integrated circuit with oxidation-resistant polymeric layer
US5994778A (en) * 1998-09-18 1999-11-30 Advanced Micro Devices, Inc. Surface treatment of low-k SiOF to prevent metal interaction
JP3180779B2 (ja) * 1998-10-05 2001-06-25 日本電気株式会社 半導体装置の製造方法
US6153528A (en) * 1998-10-14 2000-11-28 United Silicon Incorporated Method of fabricating a dual damascene structure
US6080663A (en) * 1998-11-13 2000-06-27 United Microelectronics Corp. Dual damascene
US6184137B1 (en) 1998-11-25 2001-02-06 Applied Materials, Inc. Structure and method for improving low temperature copper reflow in semiconductor features
US6207568B1 (en) * 1998-11-27 2001-03-27 Taiwan Semiconductor Manufacturing Company Ionized metal plasma (IMP) method for forming (111) oriented aluminum containing conductor layer
US6596637B1 (en) * 1998-12-07 2003-07-22 Advanced Micro Devices, Inc. Chemically preventing Cu dendrite formation and growth by immersion
US6162728A (en) * 1998-12-18 2000-12-19 Texas Instruments Incorporated Method to optimize copper chemical-mechanical polishing in a copper damascene interconnect process for integrated circuit applications
US6288449B1 (en) 1998-12-22 2001-09-11 Agere Systems Guardian Corp. Barrier for copper metallization
KR100280288B1 (ko) 1999-02-04 2001-01-15 윤종용 반도체 집적회로의 커패시터 제조방법
SG90054A1 (en) * 1999-02-11 2002-07-23 Chartered Semiconductor Mfg A new process of dual damascene structure
US20020127845A1 (en) * 1999-03-01 2002-09-12 Paul A. Farrar Conductive structures in integrated circuits
KR100460746B1 (ko) * 1999-04-13 2004-12-09 주식회사 하이닉스반도체 반도체 소자의 구리 금속 배선 형성 방법
US6103624A (en) * 1999-04-15 2000-08-15 Advanced Micro Devices, Inc. Method of improving Cu damascene interconnect reliability by laser anneal before barrier polish
US6303496B1 (en) * 1999-04-27 2001-10-16 Cypress Semiconductor Corporation Methods of filling constrained spaces with insulating materials and/or of forming contact holes and/or contacts in an integrated circuit
US6251772B1 (en) * 1999-04-29 2001-06-26 Advanced Micro Devicees, Inc. Dielectric adhesion enhancement in damascene process for semiconductors
KR100333712B1 (ko) * 1999-06-24 2002-04-24 박종섭 반도체 소자의 상감형 금속배선 형성방법
US6251770B1 (en) * 1999-06-30 2001-06-26 Lam Research Corp. Dual-damascene dielectric structures and methods for making the same
US20040034134A1 (en) * 1999-08-26 2004-02-19 Lamb James E. Crosslinkable fill compositions for uniformly protecting via and contact holes
JP5079959B2 (ja) 1999-08-26 2012-11-21 ブルーワー サイエンス アイ エヌ シー. デュアル・ダマシンプロセス用の改良された充填物質
US6423200B1 (en) 1999-09-30 2002-07-23 Lam Research Corporation Copper interconnect seed layer treatment methods and apparatuses for treating the same
US6326297B1 (en) * 1999-09-30 2001-12-04 Novellus Systems, Inc. Method of making a tungsten nitride barrier layer with improved adhesion and stability using a silicon layer
WO2001029891A1 (en) * 1999-10-15 2001-04-26 Asm America, Inc. Conformal lining layers for damascene metallization
KR100338112B1 (ko) * 1999-12-22 2002-05-24 박종섭 반도체 소자의 구리 금속 배선 형성 방법
US6361880B1 (en) * 1999-12-22 2002-03-26 International Business Machines Corporation CVD/PVD/CVD/PVD fill process
US6420262B1 (en) 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US6376370B1 (en) 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US7262130B1 (en) * 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US7211512B1 (en) * 2000-01-18 2007-05-01 Micron Technology, Inc. Selective electroless-plated copper metallization
US6303486B1 (en) * 2000-01-28 2001-10-16 Advanced Micro Devices, Inc. Method of fabricating copper-based semiconductor devices using a sacrificial dielectric layer and an unconstrained copper anneal
US6355555B1 (en) * 2000-01-28 2002-03-12 Advanced Micro Devices, Inc. Method of fabricating copper-based semiconductor devices using a sacrificial dielectric layer
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
DE10008572B4 (de) * 2000-02-24 2007-08-09 Infineon Technologies Ag Verbindungseinrichtung für Leistungshalbleitermodule
US6384448B1 (en) 2000-02-28 2002-05-07 Micron Technology, Inc. P-channel dynamic flash memory cells with ultrathin tunnel oxides
US6639835B2 (en) 2000-02-29 2003-10-28 Micron Technology, Inc. Static NVRAM with ultra thin tunnel oxides
US6486063B2 (en) * 2000-03-02 2002-11-26 Tokyo Electron Limited Semiconductor device manufacturing method for a copper connection
US6344125B1 (en) * 2000-04-06 2002-02-05 International Business Machines Corporation Pattern-sensitive electrolytic metal plating
US6423629B1 (en) * 2000-05-31 2002-07-23 Kie Y. Ahn Multilevel copper interconnects with low-k dielectrics and air gaps
US6674167B1 (en) * 2000-05-31 2004-01-06 Micron Technology, Inc. Multilevel copper interconnect with double passivation
US6258709B1 (en) 2000-06-07 2001-07-10 Micron Technology, Inc. Formation of electrical interconnect lines by selective metal etch
US6525425B1 (en) * 2000-06-14 2003-02-25 Advanced Micro Devices, Inc. Copper interconnects with improved electromigration resistance and low resistivity
US6346479B1 (en) 2000-06-14 2002-02-12 Advanced Micro Devices, Inc. Method of manufacturing a semiconductor device having copper interconnects
US6548395B1 (en) * 2000-11-16 2003-04-15 Advanced Micro Devices, Inc. Method of promoting void free copper interconnects
US6776893B1 (en) 2000-11-20 2004-08-17 Enthone Inc. Electroplating chemistry for the CU filling of submicron features of VLSI/ULSI interconnect
US7270724B2 (en) 2000-12-13 2007-09-18 Uvtech Systems, Inc. Scanning plasma reactor
KR100364260B1 (ko) * 2001-01-05 2002-12-11 삼성전자 주식회사 반도체 집적 회로의 제조 방법
US6773683B2 (en) 2001-01-08 2004-08-10 Uvtech Systems, Inc. Photocatalytic reactor system for treating flue effluents
US6383920B1 (en) 2001-01-10 2002-05-07 International Business Machines Corporation Process of enclosing via for improved reliability in dual damascene interconnects
KR100379551B1 (ko) * 2001-03-09 2003-04-10 주식회사 하이닉스반도체 듀얼 다마신 공정을 이용한 반도체 소자의 제조방법
US7224063B2 (en) 2001-06-01 2007-05-29 International Business Machines Corporation Dual-damascene metallization interconnection
US20020192944A1 (en) * 2001-06-13 2002-12-19 Sonderman Thomas J. Method and apparatus for controlling a thickness of a copper film
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US20030008243A1 (en) * 2001-07-09 2003-01-09 Micron Technology, Inc. Copper electroless deposition technology for ULSI metalization
US6692830B2 (en) * 2001-07-31 2004-02-17 Flex Products, Inc. Diffractive pigment flakes and compositions
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US6873027B2 (en) 2001-10-26 2005-03-29 International Business Machines Corporation Encapsulated energy-dissipative fuse for integrated circuits and method of making the same
KR100453957B1 (ko) * 2001-12-20 2004-10-20 동부전자 주식회사 듀얼 다마신을 이용한 전원 배선 제조 방법
US6620635B2 (en) 2002-02-20 2003-09-16 International Business Machines Corporation Damascene resistor and method for measuring the width of same
US6624515B1 (en) * 2002-03-11 2003-09-23 Micron Technology, Inc. Microelectronic die including low RC under-layer interconnects
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6518185B1 (en) * 2002-04-22 2003-02-11 Advanced Micro Devices, Inc. Integration scheme for non-feature-size dependent cu-alloy introduction
US6656840B2 (en) 2002-04-29 2003-12-02 Applied Materials Inc. Method for forming silicon containing layers on a substrate
US6620724B1 (en) * 2002-05-09 2003-09-16 Infineon Technologies Ag Low resistivity deep trench fill for DRAM and EDRAM applications
US6649513B1 (en) 2002-05-15 2003-11-18 Taiwan Semiconductor Manufacturing Company Copper back-end-of-line by electropolish
KR100456259B1 (ko) * 2002-07-15 2004-11-09 주식회사 하이닉스반도체 반도체 소자의 구리 배선 형성방법
US6784049B2 (en) * 2002-08-28 2004-08-31 Micron Technology, Inc. Method for forming refractory metal oxide layers with tetramethyldisiloxane
US7030042B2 (en) 2002-08-28 2006-04-18 Micron Technology, Inc. Systems and methods for forming tantalum oxide layers and tantalum precursor compounds
US6709970B1 (en) * 2002-09-03 2004-03-23 Samsung Electronics Co., Ltd. Method for creating a damascene interconnect using a two-step electroplating process
US6919639B2 (en) * 2002-10-15 2005-07-19 The Board Of Regents, The University Of Texas System Multiple copper vias for integrated circuit metallization and methods of fabricating same
US6980395B2 (en) * 2002-10-31 2005-12-27 International Business Machines Corporation Enhanced coplanar conductance structure for inductive heads
US20040108217A1 (en) * 2002-12-05 2004-06-10 Dubin Valery M. Methods for forming copper interconnect structures by co-plating of noble metals and structures formed thereby
US7273808B1 (en) 2003-02-03 2007-09-25 Novellus Systems, Inc. Reactive barrier/seed preclean process for damascene process
KR100576363B1 (ko) * 2003-05-30 2006-05-03 삼성전자주식회사 인시투 화학기상증착 금속 공정 및 그에 사용되는화학기상증착 장비
JP2005039142A (ja) * 2003-07-18 2005-02-10 Nec Electronics Corp 半導体装置の製造方法
US7220665B2 (en) 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
US7026244B2 (en) * 2003-08-08 2006-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Low resistance and reliable copper interconnects by variable doping
US7064068B2 (en) * 2004-01-23 2006-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method to improve planarity of electroplated copper
KR100613283B1 (ko) 2004-12-27 2006-08-21 동부일렉트로닉스 주식회사 반도체 소자의 배선 형성방법
CN1983550A (zh) * 2005-12-14 2007-06-20 中芯国际集成电路制造(上海)有限公司 提高可靠性和成品率的消除铜位错的方法
US20070281456A1 (en) * 2006-05-30 2007-12-06 Hynix Semiconductor Inc. Method of forming line of semiconductor device
KR100750950B1 (ko) * 2006-07-18 2007-08-22 삼성전자주식회사 반도체 장치의 배선 구조물 및 그 형성 방법, 비휘발성메모리 장치 및 그 제조 방법
WO2008084524A1 (ja) * 2007-01-09 2008-07-17 Fujitsu Microelectronics Limited 半導体装置の製造方法、および半導体装置の製造装置
KR20090053991A (ko) * 2007-11-26 2009-05-29 주식회사 동부하이텍 반도체 소자의 제조방법
US20090168247A1 (en) * 2007-12-28 2009-07-02 Christian Rene Bonhote Magnetic head with embedded solder connection and method for manufacture thereof
US8252653B2 (en) * 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
US8198671B2 (en) * 2009-04-22 2012-06-12 Applied Materials, Inc. Modification of charge trap silicon nitride with oxygen plasma
US8575000B2 (en) * 2011-07-19 2013-11-05 SanDisk Technologies, Inc. Copper interconnects separated by air gaps and method of making thereof
CN102332425A (zh) * 2011-09-23 2012-01-25 复旦大学 一种提升铜互连技术中抗电迁移特性的方法
US8796853B2 (en) 2012-02-24 2014-08-05 International Business Machines Corporation Metallic capped interconnect structure with high electromigration resistance and low resistivity
US8802558B2 (en) 2012-11-07 2014-08-12 International Business Machines Corporation Copper interconnect structures and methods of making same
US8999767B2 (en) * 2013-01-31 2015-04-07 International Business Machines Corporation Electronic fuse having an insulation layer
US9425092B2 (en) 2013-03-15 2016-08-23 Applied Materials, Inc. Methods for producing interconnects in semiconductor devices
JP6385856B2 (ja) * 2015-02-26 2018-09-05 東京エレクトロン株式会社 Cu配線の形成方法および半導体装置の製造方法
US20160276156A1 (en) * 2015-03-16 2016-09-22 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing process thereof
US9704804B1 (en) 2015-12-18 2017-07-11 Texas Instruments Incorporated Oxidation resistant barrier metal process for semiconductor devices
US11664271B2 (en) 2019-05-02 2023-05-30 International Business Machines Corporation Dual damascene with short liner
CN112825307B (zh) * 2019-11-21 2022-04-29 中芯国际集成电路制造(上海)有限公司 一种互连结构的形成方法及互连结构
CN113363204B (zh) * 2020-03-05 2022-04-12 中芯国际集成电路制造(深圳)有限公司 一种互连结构的形成方法

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5010032A (en) * 1985-05-01 1991-04-23 Texas Instruments Incorporated Process for making CMOS device with both P+ and N+ gates including refractory metal silicide and nitride interconnects
JPS639925A (ja) * 1986-06-30 1988-01-16 Nec Corp 半導体装置の製造方法
JPS6373660A (ja) * 1986-09-17 1988-04-04 Fujitsu Ltd 半導体装置
US4960732A (en) * 1987-02-19 1990-10-02 Advanced Micro Devices, Inc. Contact plug and interconnect employing a barrier lining and a backfilled conductor material
JPS63229814A (ja) * 1987-03-19 1988-09-26 Nec Corp 半導体集積回路の製造方法
US4994410A (en) * 1988-04-04 1991-02-19 Motorola, Inc. Method for device metallization by forming a contact plug and interconnect using a silicide/nitride process
US4938996A (en) * 1988-04-12 1990-07-03 Ziv Alan R Via filling by selective laser chemical vapor deposition
US4920072A (en) * 1988-10-31 1990-04-24 Texas Instruments Incorporated Method of forming metal interconnects
US4920073A (en) * 1989-05-11 1990-04-24 Texas Instruments, Incorporated Selective silicidation process using a titanium nitride protective layer
JPH038359A (ja) * 1989-06-06 1991-01-16 Fujitsu Ltd 半導体装置の製造方法
US5091339A (en) * 1990-07-23 1992-02-25 Microelectronics And Computer Technology Corporation Trenching techniques for forming vias and channels in multilayer electrical interconnects
US5250465A (en) * 1991-01-28 1993-10-05 Fujitsu Limited Method of manufacturing semiconductor devices
JP2533414B2 (ja) * 1991-04-09 1996-09-11 三菱電機株式会社 半導体集積回路装置の配線接続構造およびその製造方法
US5292558A (en) * 1991-08-08 1994-03-08 University Of Texas At Austin, Texas Process for metal deposition for microelectronic interconnections
JPH05206064A (ja) * 1991-12-10 1993-08-13 Nec Corp 半導体装置の製造方法
US5300813A (en) * 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5262354A (en) * 1992-02-26 1993-11-16 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5739579A (en) * 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
CA2082771C (en) * 1992-11-12 1998-02-10 Vu Quoc Ho Method for forming interconnect structures for integrated circuits
US5439731A (en) * 1994-03-11 1995-08-08 Cornell Research Goundation, Inc. Interconnect structures containing blocked segments to minimize stress migration and electromigration damage
KR0144956B1 (ko) * 1994-06-10 1998-08-17 김광호 반도체 장치의 배선 구조 및 그 형성방법
JPH0810693A (ja) * 1994-06-30 1996-01-16 Dainippon Screen Mfg Co Ltd レジスト膜の乾燥方法及び装置
US5521119A (en) * 1994-07-13 1996-05-28 Taiwan Semiconductor Manufacturing Co. Post treatment of tungsten etching back
JPH08181141A (ja) * 1994-12-21 1996-07-12 Yamaha Corp 配線形成法
DE69533823D1 (de) * 1994-12-29 2005-01-05 St Microelectronics Inc Elektrische Verbindungsstruktur auf einer integrierten Schaltungsanordnung mit einem Zapfen mit vergrössertem Kopf
KR100413890B1 (ko) * 1995-03-02 2004-03-19 동경 엘렉트론 주식회사 반도체장치의제조방법및제조장치
JP3266492B2 (ja) * 1995-03-02 2002-03-18 川崎マイクロエレクトロニクス株式会社 半導体装置の製造方法
JP2728025B2 (ja) * 1995-04-13 1998-03-18 日本電気株式会社 半導体装置の製造方法
US5877087A (en) * 1995-11-21 1999-03-02 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
US5824599A (en) * 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US5895266A (en) * 1996-02-26 1999-04-20 Applied Materials, Inc. Titanium nitride barrier layers
US5814557A (en) * 1996-05-20 1998-09-29 Motorola, Inc. Method of forming an interconnect structure
US5693563A (en) * 1996-07-15 1997-12-02 Chartered Semiconductor Manufacturing Pte Ltd. Etch stop for copper damascene process
JP3261317B2 (ja) * 1996-08-30 2002-02-25 株式会社アルバック 銅配線製造方法、及び銅配線
JP3281816B2 (ja) * 1996-09-02 2002-05-13 株式会社アルバック 銅配線製造方法
US5933753A (en) * 1996-12-16 1999-08-03 International Business Machines Corporation Open-bottomed via liner structure and method for fabricating same
WO1998027585A1 (en) * 1996-12-16 1998-06-25 International Business Machines Corporation Electroplated interconnection structures on integrated circuit chips
KR100243272B1 (ko) * 1996-12-20 2000-03-02 윤종용 반도체 소자의 콘택 플러그 형성방법
US5858873A (en) * 1997-03-12 1999-01-12 Lucent Technologies Inc. Integrated circuit having amorphous silicide layer in contacts and vias and method of manufacture thereof
US5930669A (en) * 1997-04-03 1999-07-27 International Business Machines Corporation Continuous highly conductive metal wiring structures and method for fabricating the same
JP3390329B2 (ja) * 1997-06-27 2003-03-24 日本電気株式会社 半導体装置およびその製造方法

Also Published As

Publication number Publication date
US5989623A (en) 1999-11-23
EP1021827B1 (de) 2007-04-25
EP1021827A1 (de) 2000-07-26
JP4615707B2 (ja) 2011-01-19
KR20010023055A (ko) 2001-03-26
JP2001516146A (ja) 2001-09-25
KR100506139B1 (ko) 2005-08-05
DE69837674D1 (de) 2007-06-06
WO1999009593A1 (en) 1999-02-25
US6207222B1 (en) 2001-03-27

Similar Documents

Publication Publication Date Title
DE69837674T2 (de) Doppeldamaszen-metallisierung
DE69836114T2 (de) Kupferverdrahtung mit verbessertem Elektromigrationswiderstand und reduzierter Defektempfindlichkeit
DE69833140T2 (de) Abscheidung einer Diffusionsbarriereschicht
DE69929496T2 (de) Struktur für Kupferleitungsverbindungsleitung, die eine metallische Keimschicht umfasst
DE102005052000B3 (de) Halbleiterbauelement mit einer Kontaktstruktur auf der Grundlage von Kupfer und Wolfram
DE112006000465B4 (de) Halbleiterbauelemente mit Barriereschichten für leitende Strukturmerkmale sowie zugehörige Herstellungsverfahren
DE4342047B4 (de) Halbleiterbauelement mit einer Diffusionsbarrierenschichtanordnung und Verfahren zu seiner Herstellung
EP1724827B1 (de) Verfahren zur Herstellung einer Leitstruktur mit Barrieren-Schichtstapel und entsprechende Leitstruktur
US7112528B2 (en) Fully planarized dual damascene metallization using copper line interconnect and selective CVD aluminum plug
DE19700868C2 (de) Verfahren zum Herstellen von Verbindungen in einem Halbleiterbauteil
DE102005057075B4 (de) Halbleiterbauelement mit einer Kupferlegierung als Barrierenschicht in einer Kupfermetallisierungsschicht und Verfahren zu dessen Herstellung
DE102008063430B4 (de) Verfahren zur Herstellung eines Metallisierungssystem eines Halbleiterbauelements mit zusätzlich verjüngten Übergangskontakten
DE102007004860B4 (de) Verfahren zur Herstellung einer Kupfer-basierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein verbessertes Integrationsschema
DE102005046975A1 (de) Technik zur Herstellung einer kupferbasierten Metallisierungsschicht mit einer leitenden Deckschicht
DE102008007001A1 (de) Vergrößern des Widerstandsverhaltens gegenüber Elektromigration in einer Verbindungsstruktur eines Halbleiterbauelements durch Bilden einer Legierung
DE102007046846A1 (de) Seitenwandschutzschicht
DE102007057682A1 (de) Hybridkontaktstruktur mit Kontakt mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE102005035740A1 (de) Verfahren zur Herstellung einer isolierenden Barrierenschicht für eine Kupfermetallisierungsschicht
DE102005024912A1 (de) Technik zur Herstellung von kupferenthaltenden Leitungen, die in einem Dielektrikum mit kleinem ε eingebettet sind, durch Vorsehen einer Versteifungsschicht
DE102005052001B4 (de) Halbleiterbauelement mit einem Kontaktpfropfen auf Kupferbasis und ein Verfahren zur Herstellung desselben
DE102006056624B4 (de) Verfahren zur Herstellung einer selbstjustierten CuSiN-Deckschicht in einem Mikrostrukturbauelement
DE102010063294B4 (de) Verfahren zur Herstellung von Metallisierungssystemen von Halbleiterbauelementen, die eine Kupfer/Silizium-Verbindung als ein Barrierenmaterial aufweisen
DE19752637A1 (de) Verfahren zur Herstellung einer metallischen Leitung einer Halbleitereinrichtung
DE102007004884A1 (de) Verfahren zur Herstellung einer Metallschicht über einem strukturierten Dielektrikum durch stromlose Abscheidung unter Anwendung einer selektiv vorgesehenen Aktivierungsschicht
DE102009055433B4 (de) Kontaktelemente von Halbleiterbauelementen, die auf der Grundlage einer teilweise aufgebrachten Aktivierungsschicht hergestellt sind, und entsprechende Herstellungsverfahren

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee