DE69840276D1 - Herstellung eines Halbleiterbauelements mit Luftspalten für niedrigstkapazitive Leiterbahnen - Google Patents

Herstellung eines Halbleiterbauelements mit Luftspalten für niedrigstkapazitive Leiterbahnen

Info

Publication number
DE69840276D1
DE69840276D1 DE69840276T DE69840276T DE69840276D1 DE 69840276 D1 DE69840276 D1 DE 69840276D1 DE 69840276 T DE69840276 T DE 69840276T DE 69840276 T DE69840276 T DE 69840276T DE 69840276 D1 DE69840276 D1 DE 69840276D1
Authority
DE
Germany
Prior art keywords
gaps
sacrificial material
production
air gaps
low
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69840276T
Other languages
English (en)
Inventor
Paul A Kohl
Qiang Zhao
Sue Ann Allen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Georgia Tech Research Institute
Georgia Tech Research Corp
Original Assignee
Georgia Tech Research Institute
Georgia Tech Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Georgia Tech Research Institute, Georgia Tech Research Corp filed Critical Georgia Tech Research Institute
Application granted granted Critical
Publication of DE69840276D1 publication Critical patent/DE69840276D1/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12044OLED
DE69840276T 1997-01-21 1998-01-21 Herstellung eines Halbleiterbauelements mit Luftspalten für niedrigstkapazitive Leiterbahnen Expired - Lifetime DE69840276D1 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US3584897P 1997-01-21 1997-01-21

Publications (1)

Publication Number Publication Date
DE69840276D1 true DE69840276D1 (de) 2009-01-08

Family

ID=21885155

Family Applications (2)

Application Number Title Priority Date Filing Date
DE69820232T Expired - Lifetime DE69820232T2 (de) 1997-01-21 1998-01-21 Verfahren zur herstellung einer halbleitervorrichtung mit luftspalten für verbindungen mit ultraniedriger kapazität
DE69840276T Expired - Lifetime DE69840276D1 (de) 1997-01-21 1998-01-21 Herstellung eines Halbleiterbauelements mit Luftspalten für niedrigstkapazitive Leiterbahnen

Family Applications Before (1)

Application Number Title Priority Date Filing Date
DE69820232T Expired - Lifetime DE69820232T2 (de) 1997-01-21 1998-01-21 Verfahren zur herstellung einer halbleitervorrichtung mit luftspalten für verbindungen mit ultraniedriger kapazität

Country Status (11)

Country Link
US (2) US6165890A (de)
EP (2) EP1376684B1 (de)
JP (3) JP4535303B2 (de)
KR (1) KR100532801B1 (de)
CN (1) CN1252810C (de)
AT (2) ATE415704T1 (de)
AU (1) AU736875B2 (de)
DE (2) DE69820232T2 (de)
HK (1) HK1061742A1 (de)
RU (1) RU2204181C2 (de)
WO (1) WO1998032169A1 (de)

Families Citing this family (152)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6674562B1 (en) * 1994-05-05 2004-01-06 Iridigm Display Corporation Interferometric modulation of radiation
US7297471B1 (en) 2003-04-15 2007-11-20 Idc, Llc Method for manufacturing an array of interferometric modulators
US7550794B2 (en) 2002-09-20 2009-06-23 Idc, Llc Micromechanical systems device comprising a displaceable electrode and a charge-trapping layer
DE69820232T2 (de) * 1997-01-21 2004-09-16 Georgia Tech Research Corp. Verfahren zur herstellung einer halbleitervorrichtung mit luftspalten für verbindungen mit ultraniedriger kapazität
JP2921759B1 (ja) * 1998-03-31 1999-07-19 株式会社半導体理工学研究センター 半導体装置の製造方法
KR100703140B1 (ko) 1998-04-08 2007-04-05 이리다임 디스플레이 코포레이션 간섭 변조기 및 그 제조 방법
US8928967B2 (en) 1998-04-08 2015-01-06 Qualcomm Mems Technologies, Inc. Method and device for modulating light
US6071805A (en) * 1999-01-25 2000-06-06 Chartered Semiconductor Manufacturing, Ltd. Air gap formation for high speed IC processing
US6365489B1 (en) * 1999-06-15 2002-04-02 Micron Technology, Inc. Creation of subresolution features via flow characteristics
US7276788B1 (en) * 1999-08-25 2007-10-02 Micron Technology, Inc. Hydrophobic foamed insulators for high density circuits
US6780755B2 (en) * 1999-11-18 2004-08-24 University Of South Florida Gas dome dielectric system for ULSI interconnects
FR2803438B1 (fr) * 1999-12-29 2002-02-08 Commissariat Energie Atomique Procede de realisation d'une structure d'interconnexions comprenant une isolation electrique incluant des cavites d'air ou de vide
US6261942B1 (en) * 2000-01-24 2001-07-17 Chartered Semiconductor Manufacturing Ltd. Dual metal-oxide layer as air bridge
US6413827B2 (en) 2000-02-14 2002-07-02 Paul A. Farrar Low dielectric constant shallow trench isolation
US6677209B2 (en) 2000-02-14 2004-01-13 Micron Technology, Inc. Low dielectric constant STI with SOI devices
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6890847B1 (en) 2000-02-22 2005-05-10 Micron Technology, Inc. Polynorbornene foam insulation for integrated circuits
JP2002194547A (ja) * 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
US6509623B2 (en) 2000-06-15 2003-01-21 Newport Fab, Llc Microelectronic air-gap structures and methods of forming the same
US6524944B1 (en) * 2000-07-17 2003-02-25 Advanced Micro Devices, Inc. Low k ILD process by removable ILD
TWI226103B (en) 2000-08-31 2005-01-01 Georgia Tech Res Inst Fabrication of semiconductor devices with air gaps for ultra low capacitance interconnections and methods of making same
US6690081B2 (en) * 2000-11-18 2004-02-10 Georgia Tech Research Corporation Compliant wafer-level packaging devices and methods of fabrication
US6380106B1 (en) * 2000-11-27 2002-04-30 Chartered Semiconductor Manufacturing Inc. Method for fabricating an air gap metallization scheme that reduces inter-metal capacitance of interconnect structures
JP2002179875A (ja) * 2000-12-08 2002-06-26 Jsr Corp 耐熱性樹脂組成物
TW462122B (en) * 2000-12-18 2001-11-01 United Microelectronics Corp Air gap semiconductor structure and the manufacturing method thereof
US6472312B2 (en) * 2001-01-16 2002-10-29 Taiwan Semiconductor Manufacturing Co., Ltd Methods for inhibiting microelectronic damascene processing induced low dielectric constant dielectric layer physical degradation
US6627534B1 (en) * 2001-02-05 2003-09-30 Henry F. Lee Semiconductor fabrication process and structure with minimal capacitive coupling between conductors
US6463632B2 (en) * 2001-02-07 2002-10-15 Hans Oetiker Ag Maschinen-Und Apparatefabrik Guide arrangement for tightening tool emplacement in hose clamps provided with plastically deformable ears
US6785458B2 (en) * 2001-02-11 2004-08-31 Georgia Tech Research Corporation Guided-wave optical interconnections embedded within a microelectronic wafer-level batch package
JP4278333B2 (ja) * 2001-03-13 2009-06-10 富士通株式会社 半導体装置及びその製造方法
US20030012539A1 (en) * 2001-04-30 2003-01-16 Tony Mule' Backplane, printed wiring board, and/or multi-chip module-level optical interconnect layer having embedded air-gap technologies and methods of fabrication
WO2003001251A1 (en) * 2001-06-25 2003-01-03 Massachusetts Institute Of Technology Air gaps for optical applications
US6835591B2 (en) 2001-07-25 2004-12-28 Nantero, Inc. Methods of nanotube films and articles
US6706402B2 (en) 2001-07-25 2004-03-16 Nantero, Inc. Nanotube films and articles
US6574130B2 (en) 2001-07-25 2003-06-03 Nantero, Inc. Hybrid circuit having nanotube electromechanical memory
US6643165B2 (en) 2001-07-25 2003-11-04 Nantero, Inc. Electromechanical memory having cell selection circuitry constructed with nanotube technology
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
DE10142223C2 (de) * 2001-08-29 2003-10-16 Infineon Technologies Ag Verfahren zum Erzeugen von Hohlräumen mit Submikrometer-Abmessungen in einer Halbleitereinrichtung mittels Polymerisation
DE10142201C2 (de) * 2001-08-29 2003-10-16 Infineon Technologies Ag Verfahren zur Erzeugung von Hohlräumen mit Submikrometer-Strukturen in einer Halbleitereinrichtung mittels einer gefrierenden Prozessflüssigkeit
DE10142224C2 (de) 2001-08-29 2003-11-06 Infineon Technologies Ag Verfahren zum Erzeugen von Hohlräumen mit Submikrometer-Abmessungen in einer Halbleitereinrichtung mittels eines Quellvorgangs
US6555467B2 (en) * 2001-09-28 2003-04-29 Sharp Laboratories Of America, Inc. Method of making air gaps copper interconnect
US6784028B2 (en) 2001-12-28 2004-08-31 Nantero, Inc. Methods of making electromechanical three-trace junction devices
US6627529B2 (en) * 2002-02-07 2003-09-30 Micron Technology, Inc. Capacitance reduction by tunnel formation for use with semiconductor device
US7141885B2 (en) * 2002-02-13 2006-11-28 Samsung Electronics Co., Ltd. Wafer level package with air pads and manufacturing method thereof
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6946382B2 (en) * 2002-04-02 2005-09-20 Dow Global Technologies Inc. Process for making air gap containing semiconducting devices and resulting semiconducting device
US6780753B2 (en) * 2002-05-31 2004-08-24 Applied Materials Inc. Airgap for semiconductor devices
DE10227615A1 (de) * 2002-06-20 2004-01-15 Infineon Technologies Ag Schicht-Anordnung und Verfahren zum Herstellen einer Schicht-Anordnung
DE10238024B4 (de) * 2002-08-20 2007-03-08 Infineon Technologies Ag Verfahren zur Integration von Luft als Dielektrikum in Halbleitervorrichtungen
JP4574145B2 (ja) * 2002-09-13 2010-11-04 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. エアギャップ形成
US7781850B2 (en) 2002-09-20 2010-08-24 Qualcomm Mems Technologies, Inc. Controlling electromechanical behavior of structures within a microelectromechanical systems device
JP2004274020A (ja) * 2002-09-24 2004-09-30 Rohm & Haas Electronic Materials Llc 電子デバイス製造
US6867125B2 (en) * 2002-09-26 2005-03-15 Intel Corporation Creating air gap in multi-level metal interconnects using electron beam to remove sacrificial material
AU2003301344A1 (en) 2002-10-16 2004-05-04 Georgia Tech Research Corporation Polymers, methods of use thereof, and methods of decomposition thereof
US20040075159A1 (en) * 2002-10-17 2004-04-22 Nantero, Inc. Nanoscopic tunnel
US20040077107A1 (en) * 2002-10-17 2004-04-22 Nantero, Inc. Method of making nanoscopic tunnel
US20040087162A1 (en) * 2002-10-17 2004-05-06 Nantero, Inc. Metal sacrificial layer
JP2004149607A (ja) * 2002-10-29 2004-05-27 Jsr Corp 多層配線間の空洞形成用重合体およびその製造方法
JP3775375B2 (ja) * 2002-10-29 2006-05-17 Jsr株式会社 多層配線間の空洞形成方法
US20040084774A1 (en) * 2002-11-02 2004-05-06 Bo Li Gas layer formation materials
US6924222B2 (en) * 2002-11-21 2005-08-02 Intel Corporation Formation of interconnect structures by removing sacrificial material with supercritical carbon dioxide
US7294934B2 (en) * 2002-11-21 2007-11-13 Intel Corporation Low-K dielectric structure and method
US6861332B2 (en) * 2002-11-21 2005-03-01 Intel Corporation Air gap interconnect method
US7598114B2 (en) 2003-02-05 2009-10-06 Dow Global Technologies Inc. Sacrificial benzocyclobutene/norbornene polymers for making air gap semiconductor devices
WO2004072133A1 (en) * 2003-02-05 2004-08-26 Dow Global Technologies Inc. Sacrificial styrene benzocyclobutene copolymers for making air gap semiconductor devices
US7585785B2 (en) * 2003-02-05 2009-09-08 Dow Global Technologies Sacrificial benzocyclobutene copolymers for making air gap semiconductor devices
TW570896B (en) 2003-05-26 2004-01-11 Prime View Int Co Ltd A method for fabricating an interference display cell
US6693355B1 (en) 2003-05-27 2004-02-17 Motorola, Inc. Method of manufacturing a semiconductor device with an air gap formed using a photosensitive material
US7221495B2 (en) * 2003-06-24 2007-05-22 Idc Llc Thin film precursor stack for MEMS manufacturing
US6915054B2 (en) * 2003-07-15 2005-07-05 Agilent Technologies, Inc. Methods for producing waveguides
FR2858876B1 (fr) * 2003-08-12 2006-03-03 St Microelectronics Sa Procede de formation sous une couche mince d'un premier materiau de portions d'un autre materiau et/ou de zones de vide
US20050137882A1 (en) * 2003-12-17 2005-06-23 Cameron Don T. Method for authenticating goods
US20050192409A1 (en) * 2004-02-13 2005-09-01 Rhodes Larry F. Polymers of polycyclic olefins having a polyhedral oligosilsesquioxane pendant group and uses thereof
US7638440B2 (en) * 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
WO2005087974A2 (en) * 2004-03-05 2005-09-22 Applied Materials, Inc. Cvd processes for the deposition of amorphous carbon films
US20050199585A1 (en) * 2004-03-12 2005-09-15 Applied Materials, Inc. Method of depositing an amorphous carbon film for metal etch hardmask application
US7079740B2 (en) * 2004-03-12 2006-07-18 Applied Materials, Inc. Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides
DE102004013851B4 (de) * 2004-03-20 2021-06-17 Robert Bosch Gmbh Verfahren zur Herstellung eines Interferenzfilter aus alternierenden Luft-Halbleiter-Schichtsystemen sowie ein mit dem Verfahren hergestellter Infrarotfilter
US7227433B2 (en) * 2004-03-31 2007-06-05 Intel Corporation Electro mechanical device having a sealed cavity
US7344972B2 (en) * 2004-04-21 2008-03-18 Intel Corporation Photosensitive dielectric layer
US20050277284A1 (en) * 2004-05-10 2005-12-15 Kabushiki Kaisha Toshiba Method for manufacturing a semiconductor device
EP1855142A3 (de) 2004-07-29 2008-07-30 Idc, Llc System und Verfahren zum mikroelektromechanischen Betrieb eines interferometrischen Modulators
US8263316B2 (en) * 2004-10-01 2012-09-11 Rohm And Haas Electronic Materials Llc Electronic device manufacture
US7125782B2 (en) * 2004-10-14 2006-10-24 Infineon Technologies Ag Air gaps between conductive lines for reduced RC delay of integrated circuits
US7235493B2 (en) * 2004-10-18 2007-06-26 Micron Technology, Inc. Low-k dielectric process for multilevel interconnection using mircocavity engineering during electric circuit manufacture
CN1787186A (zh) 2004-12-09 2006-06-14 富士通株式会社 半导体器件制造方法
US7396732B2 (en) * 2004-12-17 2008-07-08 Interuniversitair Microelektronica Centrum Vzw (Imec) Formation of deep trench airgaps and related applications
KR100583520B1 (ko) * 2004-12-30 2006-05-25 동부일렉트로닉스 주식회사 반도체 소자의 sti 형성 방법
US20060273065A1 (en) * 2005-06-02 2006-12-07 The Regents Of The University Of California Method for forming free standing microstructures
US7629225B2 (en) 2005-06-13 2009-12-08 Infineon Technologies Ag Methods of manufacturing semiconductor devices and structures thereof
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
EP2495212A3 (de) 2005-07-22 2012-10-31 QUALCOMM MEMS Technologies, Inc. MEMS-Vorrichtungen mit Stützstrukturen und Herstellungsverfahren dafür
WO2007013992A1 (en) 2005-07-22 2007-02-01 Qualcomm Incorporated Support structure for mems device and methods therefor
US7601629B2 (en) * 2005-12-20 2009-10-13 Texas Instruments Incorporated Semiconductive device fabricated using subliming materials to form interlevel dielectrics
US7795061B2 (en) * 2005-12-29 2010-09-14 Qualcomm Mems Technologies, Inc. Method of creating MEMS device cavities by a non-etching process
US7916980B2 (en) 2006-01-13 2011-03-29 Qualcomm Mems Technologies, Inc. Interconnect structure for MEMS device
US7382515B2 (en) 2006-01-18 2008-06-03 Qualcomm Mems Technologies, Inc. Silicon-rich silicon nitrides as etch stops in MEMS manufacture
US7450295B2 (en) 2006-03-02 2008-11-11 Qualcomm Mems Technologies, Inc. Methods for producing MEMS with protective coatings using multi-component sacrificial layers
US8120168B2 (en) 2006-03-21 2012-02-21 Promerus Llc Methods and materials useful for chip stacking, chip and wafer bonding
WO2007109326A2 (en) * 2006-03-21 2007-09-27 Promerus Llc Methods and materials useful for chip stacking, chip and wafer bonding
US7321457B2 (en) 2006-06-01 2008-01-22 Qualcomm Incorporated Process and structure for fabrication of MEMS device having isolated edge posts
US20070286954A1 (en) * 2006-06-13 2007-12-13 Applied Materials, Inc. Methods for low temperature deposition of an amorphous carbon layer
JP4327183B2 (ja) * 2006-07-31 2009-09-09 株式会社日立製作所 内燃機関の高圧燃料ポンプ制御装置
US7763546B2 (en) 2006-08-02 2010-07-27 Qualcomm Mems Technologies, Inc. Methods for reducing surface charges during the manufacture of microelectromechanical systems devices
JP2008118264A (ja) * 2006-11-01 2008-05-22 Seiko Epson Corp 音叉振動子およびその製造方法
KR20090104896A (ko) * 2007-01-26 2009-10-06 어플라이드 머티어리얼스, 인코포레이티드 공기―갭 ild를 위한 pecvd-증착된 희생 폴리머 필름의 uv 경화
WO2008094792A1 (en) * 2007-01-29 2008-08-07 Applied Materials, Inc. Novel air gap integration scheme
US7733552B2 (en) 2007-03-21 2010-06-08 Qualcomm Mems Technologies, Inc MEMS cavity-coating layers and methods
US7719752B2 (en) 2007-05-11 2010-05-18 Qualcomm Mems Technologies, Inc. MEMS structures, methods of fabricating MEMS components on separate substrates and assembly of same
DE102007022509B4 (de) * 2007-05-14 2015-10-22 Robert Bosch Gmbh Mikromechanisches Bauteil mit Dünnschichtverkappung und Herstellungsverfahrung
FR2916303B1 (fr) * 2007-05-15 2009-07-31 Commissariat Energie Atomique Procede de fabrication de cavites d'air utilisant des nanotubes
US7569488B2 (en) 2007-06-22 2009-08-04 Qualcomm Mems Technologies, Inc. Methods of making a MEMS device by monitoring a process parameter
US20090093128A1 (en) * 2007-10-08 2009-04-09 Martin Jay Seamons Methods for high temperature deposition of an amorphous carbon layer
US20090093100A1 (en) * 2007-10-09 2009-04-09 Li-Qun Xia Method for forming an air gap in multilevel interconnect structure
US7879683B2 (en) * 2007-10-09 2011-02-01 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
US20090269923A1 (en) * 2008-04-25 2009-10-29 Lee Sang M Adhesion and electromigration improvement between dielectric and conductive layers
US7851239B2 (en) 2008-06-05 2010-12-14 Qualcomm Mems Technologies, Inc. Low temperature amorphous silicon sacrificial layer for controlled adhesion in MEMS devices
US7864403B2 (en) 2009-03-27 2011-01-04 Qualcomm Mems Technologies, Inc. Post-release adjustment of interferometric modulator reflectivity
US7896692B2 (en) * 2009-05-15 2011-03-01 Leviton Manufacturing Co., Inc. Method of improving isolation between circuits on a printed circuit board
US8497203B2 (en) 2010-08-13 2013-07-30 International Business Machines Corporation Semiconductor structures and methods of manufacture
WO2012028278A1 (en) * 2010-09-02 2012-03-08 Merck Patent Gmbh Interlayer for electronic devices
US8659816B2 (en) 2011-04-25 2014-02-25 Qualcomm Mems Technologies, Inc. Mechanical layer and methods of making the same
US9653327B2 (en) 2011-05-12 2017-05-16 Applied Materials, Inc. Methods of removing a material layer from a substrate using water vapor treatment
CN102295267B (zh) * 2011-07-11 2014-04-23 清华大学 柔性mems减阻蒙皮的制造方法
US8518818B2 (en) 2011-09-16 2013-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Reverse damascene process
US9780335B2 (en) 2012-07-20 2017-10-03 3M Innovative Properties Company Structured lamination transfer films and methods
US9505948B2 (en) * 2012-12-17 2016-11-29 Promerus, Llc Thermally decomposable polymer compositions for forming microelectronic assemblies
CN104627949A (zh) * 2013-11-14 2015-05-20 盛美半导体设备(上海)有限公司 微电子机械系统结构形成方法
KR102092863B1 (ko) 2013-12-30 2020-03-24 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9385068B2 (en) 2014-03-05 2016-07-05 Northrop Grumman Systems Corporation Stacked interconnect structure and method of making the same
KR102190654B1 (ko) 2014-04-07 2020-12-15 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10435555B2 (en) 2014-05-29 2019-10-08 Az Electronic Materials (Luxembourg) S.A.R.L Void forming composition, semiconductor device provided with voids formed using composition, and method for manufacturing semiconductor device using composition
KR102194820B1 (ko) 2014-06-10 2020-12-24 삼성디스플레이 주식회사 수지 조성물, 이를 사용하는 표시 장치의 제조 방법 및 그 방법으로 제조된 표시 장치
RU2572099C1 (ru) * 2014-07-15 2015-12-27 Федеральное государственное бюджетное учреждение науки Физический институт им. П.Н. Лебедева Российской академии наук Способ локального удаления электропроводного оксидного слоя с диэлектрической подложки
US9224858B1 (en) 2014-07-29 2015-12-29 Globalfoundries Inc. Lateral double-diffused metal oxide semiconductor field effect transistor (LDMOSFET) with a below source isolation region and a method of forming the LDMOSFET
CN106575617A (zh) 2014-07-31 2017-04-19 Az电子材料(卢森堡)有限公司 牺牲膜用组合物、以及其制造方法、以及具备有使用该组合物而形成的空隙的半导体装置、以及使用了该组合物的半导体装置的制造方法
TWI590735B (zh) 2014-12-15 2017-07-01 財團法人工業技術研究院 訊號傳輸板及其製作方法
CN108369923B (zh) * 2015-09-23 2023-03-14 英特尔公司 防止过孔穿通的无掩模气隙
CN108602939A (zh) 2016-02-11 2018-09-28 Az电子材料(卢森堡)有限公司 聚合物、组合物、牺牲层的形成以及用于具有其的半导体装置的方法
US9896527B2 (en) 2016-03-01 2018-02-20 Saudi Arabian Oil Company Highly selective polynorbornene homopolymer membranes for natural gas upgrading
US10157777B2 (en) 2016-05-12 2018-12-18 Globalfoundries Inc. Air gap over transistor gate and related method
US10211146B2 (en) 2016-05-12 2019-02-19 Globalfoundries Inc. Air gap over transistor gate and related method
KR102086766B1 (ko) 2016-07-29 2020-03-09 주식회사 엘지화학 고리형 올레핀계 공중합체 및 이의 제조 방법
US10662274B2 (en) 2016-12-02 2020-05-26 Georgia Tech Research Corporation Self-immolative polymers, articles thereof, and methods of making and using same
US10950442B2 (en) * 2018-07-06 2021-03-16 Tokyo Electron Limited Methods to reshape spacers for multi-patterning processes using thermal decomposition materials
JP7065741B2 (ja) * 2018-09-25 2022-05-12 東京エレクトロン株式会社 半導体装置の製造方法
JP7045974B2 (ja) * 2018-11-14 2022-04-01 東京エレクトロン株式会社 デバイスの製造方法
US10692758B1 (en) 2018-12-06 2020-06-23 United Microelectronics Corp. Semiconductor device and method to fabricate the semiconductor device
JP7287076B2 (ja) * 2018-12-11 2023-06-06 住友ベークライト株式会社 樹脂組成物および電子デバイス製造方法
JP7169910B2 (ja) * 2019-03-11 2022-11-11 東京エレクトロン株式会社 半導体装置の製造方法
CN109935549B (zh) * 2019-03-21 2021-05-18 长江存储科技有限责任公司 金属互连线的形成方法
US11667091B2 (en) * 2019-12-03 2023-06-06 GM Global Technology Operations LLC Methods for forming vascular components

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US685885A (en) * 1901-01-26 1901-11-05 Thomas Richard Venners Disinfecting device for flushing-tanks.
US3787710A (en) * 1972-01-25 1974-01-22 J Cunningham Integrated circuit structure having electrically isolated circuit components
JPS6021540A (ja) * 1983-07-15 1985-02-02 Nippon Telegr & Teleph Corp <Ntt> 半導体装置の製造方法
JPS625643A (ja) * 1985-07-01 1987-01-12 Nec Corp 半導体集積回路
JPS63502823A (ja) * 1986-03-14 1988-10-20 コモンウェルス・サイエンティフィック・アンド・インダストリアル・リサーチ・オーガニゼイション セラミック製品の製法
JPH07114236B2 (ja) * 1986-10-15 1995-12-06 日本電信電話株式会社 配線構造の製造方法
JPH0739473B2 (ja) * 1986-10-16 1995-05-01 帝人株式会社 架橋重合体成型物の製造方法
JPH01235254A (ja) * 1988-03-15 1989-09-20 Nec Corp 半導体装置及びその製造方法
US4987101A (en) * 1988-12-16 1991-01-22 International Business Machines Corporation Method for providing improved insulation in VLSI and ULSI circuits
JPH0463807A (ja) * 1990-03-06 1992-02-28 Idemitsu Kosan Co Ltd ノルボルネン系重合体およびその製造方法ならびに該重合体からなるフィルムおよびその製造方法
DE69127143T2 (de) * 1990-06-25 1997-12-18 Matsushita Electronics Corp Kaltkathodenelement
JPH05145094A (ja) * 1991-11-22 1993-06-11 Mitsubishi Electric Corp 半導体装置およびその製造方法
US5310700A (en) * 1993-03-26 1994-05-10 Integrated Device Technology, Inc. Conductor capacitance reduction in integrated circuits
US5324683A (en) * 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
JP2555940B2 (ja) * 1993-07-27 1996-11-20 日本電気株式会社 半導体装置及びその製造方法
DE19509173B4 (de) * 1994-03-14 2005-06-16 Nippon Zeon Co., Ltd. Masse aus einem Epoxygruppen enthaltenden thermoplastischen Norbornenharz und ihre Verwendung
US5641711A (en) * 1994-04-28 1997-06-24 Texas Instruments Incorporated Low dielectric constant insulation in VLSI applications
US5461003A (en) * 1994-05-27 1995-10-24 Texas Instruments Incorporated Multilevel interconnect structure with air gaps formed between metal leads
US5476817A (en) * 1994-05-31 1995-12-19 Texas Instruments Incorporated Method of making reliable metal leads in high speed LSI semiconductors using both dummy leads and thermoconductive layers
JP3597885B2 (ja) * 1994-06-06 2004-12-08 テキサス インスツルメンツ インコーポレイテツド 半導体装置
US5534901A (en) * 1994-06-06 1996-07-09 Xerox Corporation Ink jet printhead having a flat surface heater plate
US5665249A (en) * 1994-10-17 1997-09-09 Xerox Corporation Micro-electromechanical die module with planarized thick film layer
US5534731A (en) * 1994-10-28 1996-07-09 Advanced Micro Devices, Incorporated Layered low dielectric constant technology
US5599745A (en) * 1995-06-07 1997-02-04 Micron Technology, Inc. Method to provide a void between adjacent conducting lines in a semiconductor device
US5776834A (en) * 1995-06-07 1998-07-07 Advanced Micro Devices, Inc. Bias plasma deposition for selective low dielectric insulation
US5955786A (en) * 1995-06-07 1999-09-21 Advanced Micro Devices, Inc. Semiconductor device using uniform nonconformal deposition for forming low dielectric constant insulation between certain conductive lines
US5641712A (en) * 1995-08-07 1997-06-24 Motorola, Inc. Method and structure for reducing capacitance between interconnect lines
US5835987A (en) * 1995-10-31 1998-11-10 Micron Technology, Inc. Reduced RC delay between adjacent substrate wiring lines
JP2838992B2 (ja) * 1995-11-10 1998-12-16 日本電気株式会社 半導体装置の製造方法
US5912313A (en) * 1995-11-22 1999-06-15 The B. F. Goodrich Company Addition polymers of polycycloolefins containing silyl functional groups
JP3887035B2 (ja) * 1995-12-28 2007-02-28 株式会社東芝 半導体装置の製造方法
US5869880A (en) * 1995-12-29 1999-02-09 International Business Machines Corporation Structure and fabrication method for stackable, air-gap-containing low epsilon dielectric layers
US5783864A (en) * 1996-06-05 1998-07-21 Advanced Micro Devices, Inc. Multilevel interconnect structure of an integrated circuit having air gaps and pillars separating levels of interconnect
US6376330B1 (en) * 1996-06-05 2002-04-23 Advanced Micro Devices, Inc. Dielectric having an air gap formed between closely spaced interconnect lines
US5759913A (en) * 1996-06-05 1998-06-02 Advanced Micro Devices, Inc. Method of formation of an air gap within a semiconductor dielectric by solvent desorption
US5783481A (en) * 1996-06-05 1998-07-21 Advanced Micro Devices, Inc. Semiconductor interlevel dielectric having a polymide for producing air gaps
EP0936230A4 (de) * 1996-10-29 2001-01-31 Nippon Zeon Co Modifiziertes, thermoplastisches norbornen polymer und verfahren zu seiner herstellung
US6303464B1 (en) * 1996-12-30 2001-10-16 Intel Corporation Method and structure for reducing interconnect system capacitance through enclosed voids in a dielectric layer
DE69820232T2 (de) * 1997-01-21 2004-09-16 Georgia Tech Research Corp. Verfahren zur herstellung einer halbleitervorrichtung mit luftspalten für verbindungen mit ultraniedriger kapazität
US6071805A (en) * 1999-01-25 2000-06-06 Chartered Semiconductor Manufacturing, Ltd. Air gap formation for high speed IC processing
US6130151A (en) * 1999-05-07 2000-10-10 Taiwan Semiconductor Manufacturing Company Method of manufacturing air gap in multilevel interconnection
US6211057B1 (en) * 1999-09-03 2001-04-03 Taiwan Semiconductor Manufacturing Company Method for manufacturing arch air gap in multilevel interconnection
JP3673225B2 (ja) 2002-01-25 2005-07-20 コナミ株式会社 遠隔操作システム並びに該システムに用いる送信機及び周辺機器

Also Published As

Publication number Publication date
EP1376684A2 (de) 2004-01-02
RU2204181C2 (ru) 2003-05-10
ATE255769T1 (de) 2003-12-15
EP0963603B1 (de) 2003-12-03
CN1249851A (zh) 2000-04-05
JP2010147495A (ja) 2010-07-01
US6165890A (en) 2000-12-26
HK1061742A1 (en) 2004-09-30
EP1376684B1 (de) 2008-11-26
CN1252810C (zh) 2006-04-19
JP5390295B2 (ja) 2014-01-15
US7504699B1 (en) 2009-03-17
KR100532801B1 (ko) 2005-12-02
JP4535303B2 (ja) 2010-09-01
AU5826998A (en) 1998-08-07
KR20000070363A (ko) 2000-11-25
AU736875B2 (en) 2001-08-02
ATE415704T1 (de) 2008-12-15
JP2001514798A (ja) 2001-09-11
EP1376684A3 (de) 2004-11-10
EP0963603A1 (de) 1999-12-15
DE69820232T2 (de) 2004-09-16
JP5144693B2 (ja) 2013-02-13
DE69820232D1 (de) 2004-01-15
WO1998032169A1 (en) 1998-07-23
JP2009275228A (ja) 2009-11-26

Similar Documents

Publication Publication Date Title
DE69840276D1 (de) Herstellung eines Halbleiterbauelements mit Luftspalten für niedrigstkapazitive Leiterbahnen
WO2002019420A3 (en) Fabrication of semiconductor devices with air gaps for ultra low capacitance interconnection structures
DE69737424D1 (de) Schutzvorrichtung gegen transiente spannungen und verfahren zu deren herstellung
WO2003022733A3 (en) Nanotube films and articles
EP0731477A3 (de) Elektrischer Doppelschichtkondensateur
KR920002822A (ko) 구리-클래드 적층판의 제조방법
DE69606310D1 (de) Oberflächenmontierte leitfähige bauelemente und verfahren zur herstellung derselben
EP1398831A3 (de) Bildung von Luftspalten
DE69931272D1 (de) Gedruckte leiterplatte und verfahren zu deren herstellung
FI945610A0 (fi) Laitteisto ohutkalvojen valmistamiseksi
DE69935422D1 (de) Oberflächen-signal-kommando-sonde eines elektronischen gerätes und verfahren zu ihrer herstellung
CA2289782A1 (en) Alkoxysilane/organic polymer composition for use in producing an insulating thin film and use thereof
AU6493899A (en) Method and apparatus for production of small particles of micrometer or nanometer size
DE69323383D1 (de) Verfahren zur Herstellung eines elektronischen Bauelementes
DE69122568T2 (de) Hitzebeständiger flammfester leitender Film mit einer elektrischen Isolierschicht und Verfahren zu seiner Herstellung
DE3870991D1 (de) Vorgeschaeumte teilchen aus unverzweigtem llpde und verfahren zu deren herstellung.
DE69517476D1 (de) Verkabelungsvorrichtung eines elektrischen Anschlusskasten, Verfahren und Vorrichtung zur Bildung der Verkabelung
CA2138736A1 (en) Method of Manufacturing Electron-Emitting Device and Image-Forming Apparatus Comprising Such Devices
EP1014215A3 (de) Entwicklungsrolle
DE68915459T2 (de) Integriert-optische Vorrichtung für die Trennung der Polarisationskomponenten eines elektromagnetischen Feldes und Verfahren für deren Herstellung.
DE69404163T2 (de) Anordnung zur herstellung einer elektrischen verbindung
EP1947685A3 (de) Halbleiterbauelement mit dielektrischer Folie auf Fluorkohlenstoffbasis und Herstellungsverfahren dafür
DE59915066D1 (de) Integrierte schaltungsanordnung und verfahren zu deren herstellung
BR9805600A (pt) Pó de agente de sopro e processo para a produção do mesmo.
DE69914902D1 (de) Verfahren zur herstellung einer ic-karte und eine solche hergestellte karte

Legal Events

Date Code Title Description
8364 No opposition during term of opposition