DE69929271T2 - Apparat und Verfahren zur Plasmabehandlung - Google Patents

Apparat und Verfahren zur Plasmabehandlung Download PDF

Info

Publication number
DE69929271T2
DE69929271T2 DE69929271T DE69929271T DE69929271T2 DE 69929271 T2 DE69929271 T2 DE 69929271T2 DE 69929271 T DE69929271 T DE 69929271T DE 69929271 T DE69929271 T DE 69929271T DE 69929271 T2 DE69929271 T2 DE 69929271T2
Authority
DE
Germany
Prior art keywords
electrodes
plasma
electrode
gas
discharge space
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69929271T
Other languages
English (en)
Other versions
DE69929271D1 (de
Inventor
Yasushi Neyagawa-shi Sawada
Kosuke Neyagawa-shi Nakamura
Hiroaki Moriguchi-shi Kitamura
Yoshitami Neyagawa-shi Inoue
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Works Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Works Ltd filed Critical Matsushita Electric Works Ltd
Application granted granted Critical
Publication of DE69929271D1 publication Critical patent/DE69929271D1/de
Publication of DE69929271T2 publication Critical patent/DE69929271T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32348Dielectric barrier discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32559Protection means, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature

Description

  • TECHNISCHES GEBIET
  • Die vorliegende Erfindung betrifft eine Plasmabehandlungsvorrichtung, die zum Entfernen von fremden Substanzen wie organischen Substanzen von zu behandelnden Gegenständen, zum Strippen von Lacken, zum Verbessern der Haftung von organischen Schichten, zur Veränderung einer Oberfläche, zur Ausbildung von Schichten, zum Reduzieren von Metalloxiden und zum Reinigen von Glassubstraten für Flüssigkristalle verwendet werden kann, und ein Plasmabehandlungsverfahren, das unter Verwendung dieser Vorrichtung ausgeführt wird und das auf saubere Oberflächen von elektronischen Teilen angewendet werden kann, die eine gute Verbindung erfordern.
  • STAND DER TECHNIK
  • Es wurden bereits Oberflächenbehandlungen an Substraten mittels Plasma (einer Glimmentladung) durchgeführt, das unter atmosphärischem Druck stabil erzeugt wird. Zum Beispiel beschreiben die japanischen Patent-Offenlegungsschriften [Kokai] Nr. 2-15171, Nr. 3-241739 und Nr. 1-306569 eine Plasmabehandlung, bei der in einem Entladungsraum in einem Reaktionsbehälter ein Paar von Elektroden angeordnet wird, zwischen den Elektroden ein dielektrisches Material angeordnet wird, der Entladungsraum für die Plasmaerzeugung mit einem Gas gefüllt wird, das hauptsächlich aus einem Inertgas wie He (Helium) oder Ar (Argon) besteht, zwischen den Elektroden eine Wechselspannung angelegt wird, um in dem Gas für die Plasmaerzeugung ein Plasma zu erzeugen, und der im Reaktionsbehälter befindliche Gegenstand mit diesem Plasma behandelt wird.
  • Es ist jedoch dabei schwierig, die Plasmabehandlung nur auf einem bestimmten Bereich des Gegenstandes auszuführen, und die Behandlungszeit ist sehr lang. Es wurde deshalb vorgeschlagen, die Plasmabehandlung mittels eines Plasmastrahls (insbesondere mit Radikalen im Plasma) auszuführen, der mit einer Glimmentladung unter Atmosphärendruck erzeugt wird. In den japanischen Patent-Offenlegungsschriften [Kokai] Nr. 4-358076, Nr. 3-219082, Nr. 4-212253 und Nr. 6-108257 sind verschiedene Methoden dafür beschrieben.
  • Bei den in den japanischen Patent-Offenlegungsschriften [Kokai] Nr. 3-219082, Nr. 4-212253 und Nr. 6-108257 beschriebenen Methoden wird von einem düsenartigen Reaktionsrohr ein Plasmastrahl auf den zu behandelnden Gegenstand gerichtet. Bezüglich der folgenden Punkte sind diese Verfahren jedoch immer noch verbesserungsfähig:
    • (1) Da der Behandlungsbereich klein ist, können keine großen Flächen behandelt werden.
    • (2) Beim Einengen des Entladungsraums wird die Wärmeabstrahlung geringer, und das Innere des Reaktionsrohrs heizt sich auf eine hohe Temperatur auf, so daß die zu behandelnden Gegenstände leicht thermisch geschädigt werden. Wird der Entladungsraum vergrößert, sinkt die Behandlungswirkung.
    • (3) Wenn sich das Innere des Reaktionsrohrs auf eine hohe Temperatur aufheizt, tritt zwischen den Elektroden oder zwischen dem Reaktionsrohr und dem Gegenstand leicht ein Überschlag (eine Lichtbogenentladung) auf. Es kann daher keine gleichmäßige Plasmabehandlung durchgeführt werden.
  • In der japanischen Patent-Offenlegungsschrift [Kokai] Nr. 4-358076 ist eine Plasmabehandlungsvorrichtung beschrieben, bei der zwischen flachen Plattenelektroden dielektrische Platten angeordnet sind. Diese Vorrichtung hat den Nachteil, daß sie groß ist und die Behandlungsgeschwindigkeit gering, da es aus den im folgenden genannten Gründen schwierig ist, eine hohe Plasmadichte zu erhalten. Wegen der großen Fläche der flachen Elektroden ist die elektrische Leistung pro Volumeneinheit klein. Durch Anlegen von mehr elektrischer Leistung kann zwar die Plasmadichte erhöht werden, aber auch die Elektroden heizen sich stärker auf, so daß die zu behandelnden Gegenstände einen thermischen Schaden erleiden können oder die Elektroden brechen oder ein Überschlag erfolgt. Wenn die dielektrischen Platten auf die flachen Elektroden aufgelegt werden, kann die Dicke der dielektrischen Platten wegen struktureller Probleme nur schwer verringert werden. Die strukturellen Probleme zeigen sich zum Beispiel darin, daß es beim Auflegen einer Glasplatte als dielektrischer Platte auf die Elektrode wegen der geringen Festigkeit der Glasplatte schwierig ist, eine Glasplatte, die dünner ist als 1 mm, großflächig auf die flache Elektrode aufzulegen. Bei der Verwendung einer keramischen Platte als dielektrischer Platte kann die keramische Platte zwar gleichzeitig dünn und ausreichend fest sein, es ist jedoch schwierig, den flachen Elektroden entsprechende, großflächige keramische Platten herzustellen, und auch, eine gute Haftung am Elektrodenmaterial zu erreichen. Da an der dielektrischen Platte daher ein Spannungsabfall auftritt, ist es schwierig, die elektrische Leistung pro Volumeneinheit des Plasmas zu erhöhen.
  • Angesichts dieser Punkte ist es das Anliegen der vorliegenden Erfindung, eine Plasmabehandlungsvorrichtung zu schaffen, mit der auf einer großen Fläche eines Gegenstands, der in Strömungsrichtung nach einem Entladungsraum plaziert ist, wirkungsvoll eine Plasmabehandlung durchgeführt werden kann, ohne daß Überschläge auftreten. Die erfindungsgemäße Plasmabehandlungsvorrichtung umfaßt wenigstens ein Elektrodenpaar, eine Gaszuführeinheit zum Zuführen eines Gases für die Plasmaerzeugung zu dem Entladungsraum zwischen den Elektroden, und eine Stromversorgung zum Anlegen einer Wechselspannung an die Elektroden, um mit dem Gas für die Plasmaerzeugung im Entladungsraum ein Plasma zu erzeugen. Wenigstens eine der beiden Elektroden weist an ihrer Außenseite eine dielektrische Schicht auf. Bei der vorliegenden Erfindung hat mindestens eine der beiden Elektroden eine gekrümmte Oberfläche, die in den Entladungsraum hineinragt.
  • Durch die Verwendung einer Elektrode mit einer gekrümmten, in den Entladungsraum hineinragenden Oberfläche erhöht sich die Plasmadichte bei verringerter elektrischer Leistung, und das Auftreten von Überschlägen wird verhindert. Mit einer Elektrode mit einer scharfen Kante, die in den Entladungsraum ragt, kann die Plasmadichte erhöht wer den. An solchen Kanten treten jedoch wegen des ungleichmäßigen elektrischen Felds leicht Überschläge (Lichtbogenentladungen) auf. Wenn ein Überschlag erfolgt, kann die Plasmabehandlung nicht fortgesetzt werden, da das Plasma (die Glimmentladung) instabil wird. Wenn der Überschlag zwischen der Elektrode und dem Gegenstand erfolgt, erleidet der Gegenstand einen beträchtlichen thermischen Schaden. Mit einer Elektrode mit gekrümmter Oberfläche, die in den Entladungsraum ragt, kann die Plasmadichte erhöht und ein Überschlag vermieden werden. Vorzugsweise liegt der Krümmungsradius der gekrümmten Oberfläche im Bereich von 1 bis 25 mm.
  • Vorzugsweise ist angrenzend an wenigstens eine der beiden Elektroden ein Plasmaführungselement angeordnet, um das Plasma so zu führen, daß es sich vom Entladungsraum zum Gegenstand hin ausbreitet. Vorzugsweise ist das Plasmaführungselement einstückig mit der Elektrode ausgebildet. Mit dem Plasmaführungselement wird die Effektivität der Plasmabehandlung auf einer großen Oberfläche des Gegenstands erhöht.
  • Vorzugsweise ist wenigstens eine der beiden Elektroden eine rohrförmige Elektrode, noch besser eine zylindrische Elektrode. In diesem Fall umfaßt die Plasmabehandlungsvorrichtung vorzugsweise eine Kühlmittelzuführeinheit zum Zuführen eines Kühlmittels zum Inneren der rohrförmigen oder zylinderförmigen Elektrode, um die Elektrodentemperatur während der Plasmabehandlung herabzusetzen. Durch das Herabsetzen der Elektrodentemperatur können Überschläge wirkungsvoll verhindert werden, wie später noch genauer erläutert wird.
  • Ein weiteres Anliegen der vorliegenden Erfindung ist es, ein Plasmabehandlungsverfahren zu schaffen, das mit der beschriebenen Plasmabehandlungsvorrichtung ausgeführt wird. Das Plasmabehandlungsverfahren umfaßt die Schritte des Zuführens des Gases zur Plasmaerzeugung zum Entladungsraum zwischen den Elektroden, des Anlegens einer Wechselspannung an die Elektroden, um mit dem Gas für die Plasmaerzeugung im Entladungsraum unter Atmosphärendruck ein Plasma zu erzeugen, und des Behandelns des Gegenstands mit dem Plasma.
  • Diese und andere Aufgaben und Vorteile gehen aus der folgenden genauen Beschreibung der Erfindung und den Beispielen der Erfindung hervor.
  • KURZE ERLÄUTERUNG DER ZEICHNUNGEN
  • 1 ist eine schematische Schnittansicht einer Ausführungsform einer erfindungsgemäßen Plasmabehandlungsvorrichtung;
  • 2 eine schematische Schnittansicht des Plasmagenerators für die Plasmabehandlungsvorrichtung der 1;
  • 3 eine perspektivische Ansicht des Plasmagenerators für die Plasmabehandlungsvorrichtung der 1;
  • 4A ist eine Darstellung der Plasmaerzeugung zwischen zylindrischen Elektroden und 4B eine Darstellung der Plasmaerzeugung zwischen flachen Elektroden;
  • 5 ist eine schematische Schnittansicht eines anderen Plasmagenerators für die erfindungsgemäße Plasmabehandlungsvorrichtung;
  • 6 eine schematische Schnittansicht einer Modifikation des Elektrodenpaars bei der erfindungsgemäßen Plasmabehandlungsvorrichtung;
  • 7 eine schematische Schnittansicht einer weiteren Modifikation des Elektrodenpaars bei der erfindungsgemäßen Plasmabehandlungsvorrichtung;
  • 8 eine schematische Schnittansicht einer weiteren Ausführungsform des erfindungsgemäßen Plasmagenerators;
  • 9 eine schematische Schnittansicht einer weiteren Ausführungsform der erfindungsgemäßen Plasmabehandlungsvorrichtung; und
  • 10 eine schematische Schnittansicht einer Modifikation der Plasmabehandlungsvorrichtung der 9.
  • GENAUE BESCHREIBUNG DER ERFINDUNG
  • Im folgenden wird eine bevorzugte Ausführungsform der vorliegenden Erfindung erläutert.
  • Wie in der 1 gezeigt, besteht die Plasmabehandlungsvorrichtung hauptsächlich aus einem Plasmagenerator 10 zum Erzeugen eines Plasmas 3, einer Steuerung 7 und einer Beförderungseinrichtung 11 zum Befördern der zu behandelnden Gegenstände 4. Der Plasmagenerator 10 besteht aus einem Paar von zylindrischen (rohrartigen) Elektroden 1, 2, einem Gaszuführbehälter 12, einer Gaszuführeinheit 5, einer Wechselstromquelle 13, einer Kühlmittelzuführeinheit 20, einem Thermometer 24 und einem Halteelement 14.
  • Wie in den 2 und 3 gezeigt, sind die zylindrischen Elektroden 1 und 2 so angeordnet, daß sich die Elektrode 1 im wesentlichen parallel zur Elektrode 2 erstreckt und die Elektroden voneinander einen vorgegebenen Abstand d haben, um dazwischen einen Entladungsraum 22 zu definieren. Vorzugsweise liegt der Abstand d zwischen den Elektroden 1 und 2 im Bereich von 0,1 bis 5 mm. Die Elektrode 1 ist geerdet und die Elektrode 2 an die Wechselstromquelle 13 angeschlossen. Bei der vorliegenden Vorrichtung weist jede der Elektroden eine gekrümmte Oberfläche R auf, die in den Entladungsraum 22 hineinragt. Vorzugsweise liegt der Krümmungsradius der gekrümmten Oberfläche R der jeweiligen Elektrode (1, 2) im Bereich von 1 bis 25 mm. Wenn der Krümmungsradius kleiner ist als 1 mm, wird der Entladungsraum 22 zu klein, und das Plasma 3 kann nicht wirkungsvoll erzeugt werden. Wenn der Krümmungsradius dagegen größer ist als 25 mm, wird das Plasma 3 möglicherweise nicht so erzeugt, daß es sich vom Entladungsraum 22 zum Gegenstand 4 hin ausbreitet. Es kann dann schwierig werden, eine große Fläche des Gegenstands 4 mit dem Plasma 3 zu behandeln. Um die Wärmeabstrahlung der Elektroden zu verbessern und eine gleichmäßige Glimmentladung sicherzustellen, bestehen die Elektroden 1, 2 vorzugsweise aus einem Material mit ausgezeichneter thermischer Leitfähigkeit. Es ist möglich, Kupfer, Aluminium, Messing oder einen Edelstahl mit guter Korrosionsfestigkeit zu verwenden.
  • Vorzugsweise liegt die Oberflächenrauhigkeit an der Außenseite der Elektroden im Bereich von 10 bis 1000 μm der arithmetischen Mittelrauhigkeit. Wenn die Oberflächenrauhigkeit durch die Gleichung y = f(x) ausgedrückt wird, ist die arithmetische Mittelrauhigkeit Ra (μm) gemäß JIS B 0601 definiert durch die folgende Gleichung (1):
    Figure 00050001
    wobei "l" die Länge der Elektrode ist. Wenn die Oberflächenrauhigkeit kleiner ist als 10 μm, kann es schwierig werden, ein Plasma (eine Glimmentladung) zu erzeugen. Wenn die Oberflächenrauhigkeit dagegen größer ist als 1000 μm, wird das Plasma instabil. Der obige Bereich der Oberflächenrauhigkeit ist geeignet, eine gleichmäßige Glimmentladung sicherzustellen, da angenommen wird, daß extrem kleine Mikroentladungen erzeugt werden, so daß das Auftreten einer Lichtbogenentladung verhindert wird. Um die geforderte Oberflächenrauhigkeit der Elektroden 1, 2 zu erhalten, kann zum Beispiel eine physikalische Behandlung wie Sandstrahlen angewendet werden.
  • Jede der Elektroden 1 und 2 weist als äußere Schicht eine dielektrische Schicht 6 auf. Die dielektrische Schicht 6 ist wichtig für die Herabsetzung der Plasmatemperatur im Entladungsraum 22. Vorzugsweise besteht die dielektrische Schicht 6 aus einem isolierenden Material mit einer Dielektrizitätskonstanten von 2000 oder kleiner. Wenn die Dielektrizitätskonstante größer ist als 2000, besteht die Möglichkeit eines Temperaturanstiegs des Plasmas 3, da die zwischen den Elektroden 1 und 2 anliegende Spannung groß wird. Für den unteren Grenzwert der Dielektrizitätskonstanten gibt es keine Einschränkungen. Zum Beispiel kann der untere Grenzwert der Dielektrizitätskonstanten gleich 2 sein. Wenn die Dielektrizitätskonstante kleiner ist als 2, muß die zwischen den Elektroden 1 und 2 angelegte Spannung angehoben werden, um die Entladung aufrechtzuerhalten. In diesem Fall steigt der Energieverbrauch im Entladungsraum an, und ein Temperaturanstieg des Plasmas 3 kann die Folge sein. Wenn nur eine der Elektroden 1, 2 die dielektrische Schicht 6 aufweist, ist die Elektrode mit der dielektrischen Schicht vorzugsweise die, die mit der Wechselstromversorgung 13 verbunden ist.
  • Um die Stabilität der Glimmentladung zu verbessern, wird vorzugsweise für die dielektrische Schicht 6 ein Material mit einem großen Koeffizienten für die Sekundärelektronenemission oder eine Mischung mit einem solchen Material verwendet. Materialien mit einem großen Koeffizienten für die Sekundärelektronenemission sind zum Beispiel MgO, MgF2, CaF2 und LiF. Davon wird vorzugsweise MgO (Magnesiumoxid) verwendet, um die Stabilität aufrechtzuerhalten. Bei der Verwendung dieser Materialien für die dielektrische Schicht 6 wird, wenn Ionen aus dem Plasma 3 mit der Oberfläche der dielektrischen Schicht 6 kollidieren, von der Oberfläche der dielektrischen Schicht eine große Menge von Sekundärelektronen emittiert. Die emittierten Sekundärelektronen tragen zur Stabilität der Glimmentladung bei. Als Magnesiumoxid enthaltendes dielektrisches Material kann zum Beispiel ein Sinterkörper verwendet werden, der mit einer Mischung aus einem Keramik pulver wie Aluminiumoxid und einer kleinen Menge (0,01 bis 5 Vol.-%) Magnesiumoxid und Sintern der Mischung hergestellt wird, oder ein Material, das durch Flammsprühen der Mischung auf ein Elektrodenmaterial erzeugt wird. Außerdem kann das Magnesiumoxid enthaltende dielektrische Material durch Aufbringen einer MgO-Schicht auf die Oberfläche eines dielektrischen Substrats wie Aluminiumoxid oder Quarz mittels Sputtern, Elektronenstrahlabscheiden oder thermisches Sprühen erzeugt werden.
  • Zum Beispiel können die Elektroden 1, 2 mit der dielektrischen Schicht 6 mit einem Verfahren ausgebildet werden, das die Schritte des Herstellens eines ersten Zylinders aus einem isolierenden Material und eines zweiten Zylinders aus dem obigen Elektrodenmaterial und das Anbringen des zweiten Zylinders an der Innenseite des ersten Zylinders umfaßt. Alternativ ist es möglich, ein Keramik-Sprühverfahren anzuwenden, das die Schritte des Verteilens eines Pulvers aus Aluminiumoxid, Magnesiumoxid, Bariumtitanat, PZT und dergleichen in Plasma und das Aufsprühen des Plasmas auf die Außenseite des zweiten Zylinders umfaßt. Außerdem kann ein Verfahren zum Ausbilden einer auf Glas basierenden Schicht mit einem Sol-Gel-Prozeß angewendet werden.
  • Vorzugsweise werden die Elektroden 1, 2 mit der dielektrischen Schicht 6 mit einem Schmelzverbindungsverfahren hergestellt. Bei diesem Verfahren wird eine Fritte aus einem auf Glas basierenden Material, die ein anorganisches Material wie Siliziumoxid, Magnesiumoxid, Zinnoxid, Titanoxid, Zirkoniumoxid oder Aluminiumoxid als Hauptkomponente enthält, in einem Lösungsmittel verteilt. Um eine Schicht aus dem auf Glas basierenden Material auf einem Elektrodenmaterial zu erhalten, wird dann das Elektrodenmaterial in das Lösungsmittel mit der Fritte eingetaucht, oder die Fritte wird mittels einer Sprühpistole auf das Elektrodenmaterial aufgesprüht. Die Schicht wird für 3 bis 15 Minuten auf eine Temperatur von 480°C bis 1000°C aufgeheizt, so daß die Schicht aus dem auf Glas basierenden Material mit dem Elektrodenmaterial schmelzverbunden wird. Um eine dielektrische Schicht 6 mit einer gewünschten Dicke auszubilden, kann dieser Vorgang wiederholt werden. Dieses Schmelzverbindungsverfahren hat den Vorteil, daß leicht eine dünne dielektrische Schicht ausgebildet werden kann, wobei das Auftreten von feinen Löchern vermieden werden kann, die oft in dielektrischen Schichten beobachtet werden, die mit dem Keramik-Sprühverfahren erzeugt werden. Eine mit dem Schmelzverbindungsverfahren ausgebildete dielektrische Schicht 6 ist geeignet, eine gleichmäßige Glimmentladung sicherzustellen.
  • Vorzugsweise liegt die Dicke der dielektrischen Schicht 6 im Bereich von 0,1 bis 2 mm. Wenn die Dicke weniger als 0,1 mm beträgt, ist die Durchbruchspannung der dielektrischen Schicht klein. Außerdem können Risse und ein Ablösen auftreten, so daß es schwierig wird, die Gleichmäßigkeit der Glimmentladung aufrechtzuerhalten. Wenn die Dicke größer ist als 2 mm, kann die Durchbruchspannung übermäßig ansteigen, so daß es ebenfalls schwierig wird, die Gleichmäßigkeit der Glimmentladung aufrechtzuerhalten.
  • Der Gaszuführbehälter 12 weist einen Gaseinlaß 16 und einen Gasauslaß 17 auf. Die Gaszuführeinheit 5 zum Zuführen des Gases für die Plasmaerzeugung ist mit dem Gaseinlaß 16 über eine Gaszuführleitung 15 verbunden. In der 3 ist die Tiefenabmessung "L" des Gaszuführbehälters 12 im wesentlichen die gleiche wie die der Elektroden 1, 2. Vorzugsweise reicht die Höhe "H" des Gaszuführbehälters 12 für einen stabilen Fluß des Gases für die Plasmaerzeugung aus dem Gasauslaß 17 aus. Die Breite "W" des Gaszuführbehälters 12 ist im wesentlichen gleich dem Abstand von Mitte zu Mitte der Elektroden 1 und 2, so daß der Gaszuführbehälter so angeordnet werden kann, daß er rittlings über dem Entladungsraum 22 sitzt. Wie in der 3 gezeigt, ist der Gaszuführbehälter 12 so an den Elektroden 1, 2 befestigt, daß das Gas für die Plasmaerzeugung aus dem Inneren des Gaszuführbehälters 12 zum Entladungsraum 22 strömen kann. Der an den Elektroden befestigte Gaszuführbehälter 12 wird von dem Halteelement 14 gehalten, wie es in der 1 gezeigt ist.
  • Die Kühlmittelzuführeinheit 20 umfaßt einen Kühlmittelbehälter und eine Pumpe zum Umpumpen des Kühlmittels 9 aus dem Kühlmittelbehälter. Als Kühlmittel 9 kann reines Wasser oder Ionenaustauschwasser verwendet werden. Das Kühlmittel 9 ist vorzugsweise eine Flüssigkeit, die bei 0°C nicht gefriert und die elektrisch isoliert. Bezüglich der elektrischen Isolation weist das Kühlmittel 9 vorzugsweise eine Durchbruchspannung von wenigstens 10 kV über einen Abstand von 0,1 mm auf. Ein elektrisch isolierendes Kühlmittel 9 dieser Art verhindert Leckströme an der Elektrode, an die eine hohe Spannung angelegt wird. Als Kühlmittel 9 mit solchen Eigenschaften kann Perfluorkohlenstoff, Fluorwasserstoffether oder eine Mischlösung verwendet werden, die durch Hinzufügen von 5 bis 60 Gew.-% von Ethylenglykol zu reinem Wasser erhalten wird.
  • Wie in der 3 gezeigt, sind die gegenüberliegenden Enden jeder der Elektroden 1 und 2 geschlossen. Eine Kühlmittelzuführleitung 21 ist an einem Ende mit der Pumpe verbunden. Das andere Ende der Kühlmittelzuführleitung 21 ist mit einem Endabschnitt der Elektrode 1 verbunden, um das Kühlmittel 9 zum Inneren der Elektrode 1 zu führen. Am gegenüberliegenden Endabschnitt der Elektrode 1 ist eine Kühlmitteltransferleitung 33 angeschlossen. Das andere Ende der Kühlmitteltransferleitung 33 ist mit einem Endabschnitt der Elektrode 2 verbunden, um das Kühlmittel 9 aus dem Inneren der Elektrode 1 zum Inneren der Elektrode 2 zu leiten. Eine Kühlmittel-Abführleitung 23 ist mit ihrem einen Ende an den gegenüberliegenden Endabschnitt der Elektrode 2 angeschlossen. Das andere Ende der Kühlmittel-Abführleitung 23 ist mit dem Kühlmittelbehälter verbunden. Durch Umpumpen des Kühlmittels 9 können somit die Elektroden 1 und 2 gekühlt werden. Um die Isolierung zwischen den Elektroden aufrechtzuerhalten, ist die Kühlmitteltransferleitung 33 vorzugsweise aus einem isolierenden Material.
  • Als Thermometer 24 zum Messen der Oberflächentemperatur der Elektrode wird vorzugsweise ein Infrarot-Strahlungsthermometer verwendet. In den 1 und 2 bezeichnet das Bezugszeichen 40 ein im Infraroten transparentes Fenster 40, das an der Oberseite des Gaszuführbehälters 12 ausgebildet ist. Die gemessene Elektrodentemperatur wird auf einem Display 27 angezeigt und zu einem Mikrocomputer 30 in der Steuerung 7 geführt, die später noch erläutert wird. Anstelle des Infrarot-Strahlungsthermometers kann auch ein Temperatursensor wie ein Thermoelement verwendet werden.
  • Als Beförderungseinrichtung 11 kann zum Beispiel wie in der 1 gezeigt ein Bandförderer verwendet werden. Mittels des Bandförderers 11 können die zu behandelnden Gegenstände 4 nacheinander mit dem Plasma 3 behandelt werden. Die Beförderungseinrichtung 11 kann von der noch zu erläuternden Steuerung 7 gesteuert werden. Besonders bei einer Anwendung der vorliegenden Erfindung im industriellen Maßstab verbessert eine Beförderungseinrichtung die Effektivität der Behandlung mit der Plasmabehandlungsvorrichtung.
  • Die Steuerung 7 besteht hauptsächlich aus dem Mikrocomputer (PC) 30 zum Überwachen der Oberflächentemperatur der Elektrode anhand des Ausgangssignals des Thermometers 24 und zum Steuern der an die Elektrode 2 angelegten Wechselspannung sowie der Beförderungsgeschwindigkeit der Gegenstände 4. Auch die Pumpe zum Umpumpen des Kühlmittels 9 kann von der Steuerung 7 gesteuert werden. Wenn zum Beispiel die gemessene Elektrodentemperatur höher ist als eine vorgegebene Schwellentemperatur, sendet der Mikrocomputer 30 ein Steuersignal zum Erhöhen der Durchflußmenge des Kühlmittels 9 an die Pumpe. Alternativ kann eine Kühleinrichtung für das Kühlmittel die Kühlmitteltemperatur entsprechend dem Ausgangssignal der Steuerung 7 herabsetzen.
  • Es wird nun ein Plasmabehandlungsverfahren erläutert, das mit der beschriebenen Vorrichtung ausgeführt wird.
  • Zuerst wird das Gas für die Plasmaerzeugung von der Gaszuführeinheit 5 dem Gaszuführbehälter 12 zugeführt. Als Gas für die Plasmaerzeugung kann bei der vorliegenden Erfindung ein Inertgas (Edelgas), eine Mischung von Inertgasen oder eine Mischung aus einem Inertgas und einem reaktiven Gas verwendet werden. Als Inertgas kann Helium, Argon, Neon oder Xenon verwendet werden. Unter Berücksichtigung der Entladungsstabilität und der Kosteneffizienz wird vorzugsweise Helium, Argon oder eine Mischung aus Helium und Argon verwendet. Das Mischungsverhältnis von Helium und Argon ist eng mit der Oberflächentemperatur der Elektrode verbunden. Wenn die Oberflächentemperatur der Elektrode zum Beispiel 250°C oder weniger beträgt, ist vorzugsweise der Anteil des Argons in der Mischung 90 Gew.-% oder kleiner. Wenn der Argonanteil größer ist als 90 Gew.-%, ist die Wahrscheinlichkeit für das Auftreten von Überschlägen erhöht.
  • Entsprechend dem Zweck der Plasmabehandlung kann ein Reaktionsgas zugegeben werden. Wenn von den Gegenständen 4 organische Substanzen entfernt werden sollen, Lacke entfernt werden sollen oder organische Schichten geätzt werden sollen, wird vorzugsweise ein oxidierendes Gas wie Sauerstoff, Luft, CO2, Dampf oder N2O verwendet. Wenn Silizium geätzt werden soll, wird am besten ein Fluor enthaltendes Gas wie CF4 als Reaktionsgas verwendet. Zum Reduzieren von Metalloxiden kann ein reduzierendes Gas wie Wasserstoff oder Ammoniak verwendet werden. Vorzugsweise liegt der hinzugefügte Anteil an Reaktionsgas im Bereich von 10 Gew.-% oder weniger, besser noch bei 0,1 bis 5 Gew.-% relativ zur Menge des Inertgases. Wenn eine Oxidation oder Fluorination der Oberfläche des Gegenstands durch das Reaktionsgas ein Problem darstellt, wird nur ein Inertgas ohne ein Reaktionsgas für die Plasmabehandlung verwendet.
  • Das Gas für die Plasmaerzeugung wird dem Entladungsraum 22 zwischen den Elektroden 1 und 2 durch den Gasauslaß 17 zugeführt. Das Plasma 3 wird durch Anlegen einer Wechselspannung an die Elektroden erzeugt. Bei der vorliegenden Erfindung liegt die Frequenz der Wechselstromquelle vorzugsweise im Bereich von 50 Hz bis 200 MHz, insbesondere im Bereich von 1 kHz bis 200 MHz. Wenn die Frequenz kleiner ist als 50 Hz, läßt sich die Entladung möglicherweise im Entladungsraum 22 nicht stabilisieren. Wenn die Frequenz größer ist als 200 MHz, ist eine beträchtliche Temperaturerhöhung des Plasmas 3 möglich.
  • Vorzugsweise liegt die elektrische Leistung pro Volumeneinheit des Plasmas im Bereich von 10 bis 10000 W/cm3 und die Durchflußrate des Plasmas 3 im Bereich von 20 bis 10000 cm/Sekunde. Wenn diese Bedingungen nicht eingehalten werden, wird entweder die Plasmabehandlung nicht ausreichend an den Gegenständen 4 ausgeführt, oder die Gegenstände erleiden einen thermischen Schaden. Vorzugsweise werden daher die Größe der Wechselspannung und die Zuführmenge und Zuführrate des Gases für die Plasmaerzeugung so geregelt, daß sie in den genannten Bereichen liegen.
  • Vorzugsweise wird die Oberflächentemperatur der Elektrode bei der Plasmabehandlung auf 250°C oder weniger gehalten, insbesondere auf 200°C. Wenn die Elektrodentemperatur über 250°C liegt, können im Entladungsraum 22 Überschläge auftreten. Für den unteren Grenzwert der Elektrodentemperatur gibt es keine Einschränkungen. Der untere Grenzwert der Temperatur kann zum Beispiel bei 0°C oder weniger liegen. Mit anderen Worten ist jede Temperatur möglich, bei der das Kühlmittel nicht gefriert. Wenn die Elektroden 1 und 2 mittels Luftkühlung ausreichend gekühlt werden können, braucht kein Kühlmittel 9 verwendet zu werden.
  • Es wird angenommen, daß einer der Gründe für das Auftreten von Überschlägen (Lichtbogenentladungen) die Erhöhung der Oberflächentemperatur der Elektroden durch das Plasma ist. Bei der vorliegenden Plasmabehandlung wird das Plasma 3 im wesentlichen bei Atmosphärendruck des Gases zur Plasmaerzeugung erzeugt. Im Plasma 3 kollidieren ständig Gasteilchen. Im Vergleich zu einem Plasma unter verringertem Druck ist die mittlere freie Weglänge in einem Plasma unter Atmosphärendruck kürzer. Das heißt, daß die Kollisionshäufigkeit der Gasteilchen im Atmosphärendruck-Plasma höher ist. Bei höherer Kollisionshäufigkeit steigt die Plasmatemperatur an. Die Kollisionshäufigkeit steht auch mit der Frequenz der Wechselstromversorgung in einer engen Beziehung. Bei höherer Frequenz der Wechselstromversorgung steigen die Anteile an Radikalen und Ionen an, die zur Plasmabehandlung geeignet sind. Mit steigender Plasmatemperatur steigt auch die Oberflächentemperatur der Elektroden an. Insbesondere ist der Temperaturanstieg an den gegenüberliegenden Oberflächen der Elektroden 1, 2 erheblich, die dem Plasma 3 ausgesetzt sind. Dieser Temperaturanstieg bewirkt, daß lokal eine große Menge von Elektronen von der Elektrodenoberfläche emittiert wird. Es wird angenommen, daß Überschläge von der Elektronenemission induziert werden. Nachdem ein Überschlag aufgetreten ist, kann die Plasmabehandlung nicht fortgesetzt werden, da das Plasma (die Glimmentladung) instabil wird. Wenn der Überschlag zwischen der Elektrode und dem Gegenstand erfolgt, kann der Gegenstand erheblichen Schaden erleiden. Außerdem kann durch den Überschlag Elektrodenmaterial verdampft werden, das sich dann auf dem Gegenstand niederschlägt.
  • Bei der erfindungsgemäßen Plasmabehandlungsvorrichtung der 1 werden zum Verhindern des Auftretens von Überschlägen die zylindrischen Elektroden 1, 2 verwendet, von denen jeweils die gekrümmte Oberfläche R in den Entladungsraum 22 ragt, und die Kühlmittelzuführeinheit 20 zum Zuführen des Kühlmittels 9 zum Inneren der Elektroden 1 und 2 wird zur Verringerung der Oberflächentemperatur der Elektroden verwendet und verhindert eine lokale Elektronenemission von der Elektrodenoberfläche. Es ist damit möglich, während der Plasmabehandlung eine stabile, gleichmäßige Glimmentladung aufrechtzuerhalten.
  • Da bei der Plasmabehandlungsvorrichtung der 1 die zylindrischen Elektroden 1, 2 verwendet werden, kann die Plasmadichte pro Volumeneinheit der Glimmentladung durch Verkleinern des Abstands zwischen den Elektroden erhöht werden und auch ein Plasmastrahl (Plasmafluß) 3 erzeugt werden, der sich vom Entladungsraum 22 längs der äußeren Umfangsflächen der Elektroden nach unten ausbreitet, wie es in der 4A durch Pfeile angezeigt wird. Durch das Behandeln des Gegenstands 4 mit dem Plasmastrahl 3 mit erhöhter Plasmadichte kann die Wirksamkeit der Behandlung erhöht werden. Wenn wie in der 4B gezeigt dagegen ein Paar von flachen Plattenelektroden 1S und 2S verwendet wird, kommt aus dem Entladungsraum 22S zwischen den Elektroden nur ein Plasmastrahl (Plasmafluß) 3S mit geringer Breite. Es ist damit schwierig, die elektrische Leistung pro Volumeneinheit des Plasmas wie oben beschrieben zu erhöhen. Die durch den Plasmastrahl 3S behandelte Fläche auf dem Gegenstand 3S ist daher eingeschränkt. In der 4B bezeichnet das Bezugszeichen 6S eine dielektrische Platte.
  • In einer ersten Modifikation der Elektrodenstruktur kann ein Paar von rohrförmigen Elektroden 1A, 2A verwendet werden, die jeweils einen im wesentlichen dreieckigen Querschnitt haben, wie es in der 5 gezeigt ist. Die Elektroden sind so angeordnet, daß die Unterseite der Elektrode 1A mit der Unterseite der Elektrode 2A fluchtet und sich die Elektroden parallel erstrecken. Zwischen den gegenüberliegenden Scheitelabschnitten der Elektroden liegt der Entladungsraum 22. An jedem der Scheitelabschnitte der Elektroden 1A und 2A ist eine gekrümmte Oberfläche R ausgebildet, die in den Entladungsraum 22 ragt. Vorzugsweise liegt der Krümmungsradius der gekrümmten Oberfläche R im Bereich von 1 bis 25 mm. Der Innenraum der beiden Elektroden 1A und 2A wird dazu verwendet, ein Kühlmittel 9 umzuwälzen. Als Außenschicht weist jede der Elektroden eine dielektrische Schicht 6 aus Aluminiumoxid auf. Außerdem ist ein Paar von Plasmaführungselementen 50 mit jeweils dreieckigem Querschnitt angrenzend an die Elektroden 1A und 2A angeordnet, die eine Plasma-Diffusionszone 35 bilden. Die Plasma-Diffusionszone 35 ermöglicht es dem Plasma 3, sich zum Gegenstand 4 hin auszubreiten, der in Strömungsrich tung unterhalb des Entladungsraums 22 angeordnet ist. Bei der Plasmabehandlungsvorrichtung der 1 mit den zylindrischen Elektroden 1, 2 wird das Plasma 3 durch die Form der zylindrischen Elektroden vom Entladungsraum 22 zum Gegenstand 4 geführt. Mit anderen Worten weist jede der zylindrischen Elektroden einen Plasmaführungsabschnitt auf, der als Plasmaführungselement dient. Das im Entladungsraum 22 erzeugte Plasma 3 breitet sich entlang des Plasmaführungsabschnitts, d.h. einem Teil der äußeren Umfangsfläche jeder der zylindrischen Elektroden, aus und erreicht so den Gegenstand 4. In der 2 bezeichnet d s Bezugszeichen 35 die Plasma-Diffusionszone unter den Elektroden 1, 2.
  • In einer zweiten Modifikation der Elektrodenstruktur können, wie in der 6 gezeigt, Elektroden 1B, 2B verwendet werden, die jeweils einen im wesentlichen dreieckigen Querschnitt haben. Wenn die Elektroden 1B, 2B so angeordnet werden, wie es in der 6 gezeigt ist, wird unter den Elektroden ohne die Verwendung von besonderen Plasmaführungselementen eine Plasma-Diffusionszone 35 erhalten.
  • In einer dritten Modifikation der Elektrodenstruktur können, wie in der 7 gezeigt, eine erste Elektrode 1C mit einem rechteckigen Querschnitt und eine zweite Elektrode 2C mit einem elliptischen Querschnitt verwendet werden. In diesem Fall befindet sich der Entladungsraum 22 zwischen der flachen Oberfläche der ersten Elektrode 1C und der gekrümmten Oberfläche R der zweiten Elektrode 2C. Vorzugsweise weist wenigstens eine Elektrode eines Elektrodenpaars eine im wesentlichen halbkugelförmige Oberfläche auf, die von einer flachen Oberfläche vorsteht, und ist so angeordnet, daß die halbkugelförmige Oberfläche in den Entladungsraum zwischen den Elektroden ragt.
  • Vorzugsweise wird auch ein Plasmagenerator 10 der in der 8 gezeigten Art verwendet. Der Plasmagenerator 10 umfaßt eine Anzahl von Elektrodenpaaren 1, 2 mit jeweils zylindrischer Struktur und einen Gaszuführbehälter 12 mit einem Gaseinlaß 16. Die Elektroden 1, 2 sind abwechselnd derart angeordnet, daß sich die Elektroden 1 jeweils parallel zu den benachbarten Elektroden 2 erstrecken. Jede der Elektroden 1 weist zur danebenliegende Elektrode 2 einen Abstand d auf. Zwischen benachbarten Elektroden 1 und 2 befindet sich der Entladungsraum 22. Alle Elektroden 2 sind mit einer Wechselstromquelle verbunden, und alle Elektroden 1 sind geerdet. Jede der Elektroden 1, 2 weist an ihrer Außenseite eine dielektrische Schicht 6 auf. Die äußere gekrümmte Umfangsfläche der einzelnen Elektroden ragt in den Entladungsraum 22. Das Gas zur Plasmaerzeugung wird durch den Gaseinlaß 16 in den Gaszuführbehälter 12 eingeführt. Zwischen den Elektroden 1 und 2 liegt eine Wechselspannung, die das Plasma 3 erzeugt.
  • Die 9 zeigt einen schematischen Querschnitt durch eine Plasmabehandlungsvorrichtung, mit der Gegenstände 4 mit dem Plasma 3 behandelt werden können. In einer Behandlungskammer 60 mit Reiheneinführungen 63 an beiden Seiten sind zylindrische Elektroden 1, 2 wie bei dem Plasmagenerator der 8 angeordnet. Anstelle der Reiheneinführungen können auch Pendeleinführungen verwendet werden. Alle Elektroden 2 sind mit einer Wechselstromquelle 13 verbunden, und alle Elektroden 1 sind geerdet. In der 9 bezeichnet das Bezugszeichen 61 einen Gaseinlaß zum Zuführen des Gases zur Plas maerzeugung in die Behandlungskammer 60. Das Bezugszeichen 62 bezeichnet einen Gasauslaß. Das Bezugszeichen 11 bezeichnet eine Beförderungseinrichtung mit Rollen zum Befördern des zu behandelnden Gegenstandes 4. Dem Inneren der Elektroden 1, 2 wird ein Kühlmittel 9 zugeführt, um während einer Plasmabehandlung die Elektrodentemperatur zu senken. Durch den Gaseinlaß 61 wird das Gas für die Plasmaerzeugung in die Behandlungskammer 60 eingeführt. Das Bezugszeichen 65 bezeichnet eine Trennwand. Diese Trennwand 65 unterstützt die selektive Zufuhr des Gases für die Plasmaerzeugung in die Entladungsräume 22. An die Elektroden 1 und 2 wird eine Wechselspannung angelegt, um in den Entladungsräumen 22 jeweils ein Plasma 3 zu erzeugen. Mit dieser Vorrichtung kann gleichzeitig eine größere Fläche (ein größerer Bereich) des Gegenstands behandelt werden, da der Gegenstand mit den Plasmas 3 behandelt wird. Die anderen Komponenten sind im wesentlichen die gleichen wie bei der Plasmabehandlungsvorrichtung der 1.
  • Die 10 zeigt eine Modifikation der Plasmabehandlungsvorrichtung der 9. Diese Vorrichtung besitzt eine Behandlungskammer 60 mit Reiheneinführungen 63 an beiden Seiten. Diese Reiheneinführungen 63 weisen Schlitze 67 auf, durch die der zu behandelnde Gegenstand 4 in die Behandlungskammer 60 eintritt bzw. wieder herauskommt. In der 9 bezeichnet das Bezugszeichen 64 einen Entspannungsraum, mit dem das Austreten des Gases zur Plasmaerzeugung aus der Behandlungskammer 60 nach außen verringert werden kann und das Volumen an Außenluft verringert wird, das in die Behandlungskammer strömt. Die anderen Komponenten sind im wesentlichen die gleichen wie bei der Plasmabehandlungsvorrichtung der 9.
  • BEISPIEL 1
  • Mit der Plasmabehandlungsvorrichtung der 1 wurde eine Plasmabehandlung durchgeführt. Als Elektroden 1, 2 wurden Rohre aus rostfreiem Stahl mit jeweils einer Aluminiumoxidschicht mit einer Dicke von 200 μm als dielektrische Schicht 6 verwendet. Die mittlere Oberflächenrauhigkeit der Umfangsfläche der Elektroden betrug 10 μm. Die dielektrische Schicht 6 wurde durch ein Keramiksprühverfahren aufgebracht. Der Abstand zwischen den Elektroden 1 und 2 betrug 1 mm. Der Krümmungsradius der gekrümmten Oberfläche R der Elektroden 1, 2 betrug 5 mm. Der zu behandelnde Gegenstand 4 war ein Siliziumwafer, auf den eine 1 μm dicke Schicht eines Negativlacks ("OMR-83" der Tokyo Ohka Kogyo., Ltd.) aufgebracht worden war. Als Gas für die Plasmaerzeugung wurde eine Mischung aus Helium, Argon und Sauerstoff verwendet. Die Durchflußmenge des Heliums betrug 2 Liter/Minute. Die Durchflußmenge des Argons betrug 7 Liter/Minute. Die Durchflußmenge des Sauerstoffs betrug 50 cc/Minute.
  • Die Elektrode 1 war geerdet und die Elektrode 2 mit einer Wechselstromquelle 13 mit einer Frequenz von 13,56 MHz verbunden. An die Elektroden wurde eine Wechselspannung (angelegte elektrische Leistung: 1000 W) angelegt, um unter Atmosphärendruck ein Plasma 3 zu erzeugen. Mittels des Plasmas 3 wurde der Gegenstand 4 einer Ätzbehandlung unterzogen. Während des Plasmaätzens wurde die Oberflächentemperatur der Elek trode mit einem Infrarot-Strahlungsthermometer 24 (hergestellt von der Chino Corp.) gemessen. Die gemessene Elektrodentemperatur betrug 250°C. Die elektrische Leistung pro Volumeneinheit des Plasmas 3 betrug 400 W/cm3. Es wurde die Zeit gemessen, bis sich der Lack auf dem Gegenstand 4 durch das Plasmaätzen vollständig abgelöst hatte. Aus den Meßergebnissen ergab sich eine Lackätzrate von 1,5 μm/Minute. Nach der Behandlung konnte auf dem Gegenstand 4 kein thermischer Schaden und kein durch einen Überschlag entstandener Schaden festgestellt werden. Die experimentellen Bedingungen und die Bewertungsergebnisse sind in der Tabelle 1 zusammengefaßt.
  • BEISPIEL 2
  • Mit der Plasmabehandlungsvorrichtung der 1 wurde eine Plasmabehandlung auf im wesentlichen die gleiche Weise wie im Beispiel 1 durchgeführt, mit der Ausnahme, daß die in der Tabelle 1 gezeigten experimentellen Bedingungen verwendet wurden. Bei diesem Beispiel wurde während der Plasmabehandlung als Kühlmittel 9 Ionenaustauschwasser durch die Elektroden 1, 2 gepumpt. Nach der Plasmabehandlung konnte auf dem Gegenstand 4 kein thermischer Schaden und kein durch einen Überschlag entstandener Schaden festgestellt werden. Die Ergebnisse sind in der Tabelle 1 gezeigt.
  • BEISPIEL 3
  • Mit der Plasmabehandlungsvorrichtung der 1 wurde eine Plasmabehandlung auf im wesentlichen die gleiche Weise wie im Beispiel 1 durchgeführt, mit der Ausnahme, daß die in der Tabelle 1 gezeigten experimentellen Bedingungen verwendet wurden. Bei diesem Beispiel wurde eine Mischung aus Aluminiumoxid und MgO (MgO-Gehalt gleich 5 Vol.-%) als dielektrische Schicht 6 verwendet. Die dielektrische Schicht 6 wurde mit einem Keramiksprühverfahren in einer Dicke von 700 μm ausgebildet. Außerdem wurde während der Plasmabehandlung als Kühlmittel 9 HFE-7100 (hergestellt von Sumitomo 3M Co., Ltd.) durch die Elektroden 1, 2 gepumpt. Nach der Plasmabehandlung konnte auf dem Gegenstand 4 kein thermischer Schaden und kein durch einen Überschlag entstandener Schaden festgestellt werden. Die Ergebnisse sind in der Tabelle 1 gezeigt.
  • BEISPIEL 4
  • Mit der Plasmabehandlungsvorrichtung der 1 wurde eine Plasmabehandlung auf im wesentlichen die gleiche Weise wie im Beispiel 1 durchgeführt, mit der Ausnahme, daß die in der Tabelle 1 gezeigten experimentellen Bedingungen verwendet wurden. Bei diesem Beispiel wurde eine Glasur aus Siliziumoxid, Magnesiumoxid, Titanoxid, Zirkonoxid und Aluminiumoxid als dielektrische Schicht 6 verwendet. Die dielektrische Schicht 6 wurde mit einem Schmelzverbindungsverfahren in einer Dicke von 1000 μm ausgebildet. Nach der Plasmabehandlung konnte auf dem Gegenstand 4 kein thermischer Schaden und kein durch einen Überschlag entstandener Schaden festgestellt werden. Die Ergebnisse sind in der Tabelle 1 gezeigt.
  • BEISPIEL 5
  • Mit der Plasmabehandlungsvorrichtung der 1 wurde eine Plasmabehandlung durchgeführt. Als Elektroden 1, 2 wurden Rohre aus rostfreiem Stahl mit jeweils einer Titanoxidschicht mit einer Dicke von 200 μm als dielektrischer Schicht 6 verwendet. Die mittlere Oberflächenrauhigkeit der Umfangsfläche der Elektroden betrug 20 μm. Die dielektrische Schicht 6 wurde durch ein Keramiksprühverfahren ausgebildet. Der Abstand zwischen den Elektroden 1 und 2 betrug 1 mm. Der Krümmungsradius der gekrümmten Oberfläche R der Elektroden 1, 2 betrug 10 mm. Während der Plasmabehandlung wurde als Kühlmittel 9 FluorinertTM FC-77 (hergestellt von Sumitomo 3M Co., Ltd.) durch die Elektroden 1, 2 gepumpt. Als Gas zur Plasmaerzeugung wurde eine Mischung aus Helium, Argon und Sauerstoff verwendet. Die Durchflußmenge des Heliums betrug 3 Liter/Minute. Die Durchflußmenge des Argons betrug 9 Liter/Minute. Die Durchflußmenge des Sauerstoffs betrug 100 cc/Minute. Bei diesem Beispiel wurden BGA-(Ball-Grid-Array)-Substrate (50 × 200 mm) aus Kunststoff als Gegenstände 4 verwendet. Die Substrate wurden durch Ausbilden einer 40 μm dicken Schicht aus Lackfarbe ("PSR-4000AUS5", hergestellt von Taiyo Ink MFG. Co., Ltd.) auf einer Kunststoffplatte aus BT (Bismaleimid-Triazin) mit einer Dicke von 0,5 mm hergestellt. Diese BGA-Substrate wiesen einen mit Gold beschichteten Abschnitt auf, auf ihnen befand sich ein IC-Chip. Die Gegenstände 4 wurden mit einer Beförderungseinrichtung 11 mit einer Fördergeschwindigkeit von 2 cm/Sekunde befördert.
  • Die Elektrode 1 war geerdet und die Elektrode 2 mit einer Wechselstromversorgung 13 mit einer Frequenz von 13,56 MHz verbunden. An die Elektroden wurde eine Wechselspannung (angelegte elektrische Leistung: 1000 W) angelegt, um unter Atmosphärendruck ein Plasma 3 zu erzeugen. Während der Plasmabehandlung wurde die Oberflächentemperatur der Elektroden mit einem Infrarot-Strahlungsthermometer 24 gemessen. Die gemessene Elektrodentemperatur betrug 200°C. Die elektrische Leistung pro Volumeneinheit des Plasmas 3 betrug 200 W/cm3.
  • Bei diesem Beispiel wurden folgende Bewertungen vorgenommen. Vor der Plasmabehandlung wurde der Kontaktwinkel von Wasser auf dem Lack gemessen. Der Kontaktwinkel betrug 80 Grad. Nach der Plasmabehandlung wurde der Kontaktwinkel erneut gemessen. Der Kontaktwinkel betrug nun 8 Grad. Zwischen dem mit Gold beschichteten Abschnitt und dem IC-Chip auf einem nicht behandelten BGA-Substrat mit dem Kontaktwinkel von 80 Grad erfolgte ein Drahtbonden, wobei die Bondfestigkeit gemessen wurde. Die Bondfestigkeit betrug 5 g. Gleichermaßen erfolgte zwischen dem mit Gold beschichteten Abschnitt und dem IC-Chip auf dem behandelten BGA-Substrat mit dem Kontaktwinkel von 8 Grad ein Drahtbonden, und die Bondfestigkeit wurde gemessen. Die Bondfestigkeit betrug 8 g. Durch die Plasmabehandlung wurde somit die Bondfestigkeit erhöht.
  • Darüberhinaus wurde auf die nichtbehandelten und die plasmabehandelten BGA-Substrate ein Dichtharz ("Panasealer CV8100Z), hergestellt von Matshushita Electric Works, Ltd.) bei 175°C domförmig (der Durchmesser an der Unterseite betrug 11,3 mm) aufgeschmolzen und dann die Abziehfestigkeit unter einer Scherspannung gemessen. Die Abziehfestigkeit des Dichtharzes auf dem nicht behandelten BGA-Substrat betrug 11 MPa. Die Abziehfestigkeit des Dichtharzes auf dem plasmabehandelten BGA-Substrat betrug dagegen 20 MPa. Die Abziehfestigkeit wurde somit durch die Plasmabehandlung verbessert. Die Ergebnisse sind in der Tabelle 2 gezeigt.
  • BEISPIEL 6
  • Mit der Plasmabehandlungsvorrichtung der 1 wurde eine Plasmabehandlung auf im wesentlichen die gleiche Weise wie im Beispiel 6 durchgeführt, mit der Ausnahme, daß die in der Tabelle 2 gezeigten experimentellen Bedingungen verwendet wurden. Bei diesem Beispiel wurden als Gegenstände 4 IC-Trägersubstrate auf Kupferbasis (50 × 200 mm) mit jeweils einem mit Gold beschichteten Abschnitt verwendet, auf denen sich ein IC-Chip befand. Die Ergebnisse sind in der Tabelle 2 gezeigt. Die Ergebnisse der Bewertungstests zeigen, daß durch die Plasmabehandlung die Bondfestigkeit und die Abziehfestigkeit verbessert wurden.
  • BEISPIEL 7
  • Mit der Plasmabehandlungsvorrichtung der 1 wurde eine Plasmabehandlung durchgeführt. Als Elektroden 1, 2 wurden Rohre aus rostfreiem Stahl mit jeweils einer Titanoxidschicht mit einer Dicke von 500 μm als dielektrischer Schicht 6 verwendet. Die mittlere Oberflächenrauhigkeit der Umfangsfläche der Elektroden betrug 50 μm. Die dielektrische Schicht 6 wurde durch ein Keramiksprühverfahren ausgebildet. Der Abstand zwischen den Elektroden 1 und 2 betrug 0,5 mm. Der Krümmungsradius der gekrümmten Oberfläche R der Elektroden 1, 2 betrug 10 mm. Während der Plasmabehandlung wurde als Kühlmittel 9 FluorinertTM FC-77 (hergestellt von Sumitomo 3M Co., Ltd.) durch die Elektroden 1, 2 gepumpt. Als Gas zur Plasmaerzeugung wurde eine Mischung aus Helium, Argon, Sauerstoff und CF4 verwendet. Die Durchflußmenge des Heliums betrug 1 Liter/Minute. Die Durchflußmenge des Argons betrug 3 Liter/Minute. Die Durchflußmenge des Sauerstoffs betrug 100 cc/Minute. Die Durchflußmenge des CF4 betrug 50 cc/Minute.
  • Die Elektrode 1 war geerdet und die Elektrode 2 mit einer Wechselstromversorgung 13 mit einer Frequenz von 13,56 MHz verbunden. An die Elektroden wurde eine Wechselspannung (angelegte elektrische Leistung: 1000 W) angelegt, um unter Atmosphärendruck ein Plasma 3 zu erzeugen. Während der Plasmabehandlung wurde die Oberflächentemperatur der Elektroden mit einem Infrarot-Strahlungsthermometer 24 gemessen. Die gemessene Elektrodentemperatur betrug 200°C. Die elektrische Leistung pro Volumeneinheit des Plasmas 3 betrug 100 W/cm3.
  • Bei diesem Beispiel wurde die Oberfläche eines auf einem Halbleiterchip ausgebildeten Sn-Ag-Lötkontakthügels und ein metallisierter Abschnitt eines mit Ni/Au metallisierten Substrats als zu behandelnde Gegenstände 4 verwendet. Nach dem Ausrichten des Halbleiterchips und des mit Ni/Au metallisierten Substrats in Luft erfolgte ein Reflowprozeß bei 230°C in einem Bandofen in einer Stickstoffatmosphäre (Sauerstoffkonzentration: 80 ppm). Wenn der Halbleiterchip und das mit Ni/Au metallisierte Substrat keiner Plasmabehandlung unterworfen wurde, ließ sich im Reflowschritt der Halbleiterchip nicht mit dem Ni/Au metallisierten Substrat verbinden. Wenn jedoch der Halbleiterchip und das mit Ni/Au metallisierte Substrat einer Plasmabehandlung unterzogen wurden, wurde im Reflowschritt eine gute Verbindung zwischen dem Halbleiterchip und dem mit dem Ni/Au metallisierten Substrat erhalten. Die experimentellen Bedingungen und die Bewertungsergebnisse sind in der Tabelle 3 angegeben.
  • BEISPIEL 8
  • Es wurde eine Plasmabehandlung mit einer Plasmabehandlungsvorrichtung durchgeführt, die im wesentlichen derjenigen der 1 entsprach, mit der Ausnahme, daß ein Plasmagenerator wie in der 5 gezeigt verwendet wurde. Die Elektroden 1A, 2A wurden jeweils dadurch erhalten, daß auf einem Rohr aus rostfreiem Stahl mit im wesentlichen dreieckigem Querschnitt eine Aluminiumoxidschicht als dielektrische Schicht 6 ausgebildet wurde. Die Wanddicke des Rohrs aus rostfreiem Stahl betrug 1 mm. Die Seitenlänge betrug bei dem dreieckigen Querschnitt jeweils 10 mm. Jede der Elektroden 1A, 2A wies am Scheitelabschnitt eine gekrümmte Oberfläche R auf. Der Krümmungsradius der gekrümmten Oberfläche R betrug 3 mm. Zwischen den gekrümmten Oberflächen R der Elektroden 1A, 2A befand sich der Entladungsraum 22. Der Abstand zwischen den Elektroden betrug 1 mm. Die dielektrische Schicht 6 wurde durch ein Keramiksprühverfahren in einer Dicke von etwa 500 μm ausgebildet. Die mittlere Oberflächenrauhigkeit der Umfangsfläche der Elektroden betrug 50 μm. Angrenzend an die Elektroden war ein Paar von Plasmaführungselementen 50 aus Teflon® angeordnet. Die Länge der Hypotenuse des Plasmaführungselements 50 betrug 15 mm. Während der Plasmabehandlung wurde als Kühlmittel 9 Ionenaustauschwasser durch die Elektroden 1A, 2A gepumpt. Der zu behandelnde Gegenstand 4 war ein Siliziumwafer mit einer 1 μm dicken Schicht eines Negativlacks ("OMR-83", hergestellt von Tokyo Ohka Kogyo Co., Ltd.). Als Gas zur Plasmaerzeugung wurde eine Mischung aus Helium und Sauerstoff verwendet. Die Durchflußmenge des Heliums betrug 10 Liter/Minute. Die Durchflußmenge des Sauerstoffs betrug 100 cc/Minute.
  • Die Elektrode 1 war geerdet und die Elektrode 2 mit einer Wechselstromversorgung 13 mit einer Frequenz von 100 kHz verbunden. An die Elektroden wurde eine Wechselspannung (angelegte elektrische Leistung: 1500 W) angelegt, um unter Atmosphärendruck ein Plasma 3 zu erzeugen. Mit diesem Plasma 3 wurde am Gegenstand 4 eine Ätzbehandlung durchgeführt. Nach der Ätzbehandlung konnten am Gegenstand 4 keine thermischen Schäden und keine durch einen Überschlag verursachte Schäden festgestellt werden. Die experimentellen Bedingungen und die Bewertungsergebnisse sind in der Tabelle 3 angegeben.
  • BEISPIEL 9
  • Mit der Plasmabehandlungsvorrichtung der 9 wurde eine Plasmabehandlung durchgeführt. Die Elektroden 1, 2 bestanden jeweils aus einem zylindrischen Rohr aus rostfreiem Stahl (JIS: SUS316) mit einem Außendurchmesser von 6,35 mm und mit einer dielektrischen Schicht 6 an der Außenseite. Die dielektrische Schicht 6 wurde durch ein Schmelzverbindungsverfahren ausgebildet. Dazu wurde eine Fritte aus Siliziumoxid, Magnesiumoxid und Aluminiumoxid in ein Lösungsmittel gegeben und 150 g der sich ergebenden Mischung mit einer Sprühpistole auf das Rohr aus rostfreiem Stahl gesprüht, um eine Schicht zu erhalten. Die Schicht wurde für 10 Minuten auf 850°C aufgeheizt, um eine Schmelzverbindung mit dem Rohr aus rostfreiem Stahl zu erhalten. Die Dicke der erhaltenen dielektrischen Dicht 6 betrug 500 μm. Der Abstand zwischen benachbarten Elektroden 1, 2 betrug 1 mm. Die Behandlungskammer 60 (520 mm (L) × 352 mm (W) × 200 mm (H)) bestand aus Acrylharz. Jede der Elektroden 1 und 2 wurde durch einen an der Seitenwand der Behandlungskammer ausgebildeten Halter (nicht gezeigt) gehalten. Unter den Elektroden befand sich in der Behandlungskammer 60 eine Beförderungseinrichtung 11. Die Beförderungseinrichtung 11 umfaßte eine Anzahl von Rundstäben aus Teflon®, einem außen an der Behandlungskammer angebrachten Motor, Riemenscheiben und ein Gummiband. Als Türstruktur für die Behandlungskammer 60 wurde eine Reiheneinführung 63 mit einem Luftdruck-Öffnungs/Schließmechanismus verwendet. Das Innere der Behandlungskammer ist mittels Dichtelementen wie O-Ringen luftdicht von der Außenseite abgeschlossen.
  • Als zu behandelnde Gegenstände 4 wurden Glasplatten (0,7 mm × 200 mm × 300 mm) für Flüssigkristalle verwendet. Alle Elektroden 1 waren geerdet und alle Elektroden 2 mit einer Wechselstromversorgung 13 mit einer Frequenz von 100 kHz verbunden. An die Elektroden 1 und 2 wurde eine Wechselspannung (angelegte elektrische Leistung: 1000 W) angelegt, um unter Atmosphärendruck ein Plasma 3 zu erzeugen. Während der Plasmabehandlung wurde als Kühlmittel 9 reines Wasser durch die Elektroden 1, 2 gepumpt. Als Gas zur Plasmaerzeugung wurde eine Mischung aus Helium und Sauerstoff verwendet. Die Durchflußmenge des Heliums betrug 10 Liter/Minute. Die Durchflußmenge des Sauerstoffs betrug 100 cc/Minute. Der vertikale Abstand zwischen dem Gegenstand 4 und den Elektroden 1, 2 betrug jeweils 5 mm. Die Gegenstände wurden mittels der Beförderungseinrichtung 11 mit einer Fördergeschwindigkeit von 15 mm/Sekunde bewegt. Mittels des Plasmas 3 erfolgte die Plasmabehandlung (Oberflächenmodifikation und Reinigung) an den Gegenständen 4.
  • Bei diesem Beispiel wurde folgende Bewertung vorgenommen. Vor der Plasmabehandlung wurde der Kontaktwinkel von Wasser auf den Glasplatten gemessen. Der Kontaktwinkel betrug 45 Grad. Nach der Plasmabehandlung wurde der Kontaktwinkel erneut gemessen. Der Kontaktwinkel betrug nun 6 Grad. Der Kontaktwinkel von Wasser wurde mit der erfindungsgemäßen Plasmabehandlung somit verringert. Die Abnahme des Kontaktwinkels von Wasser ergibt eine verbesserte Festigkeit beim Drahtbonden. Die experimentellen Bedingungen und die Bewertungsergebnisse sind in der Tabelle 3 gezeigt.
    Figure 00180001
    Figure 00190001
    Figure 00200001
  • 1
    zylindrische Elektrode
    2
    zylindrische Elektrode
    3
    Plasma
    4
    Gegenstand
    5
    Gaszuführeinheit
    6
    dielektrische Schicht
    7
    Steuerung
    9
    Kühlmittel
    10
    Plasmagenerator
    11
    Beförderungseinrichtung
    12
    Gaszuführbehälter
    13
    Wechselstromquelle
    14
    Halteelement
    15
    Gaszuführleitung
    16
    Gaseinlaß
    17
    Gasauslaß
    20
    Kühlmittelzuführeinheit
    21
    Kühlmittelzuführleitung
    22
    Entladungsraum
    23
    Kühlmittel-Abführleitung
    24
    Thermometer
    27
    Display
    30
    Mikrocomputer
    33
    Kühlmitteltransferleitung
    35
    Plasma-Diffusionszone
    40
    im Infraroten transparentes Fenster
    50
    Plasmaführungselement
    60
    Behandlungskammer
    61
    Gaseinlaß
    62
    Gasauslaß
    63
    Reiheneinführung
    64
    Entspannungsraum
    65
    Trennwand
    67
    Schlitz
    1A
    rohrförmige Elektrode
    2A
    rohrförmige Elektrode
    1B
    Elektrode
    2B
    Elektrode
    1C
    erste Elektrode
    2C
    zweite Elektrode
    1S
    flache Plattenelektrode
    2S
    flache Plattenelektrode
    3S
    Plasma
    4S
    Gegenstand
    6S
    dielektrische Schicht
    22S
    Entladungsraum

Claims (16)

  1. Plasmabehandlungsvorrichtung zum Behandeln eines stromabwärts von einem Entladungsraum (22) mit Plasma (3) plazierten Gegenstands (4), mit wenigstens einem Paar von Elektroden (1, 2, 1A, 2A, 1B, 2B, 1C, 2C), von denen mindestens eine eine dielektrische Schicht (6) an ihrer äußeren Oberfläche aufweist; einer Einrichtung (5) zum Versorgen des zwischen den Elektroden definierten Entladungsraums mit Gas für die Plasmaerzeugung; und mit einer Stromversorgung (13) zum Anlegen einer Wechselspannung zwischen den Elektroden, um das Plasma aus dem Gas im Entladungsraum zu erzeugen; dadurch gekennzeichnet, daß mindestens eine der Elektroden eine in den Entladungsraum (22) ragende gekrümmte Oberfläche (R) aufweist.
  2. Vorrichtung nach Anspruch 1, ferner mit einem Plasmaführungselement (50), das angrenzend an mindestens eine der Elektroden angeordnet ist, um das Plasma (3) so zu führen, daß es sich vom Entladungsraum (22) zum Objekt (4) hin ausbreitet.
  3. Vorrichtung nach Anspruch 2, wobei das Plasmaführungselement (50) einstückig mit der Elektrode ausgebildet ist.
  4. Vorrichtung nach einem der vorhergehenden Ansprüche, wobei mindestens eine der Elektroden eine rohrförmige Elektrode (1, 2, 1A, 2A) oder eine zylindrische Elektrode (1, 2) ist.
  5. Vorrichtung nach einem der Ansprüche 1 bis 3, wobei die beiden Elektroden zylindrische Elektroden (1, 2) sind, die so angeordnet sind, daß sich eine (1) der Elektroden im wesentlichen parallel zur anderen Elektrode (2) erstreckt.
  6. Vorrichtung nach einem der vorhergehenden Ansprüche, wobei der Krümmungsradius der in den Entladungsraum (22) ragenden gekrümmten Oberfläche (R) im Bereich von 1 bis 25 mm liegt.
  7. Vorrichtung nach einem der vorhergehenden Ansprüche, ferner mit einer Einrichtung (20) zum Zuführen eines Kühlmittels (9) zum Inneren der Elektrode, um die Elektrodentemperatur während einer Plasmabehandlung zu reduzieren.
  8. Vorrichtung nach einem der vorhergehenden Ansprüche, ferner mit einer Steuereinrichtung (7), um die Elektrodentemperatur während der Plasmabehandlung auf oder unterhalb einer vorgegebenen Temperatur zu halten, die vorzugsweise 250°C beträgt.
  9. Vorrichtung nach einem der vorhergehenden Ansprüche, wobei die arithmetische Mitteloberflächenrauhigkeit der äußeren Oberfläche der Elektrode im Bereich von 10 bis 1000 μm liegt.
  10. Vorrichtung nach einem der vorhergehenden Ansprüche, wobei die Elektrode mit der dielektrischen Schicht durch Schmelzverbinden eines auf Glas basierenden Materials mit einem Elektrodenmaterial oder durch Aufsprühen eines keramischen Materials auf ein Elektrodenmaterial ausgebildet wird.
  11. Vorrichtung nach einem der vorhergehenden Ansprüche, wobei die dielektrische Schicht aus Magnesiumoxid und/oder einem Magnesiumoxid enthaltenden Isoliermaterial besteht.
  12. Vorrichtung nach einem der vorhergehenden Ansprüche, wobei das Gas für die Plasmaerzeugung ein Inertgas, eine Mischung aus Inertgasen oder eine Mischung eines Inertgases und eines reaktiven Gases ist.
  13. Vorrichtung nach einem der vorhergehenden Ansprüche, ferner mit einer Beförderungseinrichtung (11) zum Befördern des Objekts an eine Stelle unter den Elektroden.
  14. Vorrichtung nach Anspruch 1, mit mehreren Paaren von ersten und zweiten Elektroden (1, 2), wobei mindestens eine von benachbarten ersten und zweiten Elektroden eine dielektrische Schicht (6) an ihrer äußeren Oberfläche aufweist; wobei die ersten und die zweiten Elektroden abwechselnd derart angeordnet sind, daß jede der ersten Elektroden (1) sich im wesentlichen parallel zur benachbarten zweiten Elektrode (2) erstreckt; und wobei jede der ersten und der zweiten Elektroden (1, 2) eine rohrförmige Struktur aufweist.
  15. Vorrichtung nach Anspruch 14, ferner mit einer Einrichtung (20) zum Zuführen eines Kühlmittels (9) zum Inneren der ersten und der zweiten Elektroden (1, 2), um die Elektrodentemperatur während der Plasmabehandlung zu reduzieren.
  16. Plasmabehandlungsverfahren, ausgeführt unter Verwendung der Vorrichtung nach einem der vorhergehenden Ansprüche, mit den folgenden Schritten: Zuführen des Gases für die Plasmaerzeugung zum Entladungsraum zwischen den Elektroden; Anlegen einer Wechselspannung zwischen den Elektroden, um im Entladungsraum ein Plasma des Gases unter atmosphärischen Druck zu erzeugen; und Behandeln des Objekts mit dem Plasma.
DE69929271T 1998-10-26 1999-10-20 Apparat und Verfahren zur Plasmabehandlung Expired - Fee Related DE69929271T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP30400398 1998-10-26
JP30400398 1998-10-26

Publications (2)

Publication Number Publication Date
DE69929271D1 DE69929271D1 (de) 2006-03-30
DE69929271T2 true DE69929271T2 (de) 2006-09-21

Family

ID=17927898

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69929271T Expired - Fee Related DE69929271T2 (de) 1998-10-26 1999-10-20 Apparat und Verfahren zur Plasmabehandlung

Country Status (7)

Country Link
US (1) US6424091B1 (de)
EP (1) EP0997926B1 (de)
KR (1) KR100320574B1 (de)
CN (1) CN1141009C (de)
DE (1) DE69929271T2 (de)
HK (1) HK1026329A1 (de)
TW (1) TW518367B (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102009008907A1 (de) 2009-02-13 2010-09-23 Airbus Operations Gmbh Verfahren zur Plasmabehandlung und Lackierung einer Fläche

Families Citing this family (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6441553B1 (en) * 1999-02-01 2002-08-27 Sigma Technologies International, Inc. Electrode for glow-discharge atmospheric-pressure plasma treatment
JP2001147424A (ja) * 1999-11-19 2001-05-29 Hitachi Ltd 導電性薄膜形成用の絶縁基板およびこの絶縁基板を用いた液晶表示素子
EP1162646A3 (de) * 2000-06-06 2004-10-13 Matsushita Electric Works, Ltd. Plasmabehandlungsgerät und -verfahren
EP1334507A1 (de) * 2000-10-26 2003-08-13 Dow Corning S.A. Plasmavorrichtung unter atmosphärischem druck
KR100408604B1 (ko) * 2000-12-07 2003-12-06 주식회사제4기한국 대기압 플라즈마를 이용한 정밀세정과 표면개질방법 및 그장치
KR100815038B1 (ko) 2000-12-12 2008-03-18 코니카 미놀타 홀딩스 가부시키가이샤 박막 형성 방법, 박막을 갖는 물품, 광학 필름, 유전체피복 전극 및 플라즈마 방전 처리 장치
US7510664B2 (en) * 2001-01-30 2009-03-31 Rapt Industries, Inc. Apparatus and method for atmospheric pressure reactive atom plasma processing for shaping of damage free surfaces
US7591957B2 (en) * 2001-01-30 2009-09-22 Rapt Industries, Inc. Method for atmospheric pressure reactive atom plasma processing for surface modification
KR20020085149A (ko) * 2001-05-07 2002-11-16 주식회사 우광유니텍 상온/상압에서의 플라즈마 건식세정장치
KR100491140B1 (ko) * 2001-06-15 2005-05-27 주식회사 셈테크놀러지 대기압 플라즈마를 이용한 표면 세정방법 및 장치
US6849306B2 (en) * 2001-08-23 2005-02-01 Konica Corporation Plasma treatment method at atmospheric pressure
KR20030018121A (ko) * 2001-08-27 2003-03-06 주식회사 우광유니텍 대기압 플라즈마를 이용한 세정장치
US6660177B2 (en) * 2001-11-07 2003-12-09 Rapt Industries Inc. Apparatus and method for reactive atom plasma processing for material deposition
US20030164143A1 (en) * 2002-01-10 2003-09-04 Hitachi Kokusai Electric Inc. Batch-type remote plasma processing apparatus
DE10202311B4 (de) * 2002-01-23 2007-01-04 Schott Ag Vorrichtung und Verfahren zur Plasmabehandlung von dielektrischen Körpern
JP3957549B2 (ja) * 2002-04-05 2007-08-15 株式会社日立国際電気 基板処埋装置
KR100829327B1 (ko) * 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반응 용기
JP4847009B2 (ja) * 2002-05-23 2011-12-28 ラム リサーチ コーポレーション 半導体処理プラズマ反応器用の多部品電極および多部品電極の一部を取り換える方法
KR20050103251A (ko) * 2002-10-07 2005-10-27 세키스이가가쿠 고교가부시키가이샤 플라즈마 표면 처리 장치
KR100462772B1 (ko) * 2002-12-02 2004-12-23 에이치아이티 주식회사 플라즈마를 이용한 세정장치
CN100459060C (zh) * 2003-02-05 2009-02-04 株式会社半导体能源研究所 显示装置的制造方法
WO2004070819A1 (ja) * 2003-02-05 2004-08-19 Semiconductor Energy Laboratory Co., Ltd. 表示装置の製造方法
WO2004070822A1 (ja) * 2003-02-06 2004-08-19 Semiconductor Energy Laboratory Co., Ltd. 半導体装置及び表示装置の製造方法
WO2004070809A1 (ja) * 2003-02-06 2004-08-19 Semiconductor Energy Laboratory Co., Ltd. 表示装置の作製方法
JP4526951B2 (ja) * 2003-02-06 2010-08-18 株式会社半導体エネルギー研究所 表示装置の作製方法
US7371992B2 (en) * 2003-03-07 2008-05-13 Rapt Industries, Inc. Method for non-contact cleaning of a surface
WO2004090931A2 (en) * 2003-04-10 2004-10-21 Bae Systems Plc Method and apparatus for treating a surface using a plasma discharge
US7387738B2 (en) 2003-04-28 2008-06-17 Air Products And Chemicals, Inc. Removal of surface oxides by electron attachment for wafer bumping applications
US7897029B2 (en) 2008-03-04 2011-03-01 Air Products And Chemicals, Inc. Removal of surface oxides by electron attachment
US8361340B2 (en) 2003-04-28 2013-01-29 Air Products And Chemicals, Inc. Removal of surface oxides by electron attachment
CA2524484A1 (en) * 2003-05-14 2004-11-25 Sekisui Chemical Co., Ltd. Plasma processing apparatus and method for producing same
US7297892B2 (en) * 2003-08-14 2007-11-20 Rapt Industries, Inc. Systems and methods for laser-assisted plasma processing
US7304263B2 (en) * 2003-08-14 2007-12-04 Rapt Industries, Inc. Systems and methods utilizing an aperture with a reactive atom plasma torch
DE102004019741B4 (de) * 2004-04-20 2006-04-27 Centrotherm Photovoltaics Gmbh + Co. Kg Plasmareaktor zur Oberflächenmodifikation von Gegenständen
US20060091117A1 (en) * 2004-11-04 2006-05-04 United Technologies Corporation Plasma spray apparatus
US20060139039A1 (en) * 2004-12-23 2006-06-29 Dutton David T Systems and methods for a contactless electrical probe
EP1689216A1 (de) * 2005-02-04 2006-08-09 Vlaamse Instelling Voor Technologisch Onderzoek (Vito) Plasmastrahl unter atmosphärischem Druck
JP2006278236A (ja) * 2005-03-30 2006-10-12 Ngk Insulators Ltd プラズマ発生電極及びプラズマ反応器
EP1741826A1 (de) * 2005-07-08 2007-01-10 Nederlandse Organisatie voor Toegepast-Natuuurwetenschappelijk Onderzoek TNO Verfahren zur Beschichtung einer Polymerschicht enthaltend Nanomaterialien auf einem Substrat und Vorrichtung
WO2007015445A1 (ja) * 2005-08-02 2007-02-08 Dialight Japan Co., Ltd. プラズマ発生装置およびこれを用いた成膜方法
US7691278B2 (en) * 2005-09-27 2010-04-06 Lam Research Corporation Apparatus for the removal of a fluorinated polymer from a substrate and methods therefor
US20070079936A1 (en) * 2005-09-29 2007-04-12 Applied Materials, Inc. Bonded multi-layer RF window
US20070116891A1 (en) * 2005-11-22 2007-05-24 The Regents Of The University Of California Plasma brush apparatus and method
US7434719B2 (en) 2005-12-09 2008-10-14 Air Products And Chemicals, Inc. Addition of D2 to H2 to detect and calibrate atomic hydrogen formed by dissociative electron attachment
DE102007006786B4 (de) 2006-02-22 2022-02-10 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Anlage und Verfahren zum Beschichten eines Substrates
KR100805690B1 (ko) * 2006-07-11 2008-02-21 한국기계연구원 슬롯형 리모트 저온 플라즈마 반응기
JP4884180B2 (ja) * 2006-11-21 2012-02-29 東京エレクトロン株式会社 基板処理装置および基板処理方法
JP4629068B2 (ja) 2007-05-25 2011-02-09 株式会社サイアン ワーク処理装置
US8097217B2 (en) * 2007-07-06 2012-01-17 Uion Co., Ltd Atmospheric pressure plasma generating apparatus by induction electrode
US7453191B1 (en) 2007-07-06 2008-11-18 Uion Co., Ltd. Induction concentration remote atmospheric pressure plasma generating apparatus
CN101376980B (zh) * 2007-08-27 2011-09-21 宝山钢铁股份有限公司 一种改善带钢润湿性的工艺
US7701145B2 (en) * 2007-09-07 2010-04-20 Nexolve Corporation Solid expellant plasma generator
US20090151322A1 (en) * 2007-12-18 2009-06-18 Perriquest Defense Research Enterprises Llc Plasma Assisted Combustion Device
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
US20100037824A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
US8851012B2 (en) * 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US8770142B2 (en) * 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
EP2175047B1 (de) * 2008-10-09 2017-02-08 Bobst Manchester Ltd Vorrichtung zur Plasmabehandlung von Substraten und Verfahren
EP2180768A1 (de) * 2008-10-23 2010-04-28 TNO Nederlandse Organisatie voor Toegepast Wetenschappelijk Onderzoek Vorrichtung und Verfahren zur Behandlung eines Objekts
US8871628B2 (en) * 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
US20100203287A1 (en) * 2009-02-10 2010-08-12 Ngimat Co. Hypertransparent Nanostructured Superhydrophobic and Surface Modification Coatings
WO2010095901A2 (en) 2009-02-23 2010-08-26 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
US10299887B2 (en) * 2009-04-23 2019-05-28 Nanova, Inc. Atmospheric non-thermal gas plasma method for dental surface treatment
WO2010129783A1 (en) * 2009-05-06 2010-11-11 3M Innovative Properties Company Apparatus and method for plasma treatment of containers
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
JP5287592B2 (ja) * 2009-08-11 2013-09-11 東京エレクトロン株式会社 成膜装置
US8454850B2 (en) * 2009-09-02 2013-06-04 Air Products And Chemicals, Inc. Method for the removal of surface oxides by electron attachment
KR101051449B1 (ko) * 2009-11-13 2011-07-22 한국기계연구원 플라즈마 처치기
US20110132543A1 (en) * 2009-12-09 2011-06-09 Electronics And Telecommunications Research Institute Brush type plasma surface treatment apparatus
JP5603219B2 (ja) * 2009-12-28 2014-10-08 キヤノンアネルバ株式会社 薄膜形成装置
JP2011144412A (ja) * 2010-01-13 2011-07-28 Honda Motor Co Ltd プラズマ成膜装置
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
JP5815967B2 (ja) * 2011-03-31 2015-11-17 東京エレクトロン株式会社 基板洗浄装置及び真空処理システム
GB201110282D0 (en) * 2011-06-17 2011-08-03 Linde Ag Device for providing a flow of plasma
US11149370B2 (en) * 2012-09-19 2021-10-19 Apjet, Inc. Atmospheric-pressure plasma processing apparatus and method
US9447205B2 (en) * 2012-11-19 2016-09-20 Ut-Battelle, Llc Atmospheric pressure plasma processing of polymeric materials utilizing close proximity indirect exposure
CZ2012935A3 (cs) * 2012-12-19 2014-07-02 Masarykova Univerzita Způsob vytváření plazmatu za atmosférického tlaku ve štěrbinové trysce a zařízení k jeho provádění
CN103889138B (zh) * 2012-12-24 2016-06-29 中国科学院微电子研究所 等离子体放电装置
SG11201505669TA (en) * 2013-02-04 2015-09-29 Creative Tech Corp Plasma generator
CN103107059B (zh) * 2013-02-05 2015-09-30 珠海宝丰堂电子科技有限公司 等离子处理装置
EP2866318A1 (de) * 2013-10-24 2015-04-29 OCE-Technologies B.V. Elektrode zur Behandlung eines Substrat mit dielektrischer Barriereentladung
CN105990080B (zh) * 2015-02-02 2019-02-22 苏州爱特维电子科技有限公司 等离子体处理装置
US10589114B2 (en) 2015-04-14 2020-03-17 The Board Of Regents For Oklahoma State University Plasma thread
KR101682903B1 (ko) * 2015-05-20 2016-12-20 주식회사 플라즈맵 표면 처리용 선형 유전체 장벽 방전 플라즈마 발생장치
WO2017158671A1 (ja) * 2016-03-14 2017-09-21 富士機械製造株式会社 プラズマ発生装置
CN105929507B (zh) * 2016-06-29 2018-04-03 深圳长飞智连技术有限公司 一种具有粘接涂覆层的钢丝加强元件及其制造方法
US10300711B2 (en) 2017-05-04 2019-05-28 Xerox Corporation Device for providing multiple surface treatments to three-dimensional objects prior to printing and system using the device
CN107172796A (zh) * 2017-05-31 2017-09-15 江南大学 一种圆角矩形轮廓‑球形曲面电极的低温等离子体杀菌处理腔
US10468236B2 (en) * 2017-06-02 2019-11-05 XEI Scienctific, Inc. Plasma device with an external RF hollow cathode for plasma cleaning of high vacuum systems
CN110916294A (zh) * 2018-09-19 2020-03-27 钜翁企业有限公司 一种用于处理鞋材的电浆生成器及其处理方法
CN112087854B (zh) * 2019-06-12 2024-01-23 中国石油化工股份有限公司 介质阻挡放电等离子体发生装置
CN112218418A (zh) * 2020-11-30 2021-01-12 清华大学 一种介质阻挡面放电单元以及电子设备
CN112509907A (zh) * 2020-11-30 2021-03-16 中船重工安谱(湖北)仪器有限公司 一种介质阻挡辉光放电离子源结构
CN113141700B (zh) * 2021-03-16 2022-07-12 深圳大学 大气压空气均匀介质阻挡放电的电极结构和方法
CN114269059A (zh) * 2021-12-06 2022-04-01 北京天恒盛通科技发展有限公司 单侧虹杠式等离子体发生器
CN117116787A (zh) * 2023-10-24 2023-11-24 苏州芯慧联半导体科技有限公司 晶圆键合方法及系统

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0672308B2 (ja) 1988-07-04 1994-09-14 新技術事業団 大気圧プラズマ反応方法
JPH0748480B2 (ja) 1988-08-15 1995-05-24 新技術事業団 大気圧プラズマ反応方法
JP2589599B2 (ja) 1989-11-30 1997-03-12 住友精密工業株式会社 吹出型表面処理装置
DE69032691T2 (de) 1989-12-07 1999-06-10 Japan Science & Tech Corp Verfahren und Gerät zur Plasmabehandlung unter atmosphärischem Druck
JP2537304B2 (ja) 1989-12-07 1996-09-25 新技術事業団 大気圧プラズマ反応方法とその装置
JP2657850B2 (ja) 1990-10-23 1997-09-30 株式会社半導体エネルギー研究所 プラズマ発生装置およびそれを用いたエッチング方法
JPH0817171B2 (ja) * 1990-12-31 1996-02-21 株式会社半導体エネルギー研究所 プラズマ発生装置およびそれを用いたエッチング方法
JP2947981B2 (ja) * 1991-06-05 1999-09-13 本田技研工業株式会社 自動車の組立方法、及び組立装置
JP3207469B2 (ja) 1991-10-21 2001-09-10 益弘 小駒 大気圧吹き出し型プラズマ反応装置
WO1994028568A1 (en) 1993-05-28 1994-12-08 The University Of Tennessee Method and apparatus for glow discharge plasma treatment of polymer materials at atmospheric pressure
US5387842A (en) * 1993-05-28 1995-02-07 The University Of Tennessee Research Corp. Steady-state, glow discharge plasma
US5692006A (en) 1995-07-31 1997-11-25 Qualcomm Incorporated Adaptive despreader
US5981899A (en) 1997-01-17 1999-11-09 Balzers Aktiengesellschaft Capacitively coupled RF-plasma reactor
JP3219082B2 (ja) 2000-01-20 2001-10-15 日本電気株式会社 データ格納方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102009008907A1 (de) 2009-02-13 2010-09-23 Airbus Operations Gmbh Verfahren zur Plasmabehandlung und Lackierung einer Fläche
US8361565B2 (en) 2009-02-13 2013-01-29 Airbus Operations Gmbh Method for plasma treatment and painting of a surface

Also Published As

Publication number Publication date
CN1254250A (zh) 2000-05-24
HK1026329A1 (en) 2000-12-08
KR100320574B1 (ko) 2002-01-12
EP0997926A3 (de) 2000-05-17
KR20000029287A (ko) 2000-05-25
TW518367B (en) 2003-01-21
DE69929271D1 (de) 2006-03-30
EP0997926B1 (de) 2006-01-04
US6424091B1 (en) 2002-07-23
CN1141009C (zh) 2004-03-03
EP0997926A2 (de) 2000-05-03

Similar Documents

Publication Publication Date Title
DE69929271T2 (de) Apparat und Verfahren zur Plasmabehandlung
DE112004000057B4 (de) Plasmabehandlungsapparat und Plasmabehandlungsverfahren
JP3959906B2 (ja) プラズマ処理装置及びプラズマ処理方法
DE69734324T2 (de) Verfahren zur Plasmabehandlung und Apparat dafür
DE102007026633B4 (de) Vorrichtung und Verfahren zum elektrolytischen Behandeln von plattenförmiger Ware
DE10060002B4 (de) Vorrichtung zur Oberflächenbehandlung
DE19856307C1 (de) Vorrichtung zur Erzeugung eines freien kalten Plasmastrahles
DE3926023C2 (de)
DE2716592C3 (de) Plasma-Ätzvorrichtung
DE2933850C2 (de) Plasma-Ätzvorrichtung
EP1162646A2 (de) Plasmabehandlungsgerät und -verfahren
DE4104762A1 (de) Verfahren und vorrichtung zur bearbeitung einer oberflaeche
DE2251571A1 (de) Verfahren und vorrichtung zum aufbringen von beschichtungen auf substraten
DE1515323A1 (de) Verfahren zum Erzeugen eines Schutzfilmes auf einer festen Unterlage
EP0221968A1 (de) Verfahren zur entfernung von metallionen aus körpern aus glas oder keramischen werkstoffen
WO2015049205A1 (de) Vorrichtung und verfahren zur kontinuierlichen herstellung poröser siliciumschichten
DE19957034B4 (de) Verfahren zur Behandlung von Oberflächen von Substraten und Vorrichtung
DE4233895C2 (de) Vorrichtung zur Behandlung von durch einen Wickelmechanismus bewegten bahnförmigen Materialien mittels eines reaktiven bzw. nichtreaktiven, durch Hochfrequenz- oder Pulsentladung erzeugten Niederdruckplasmas
EP0849769B1 (de) Verfahren und Vorrichtung zur Aussenbeschichtung von Lampen
EP0328757A2 (de) Verfahren zur Herstellung dünner Schichten aus oxydischem Hochtemperatur-Supraleiter
DE2220086C3 (de) Vorrichtung zur Aufbringung eines Materials
DE10203543B4 (de) Vorrichtung zur Erzeugung eines APG-Plasmas
DE2708720A1 (de) Verfahren und vorrichtung zum chemischen behandeln eines werkstuecks vermittels glimmentladung
EP0257620B1 (de) Verfahren und Vorrichtung zum Ausbilden einer Schicht durch plasmachemischen Prozess
EP1819208A2 (de) Vorrichtung und Verfahren zur Erzeugung angeregter und/oder ionisierter Teilchen in einem Plasma

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee