EP2159025A3 - Race track configuration and method for wafering silicon solar substrates - Google Patents

Race track configuration and method for wafering silicon solar substrates Download PDF

Info

Publication number
EP2159025A3
EP2159025A3 EP20090252046 EP09252046A EP2159025A3 EP 2159025 A3 EP2159025 A3 EP 2159025A3 EP 20090252046 EP20090252046 EP 20090252046 EP 09252046 A EP09252046 A EP 09252046A EP 2159025 A3 EP2159025 A3 EP 2159025A3
Authority
EP
European Patent Office
Prior art keywords
work piece
cleave
wafering
coupled
system includes
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP20090252046
Other languages
German (de)
French (fr)
Other versions
EP2159025A2 (en
Inventor
Francois J. Henley
Adam Brailove
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Silicon Genesis Corp
Original Assignee
Silicon Genesis Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Silicon Genesis Corp filed Critical Silicon Genesis Corp
Publication of EP2159025A2 publication Critical patent/EP2159025A2/en
Publication of EP2159025A3 publication Critical patent/EP2159025A3/en
Withdrawn legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B28WORKING CEMENT, CLAY, OR STONE
    • B28DWORKING STONE OR STONE-LIKE MATERIALS
    • B28D5/00Fine working of gems, jewels, crystals, e.g. of semiconductor material; apparatus or devices therefor
    • B28D5/0005Fine working of gems, jewels, crystals, e.g. of semiconductor material; apparatus or devices therefor by breaking, e.g. dicing
    • B28D5/0011Fine working of gems, jewels, crystals, e.g. of semiconductor material; apparatus or devices therefor by breaking, e.g. dicing with preliminary treatment, e.g. weakening by scoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B28WORKING CEMENT, CLAY, OR STONE
    • B28DWORKING STONE OR STONE-LIKE MATERIALS
    • B28D5/00Fine working of gems, jewels, crystals, e.g. of semiconductor material; apparatus or devices therefor
    • B28D5/0058Accessories specially adapted for use with machines for fine working of gems, jewels, crystals, e.g. of semiconductor material
    • B28D5/0082Accessories specially adapted for use with machines for fine working of gems, jewels, crystals, e.g. of semiconductor material for supporting, holding, feeding, conveying or discharging work
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67736Loading to or unloading from a conveyor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T83/00Cutting
    • Y10T83/04Processes
    • Y10T83/0448With subsequent handling [i.e., of product]
    • Y10T83/0467By separating products from each other
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T83/00Cutting
    • Y10T83/202With product handling means
    • Y10T83/2074Including means to divert one portion of product from another

Abstract

A system for manufacturing free-standing films from work pieces. The system includes a racetrack structure (1000) being configured to transfer at least one work piece and one or more accelerator-based ion implanters (1001,1002,1003,100N) coupled to the racetrack structure (1000) via an end station (1011,1012,1013,101N). Each of the accelerator-based ion implanters (1001,1002,1003,100N) is configured to introduce particles having an energy of greater than 1 MeV to implant into a surface of the work piece loaded in the end station (1011,1012,1013,101N) to form a cleave region in the work piece. The system includes one or more cleave modules (1211,1212,121N) coupled to the racetrack structure (1000) configured to perform a cleave process to release a free-standing film from the work piece along the cleave region. Additionally, the system includes an output port (1311,1312) coupled to each cleave module (1211,1212,121N) to output the free standing film detached from the work piece and one or more service modules each connected to the racetrack structure (1000).
EP20090252046 2008-08-25 2009-08-25 Race track configuration and method for wafering silicon solar substrates Withdrawn EP2159025A3 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US9171008P 2008-08-25 2008-08-25

Publications (2)

Publication Number Publication Date
EP2159025A2 EP2159025A2 (en) 2010-03-03
EP2159025A3 true EP2159025A3 (en) 2011-09-07

Family

ID=41343195

Family Applications (1)

Application Number Title Priority Date Filing Date
EP20090252046 Withdrawn EP2159025A3 (en) 2008-08-25 2009-08-25 Race track configuration and method for wafering silicon solar substrates

Country Status (4)

Country Link
US (1) US8330126B2 (en)
EP (1) EP2159025A3 (en)
KR (1) KR101163282B1 (en)
CN (1) CN101661973A (en)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101915753B1 (en) * 2010-10-21 2018-11-07 삼성디스플레이 주식회사 Ion implantation system and method for implanting ions using the same
CN103646990A (en) * 2013-11-28 2014-03-19 青岛蓝图文化传播有限公司市南分公司 Cleavage method
CN105314362A (en) * 2014-07-31 2016-02-10 晶彩科技股份有限公司 Automatic transportation mechanism and method
US20180175008A1 (en) 2015-01-09 2018-06-21 Silicon Genesis Corporation Three dimensional integrated circuit
DE202016000166U1 (en) 2015-01-09 2016-06-02 Silicon Genesis Corporation Three-dimensional integrated circuit
US10049915B2 (en) 2015-01-09 2018-08-14 Silicon Genesis Corporation Three dimensional integrated circuit
US10573627B2 (en) 2015-01-09 2020-02-25 Silicon Genesis Corporation Three dimensional integrated circuit
CN108461555A (en) * 2018-02-05 2018-08-28 宇泰(江西)新能源有限公司 A kind of monocrystalline Silicon photrouics with Surface Texture structure
WO2020131431A1 (en) * 2018-12-17 2020-06-25 Applied Materials, Inc. A method of forming devices on a substrate
US11410984B1 (en) 2021-10-08 2022-08-09 Silicon Genesis Corporation Three dimensional integrated circuit with lateral connection layer
US11670532B1 (en) * 2021-12-06 2023-06-06 Applied Materials, Inc. System and method for controlling electrostatic clamping of multiple platens on a spinning disk

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6013563A (en) * 1997-05-12 2000-01-11 Silicon Genesis Corporation Controlled cleaning process
WO2007118121A2 (en) * 2006-04-05 2007-10-18 Silicon Genesis Corporation Method and structure for fabricating solar cells using a layer transfer process
WO2008014339A2 (en) * 2006-07-25 2008-01-31 Silicon Genesis Corporation Method and system for continuous large-area scanning implantation process

Family Cites Families (264)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US610513A (en) 1898-09-06 Harry lourta broad
US2614055A (en) 1947-05-12 1952-10-14 Samica Corp Method of treating mica
DE834363C (en) 1951-02-27 1952-03-20 Zentral Werkstatt Goettingen Method and device for the production of thin mica films
US3117002A (en) 1960-02-16 1964-01-07 Ampco Metal Inc Aluminum bronze alloy having improved wear resistance by the addition of cobalt, chromium, and manganese
US3225820A (en) 1962-11-01 1965-12-28 Gen Precision Inc Device for controlling temperature by heat conduction
DE1238105B (en) 1963-07-17 1967-04-06 Siemens Ag Process for the production of pn junctions in silicon
US3390033A (en) 1964-08-13 1968-06-25 Rca Corp Method of separating frit sealed parts of an electron tube
US5082793A (en) 1965-09-28 1992-01-21 Li Chou H Method for making solid state device utilizing ion implantation techniques
US3516855A (en) 1967-05-29 1970-06-23 Ibm Method of depositing conductive ions by utilizing electron beam
US3551213A (en) 1968-09-04 1970-12-29 Bell Telephone Labor Inc Geometrically selective ion bombardment by means of the photoelectric effect
US3786359A (en) 1969-03-28 1974-01-15 Alpha Ind Inc Ion accelerator and ion species selector
US3900636A (en) 1971-01-21 1975-08-19 Gillette Co Method of treating cutting edges
US3806380A (en) 1971-03-05 1974-04-23 Hitachi Ltd Method for hardening treatment of aluminum or aluminum-base alloy
GB1392811A (en) 1971-04-07 1975-04-30 Atomic Energy Authority Uk Methods for treating steel to modify the structure thereof
US3770499A (en) 1972-02-28 1973-11-06 Motorola Inc Liquid phase deposition of thin insulating and refractory film on a substrate
US3915757A (en) 1972-08-09 1975-10-28 Niels N Engel Ion plating method and product therefrom
US3913520A (en) 1972-08-14 1975-10-21 Precision Thin Film Corp High vacuum deposition apparatus
US3993909A (en) 1973-03-16 1976-11-23 U.S. Philips Corporation Substrate holder for etching thin films
US3914655A (en) 1973-06-28 1975-10-21 Ibm High brightness ion source
FR2245779B1 (en) 1973-09-28 1978-02-10 Cit Alcatel
US3946334A (en) 1973-11-14 1976-03-23 Nippon Electric Company, Limited Injection semiconductor laser device
US3901423A (en) 1973-11-26 1975-08-26 Purdue Research Foundation Method for fracturing crystalline materials
US3964957A (en) 1973-12-19 1976-06-22 Monsanto Company Apparatus for processing semiconductor wafers
FR2261802B1 (en) 1974-02-21 1978-01-06 Devienne Fernand
NL7404364A (en) 1974-04-01 1975-10-03 Philips Nv METHOD AND DEVICE FOR PROCESSING FLAT OBJECTS.
US4170662A (en) 1974-11-05 1979-10-09 Eastman Kodak Company Plasma plating
US4121334A (en) 1974-12-17 1978-10-24 P. R. Mallory & Co. Inc. Application of field-assisted bonding to the mass production of silicon type pressure transducers
FR2298880A1 (en) 1975-01-22 1976-08-20 Commissariat Energie Atomique IONIC IMPLANTATION METHOD AND DEVICE
US3957107A (en) 1975-02-27 1976-05-18 The United States Of America As Represented By The Secretary Of The Air Force Thermal switch
US4039416A (en) 1975-04-21 1977-08-02 White Gerald W Gasless ion plating
US4116751A (en) 1975-10-08 1978-09-26 Solomon Zaromb Methods and apparatus for producing unsupported monocrystalline films of silicon and of other materials
GB1542299A (en) 1976-03-23 1979-03-14 Warner Lambert Co Blade shields
US4053335A (en) 1976-04-02 1977-10-11 International Business Machines Corporation Method of gettering using backside polycrystalline silicon
US4216906A (en) 1976-06-21 1980-08-12 Flow Research, Inc. Method of making high velocity liquid jet
US4074139A (en) 1976-12-27 1978-02-14 Rca Corporation Apparatus and method for maskless ion implantation
US4108751A (en) 1977-06-06 1978-08-22 King William J Ion beam implantation-sputtering
US4237601A (en) 1978-10-13 1980-12-09 Exxon Research & Engineering Co. Method of cleaving semiconductor diode laser wafers
JPS55104057A (en) 1979-02-02 1980-08-09 Hitachi Ltd Ion implantation device
US4255208A (en) 1979-05-25 1981-03-10 Ramot University Authority For Applied Research And Industrial Development Ltd. Method of producing monocrystalline semiconductor films utilizing an intermediate water dissolvable salt layer
CH640886A5 (en) 1979-08-02 1984-01-31 Balzers Hochvakuum METHOD FOR APPLYING HARD WEAR-RESISTANT COATS ON DOCKS.
US4244348A (en) 1979-09-10 1981-01-13 Atlantic Richfield Company Process for cleaving crystalline materials
FR2506344B2 (en) 1980-02-01 1986-07-11 Commissariat Energie Atomique SEMICONDUCTOR DOPING PROCESS
FR2475068B1 (en) 1980-02-01 1986-05-16 Commissariat Energie Atomique SEMICONDUCTOR DOPING PROCESS
GB2071411B (en) 1980-03-07 1983-12-21 Philips Electronic Associated Passivating p-n junction devices
EP0191503A3 (en) 1980-04-10 1986-09-10 Massachusetts Institute Of Technology Method of producing sheets of crystalline material
US4342631A (en) 1980-06-16 1982-08-03 Illinois Tool Works Inc. Gasless ion plating process and apparatus
US4471003A (en) 1980-11-25 1984-09-11 Cann Gordon L Magnetoplasmadynamic apparatus and process for the separation and deposition of materials
FR2501727A1 (en) 1981-03-13 1982-09-17 Vide Traitement PROCESS FOR THE THERMOCHEMICAL TREATMENT OF METALS BY ION BOMBING
JPS5861763A (en) 1981-10-09 1983-04-12 武笠 均 Feel sensor fire fighting apparatus
US4361600A (en) 1981-11-12 1982-11-30 General Electric Company Method of making integrated circuits
US4412868A (en) 1981-12-23 1983-11-01 General Electric Company Method of making integrated circuits utilizing ion implantation and selective epitaxial growth
FR2519437A1 (en) 1982-01-04 1983-07-08 Commissariat Energie Atomique DEVICE FOR LIMITING AND CONTROLLING THE TEMPERATURE OF A TARGET BASED ON A SUPPORT IN A VACUUM ENCLOSURE AND RECEIVING AN ENERGY BEAM AND ITS APPLICATION TO THERMAL MEASUREMENTS
US4486247A (en) 1982-06-21 1984-12-04 Westinghouse Electric Corp. Wear resistant steel articles with carbon, oxygen and nitrogen implanted in the surface thereof
US4554570A (en) 1982-06-24 1985-11-19 Rca Corporation Vertically integrated IGFET device
FR2529383A1 (en) 1982-06-24 1983-12-30 Commissariat Energie Atomique MECHANICAL SCANNING TARGET HOLDER USABLE IN PARTICULAR FOR THE IMPLANTATION OF IORIS
FR2537768A1 (en) 1982-12-08 1984-06-15 Commissariat Energie Atomique METHOD AND DEVICE FOR OBTAINING SPATIALLY MODULATED DENSITY PARTICLE BEAMS, APPLICATION TO ION ETCHING AND IMPLANTATION
FR2537777A1 (en) 1982-12-10 1984-06-15 Commissariat Energie Atomique METHOD AND DEVICE FOR IMPLANTATION OF PARTICLES IN A SOLID
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
DE3246480A1 (en) 1982-12-15 1984-06-20 Wacker-Chemitronic Gesellschaft für Elektronik-Grundstoffe mbH, 8263 Burghausen METHOD FOR THE PRODUCTION OF SEMICONDUCTOR DISC WITH CUTTING DISC REAR SIDE
US4468309A (en) 1983-04-22 1984-08-28 White Engineering Corporation Method for resisting galling
GB2144343A (en) 1983-08-02 1985-03-06 Standard Telephones Cables Ltd Optical fibre manufacture
US4567505A (en) 1983-10-27 1986-01-28 The Board Of Trustees Of The Leland Stanford Junior University Heat sink and method of attaching heat sink to a semiconductor integrated circuit and the like
FR2560426A1 (en) 1984-02-28 1985-08-30 Commissariat Energie Atomique DEVICE FOR PRODUCING IONS OF A SPECIFIED SPECIES, USING FOR SEPARATION FROM OTHER IONS, ENERGY SELECTION, APPLICATION TO ION IMPLANTATION
GB2155024A (en) 1984-03-03 1985-09-18 Standard Telephones Cables Ltd Surface treatment of plastics materials
FR2563377B1 (en) 1984-04-19 1987-01-23 Commissariat Energie Atomique METHOD FOR MANUFACTURING AN INSULATING LAYER BURIED IN A SEMICONDUCTOR SUBSTRATE, BY ION IMPLANTATION
JPS6124240A (en) 1984-07-13 1986-02-01 Toshiba Corp Semiconductor substrate
FR2575601B1 (en) 1984-12-27 1987-01-30 Commissariat Energie Atomique METHOD AND DEVICE FOR DETERMINING ELECTRICAL PARAMETERS OF A SEMICONDUCTOR LAYER AS A FUNCTION OF THE DEPTH
US4566403A (en) 1985-01-30 1986-01-28 Sovonics Solar Systems Apparatus for microwave glow discharge deposition
NL8501773A (en) 1985-06-20 1987-01-16 Philips Nv METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICES
US4706377A (en) 1986-01-30 1987-11-17 United Technologies Corporation Passivation of gallium arsenide by nitrogen implantation
JPS62208638A (en) 1986-03-07 1987-09-12 Toshiba Corp Manufacture of semiconductor device
JPS62219636A (en) 1986-03-20 1987-09-26 Hitachi Ltd Semiconductor device
US4837172A (en) 1986-07-18 1989-06-06 Matsushita Electric Industrial Co., Ltd. Method for removing impurities existing in semiconductor substrate
US4717683A (en) 1986-09-23 1988-01-05 Motorola Inc. CMOS process
US4764394A (en) 1987-01-20 1988-08-16 Wisconsin Alumni Research Foundation Method and apparatus for plasma source ion implantation
US4847792A (en) 1987-05-04 1989-07-11 Texas Instruments Incorporated Process and apparatus for detecting aberrations in production process operations
US4906594A (en) 1987-06-12 1990-03-06 Agency Of Industrial Science And Technology Surface smoothing method and method of forming SOI substrate using the surface smoothing method
EP0296804B1 (en) 1987-06-24 1994-03-30 Advanced Semiconductor Materials America, Inc. Process for epitaxial deposition of silicone
US4846928A (en) 1987-08-04 1989-07-11 Texas Instruments, Incorporated Process and apparatus for detecting aberrations in production process operations
US4887005A (en) 1987-09-15 1989-12-12 Rough J Kirkwood H Multiple electrode plasma reactor power distribution system
US5015353A (en) 1987-09-30 1991-05-14 The United States Of America As Represented By The Secretary Of The Navy Method for producing substoichiometric silicon nitride of preselected proportions
GB8725497D0 (en) 1987-10-30 1987-12-02 Atomic Energy Authority Uk Isolation of silicon
DE3803424C2 (en) 1988-02-05 1995-05-18 Gsf Forschungszentrum Umwelt Method for the quantitative, depth-differential analysis of solid samples
JP2666945B2 (en) 1988-02-08 1997-10-22 株式会社東芝 Method for manufacturing semiconductor device
US4894709A (en) 1988-03-09 1990-01-16 Massachusetts Institute Of Technology Forced-convection, liquid-cooled, microchannel heat sinks
US4883561A (en) 1988-03-29 1989-11-28 Bell Communications Research, Inc. Lift-off and subsequent bonding of epitaxial films
US4853250A (en) 1988-05-11 1989-08-01 Universite De Sherbrooke Process of depositing particulate material on a substrate
JP2670623B2 (en) 1988-09-19 1997-10-29 アネルバ株式会社 Microwave plasma processing equipment
US4952273A (en) 1988-09-21 1990-08-28 Microscience, Inc. Plasma generation in electron cyclotron resonance
US4996077A (en) 1988-10-07 1991-02-26 Texas Instruments Incorporated Distributed ECR remote plasma processing and apparatus
US4891329A (en) 1988-11-29 1990-01-02 University Of North Carolina Method of forming a nonsilicon semiconductor on insulator structure
US5202095A (en) 1988-12-27 1993-04-13 Matsushita Electric Industrial Co., Ltd. Microwave plasma processor
DE19538634C2 (en) 1995-10-17 1997-09-04 Itt Ind Gmbh Deutsche Method for separating electronic elements from a semiconductor wafer
GB8905075D0 (en) 1989-03-06 1989-04-19 Nordiko Ltd Electrode assembly and apparatus
US5370765A (en) 1989-03-09 1994-12-06 Applied Microwave Plasma Concepts, Inc. Electron cyclotron resonance plasma source and method of operation
US5203960A (en) 1989-03-09 1993-04-20 Applied Microwave Plasma Concepts, Inc. Method of operation of electron cyclotron resonance plasma source
US5133826A (en) 1989-03-09 1992-07-28 Applied Microwave Plasma Concepts, Inc. Electron cyclotron resonance plasma source
US5196355A (en) 1989-04-24 1993-03-23 Ibis Technology Corporation Simox materials through energy variation
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5387555A (en) 1992-09-03 1995-02-07 Harris Corporation Bonded wafer processing with metal silicidation
US5034343A (en) 1990-03-08 1991-07-23 Harris Corporation Manufacturing ultra-thin wafer using a handle wafer
US5070040A (en) 1990-03-09 1991-12-03 University Of Colorado Foundation, Inc. Method and apparatus for semiconductor circuit chip cooling
US5141878A (en) 1990-04-02 1992-08-25 At&T Bell Laboratories Silicon photodiode for monolithic integrated circuits and method for making same
DE4114108C1 (en) 1991-04-30 1991-12-19 Schott Glaswerke, 6500 Mainz, De
JP2575545B2 (en) 1990-07-05 1997-01-29 株式会社東芝 Method for manufacturing semiconductor device
US5198371A (en) 1990-09-24 1993-03-30 Biota Corp. Method of making silicon material with enhanced surface mobility by hydrogen ion implantation
US5102821A (en) 1990-12-20 1992-04-07 Texas Instruments Incorporated SOI/semiconductor heterostructure fabrication by wafer bonding of polysilicon to titanium
US5206749A (en) 1990-12-31 1993-04-27 Kopin Corporation Liquid crystal display having essentially single crystal transistors pixels and driving circuits
US5256562A (en) 1990-12-31 1993-10-26 Kopin Corporation Method for manufacturing a semiconductor device using a circuit transfer film
US5258325A (en) 1990-12-31 1993-11-02 Kopin Corporation Method for manufacturing a semiconductor device using a circuit transfer film
US5317436A (en) 1990-12-31 1994-05-31 Kopin Corporation A slide assembly for projector with active matrix moveably mounted to housing
US5475514A (en) 1990-12-31 1995-12-12 Kopin Corporation Transferred single crystal arrayed devices including a light shield for projection displays
US5528397A (en) 1991-12-03 1996-06-18 Kopin Corporation Single crystal silicon transistors for display panels
US5362671A (en) 1990-12-31 1994-11-08 Kopin Corporation Method of fabricating single crystal silicon arrayed devices for display panels
US5444557A (en) 1990-12-31 1995-08-22 Kopin Corporation Single crystal silicon arrayed devices for projection displays
US5258320A (en) 1990-12-31 1993-11-02 Kopin Corporation Single crystal silicon arrayed devices for display panels
DE4100526A1 (en) 1991-01-10 1992-07-16 Wacker Chemitronic DEVICE AND METHOD FOR AUTOMATICALLY SEPARATING STACKED DISCS
CA2060956C (en) 1991-02-12 2000-04-11 Jesse N. Matossian Evaluation of the extent of wear of articles
JPH04266047A (en) 1991-02-20 1992-09-22 Fujitsu Ltd Soi type semiconductor device and preparation thereof equivalent to production of a buried layer
US5130708A (en) 1991-03-11 1992-07-14 Will Boyden, Inc. Boat sinking warning device
US5110748A (en) 1991-03-28 1992-05-05 Honeywell Inc. Method for fabricating high mobility thin film transistors as integrated drivers for active matrix display
JPH0817166B2 (en) 1991-04-27 1996-02-21 信越半導体株式会社 Ultra thin film SOI substrate manufacturing method and manufacturing apparatus
JPH04359518A (en) 1991-06-06 1992-12-11 Nec Corp Manufacture of semiconductor device
JPH04365377A (en) 1991-06-13 1992-12-17 Agency Of Ind Science & Technol Semiconductor device
JPH05308107A (en) 1991-07-01 1993-11-19 Sumitomo Electric Ind Ltd Semiconductor device and its manufacture
KR0156011B1 (en) 1991-08-12 1998-12-01 이노우에 아키라 Plasma treating apparatus and method thereof
FR2681472B1 (en) 1991-09-18 1993-10-29 Commissariat Energie Atomique PROCESS FOR PRODUCING THIN FILMS OF SEMICONDUCTOR MATERIAL.
US5234529A (en) 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
JP2726583B2 (en) 1991-11-18 1998-03-11 三菱マテリアルシリコン株式会社 Semiconductor substrate
US5198071A (en) 1991-11-25 1993-03-30 Applied Materials, Inc. Process for inhibiting slip and microcracking while forming epitaxial layer on semiconductor wafer
US5827751A (en) 1991-12-06 1998-10-27 Picogiga Societe Anonyme Method of making semiconductor components, in particular on GaAs of InP, with the substrate being recovered chemically
EP1043768B1 (en) 1992-01-30 2004-09-08 Canon Kabushiki Kaisha Process for producing semiconductor substrates
JP2901031B2 (en) 1992-01-30 1999-06-02 キヤノン株式会社 Semiconductor substrate and method of manufacturing the same
JP2910001B2 (en) 1992-01-30 1999-06-23 キヤノン株式会社 Semiconductor substrate and method of manufacturing the same
JP3261685B2 (en) 1992-01-31 2002-03-04 キヤノン株式会社 Semiconductor element substrate and method of manufacturing the same
US5372952A (en) 1992-04-03 1994-12-13 National Semiconductor Corporation Method for forming isolated semiconductor structures
US5269880A (en) 1992-04-03 1993-12-14 Northern Telecom Limited Tapering sidewalls of via holes
US5213986A (en) 1992-04-10 1993-05-25 North American Philips Corporation Process for making thin film silicon-on-insulator wafers employing wafer bonding and wafer thinning
US5226967A (en) 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
US5363603A (en) 1992-06-22 1994-11-15 Alliant Techsystems, Inc. Abrasive fluid jet cutting compositon and method
US5273610A (en) 1992-06-23 1993-12-28 Association Institutions For Material Sciences, Inc. Apparatus and method for determining power in plasma processing
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JP2560178B2 (en) 1992-06-29 1996-12-04 九州電子金属株式会社 Method for manufacturing semiconductor wafer
US5404079A (en) 1992-08-13 1995-04-04 Matsushita Electric Industrial Co., Ltd. Plasma generating apparatus
US5304509A (en) 1992-08-24 1994-04-19 Midwest Research Institute Back-side hydrogenation technique for defect passivation in silicon solar cells
JP3192000B2 (en) 1992-08-25 2001-07-23 キヤノン株式会社 Semiconductor substrate and manufacturing method thereof
JP3266163B2 (en) 1992-10-14 2002-03-18 東京応化工業株式会社 Plasma processing equipment
US5346578A (en) 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
JPH06232141A (en) 1992-12-07 1994-08-19 Sony Corp Manufacture of semiconductor substrate and solid-state image pick up device
US5234535A (en) 1992-12-10 1993-08-10 International Business Machines Corporation Method of producing a thin silicon-on-insulator layer
US5409563A (en) 1993-02-26 1995-04-25 Micron Technology, Inc. Method for etching high aspect ratio features
US5487785A (en) 1993-03-26 1996-01-30 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5354381A (en) 1993-05-07 1994-10-11 Varian Associates, Inc. Plasma immersion ion implantation (PI3) apparatus
JP2856030B2 (en) 1993-06-29 1999-02-10 信越半導体株式会社 Method for manufacturing bonded wafer
US5344524A (en) 1993-06-30 1994-09-06 Honeywell Inc. SOI substrate fabrication
US5413679A (en) 1993-06-30 1995-05-09 The United States Of America As Represented By The Secretary Of The Navy Method of producing a silicon membrane using a silicon alloy etch stop layer
FR2707401B1 (en) 1993-07-09 1995-08-11 Menigaux Louis Method for manufacturing a structure integrating a cleaved optical guide with an optical fiber support for an optical guide-fiber coupling and structure obtained.
JP2786081B2 (en) 1993-07-27 1998-08-13 日本電気株式会社 SOI substrate
US5443431A (en) 1993-10-13 1995-08-22 Zexel-Gleason Usa, Inc. Differential with friction-enhancing wedge
US5840590A (en) 1993-12-01 1998-11-24 Sandia Corporation Impurity gettering in silicon using cavities formed by helium implantation and annealing
US5459016A (en) 1993-12-16 1995-10-17 Minnesota Mining And Manufacturing Company Nanostructured thermal transfer donor element
FR2714524B1 (en) 1993-12-23 1996-01-26 Commissariat Energie Atomique PROCESS FOR MAKING A RELIEF STRUCTURE ON A SUPPORT IN SEMICONDUCTOR MATERIAL
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5476691A (en) 1994-01-21 1995-12-19 International Business Machines, Inc. Surface treatment of magnetic recording heads
FR2715503B1 (en) 1994-01-26 1996-04-05 Commissariat Energie Atomique Substrate for integrated components comprising a thin layer and its production method.
FR2715501B1 (en) 1994-01-26 1996-04-05 Commissariat Energie Atomique Method for depositing semiconductor wafers on a support.
FR2715502B1 (en) 1994-01-26 1996-04-05 Commissariat Energie Atomique Structure having cavities and method for producing such a structure.
JP3352340B2 (en) 1995-10-06 2002-12-03 キヤノン株式会社 Semiconductor substrate and method of manufacturing the same
JP3293736B2 (en) 1996-02-28 2002-06-17 キヤノン株式会社 Semiconductor substrate manufacturing method and bonded substrate
US5558718A (en) 1994-04-08 1996-09-24 The Regents, University Of California Pulsed source ion implantation apparatus and method
US5480842A (en) 1994-04-11 1996-01-02 At&T Corp. Method for fabricating thin, strong, and flexible die for smart cards
FR2720189B1 (en) 1994-05-18 1996-08-30 Commissariat Energie Atomique Method for producing a structure with a low dislocation rate comprising an oxide layer buried in a semiconductor substrate.
US5411592A (en) 1994-06-06 1995-05-02 Ovonic Battery Company, Inc. Apparatus for deposition of thin-film, solid state batteries
FR2725074B1 (en) 1994-09-22 1996-12-20 Commissariat Energie Atomique METHOD FOR MANUFACTURING A STRUCTURE COMPRISING A THIN SEMI-CONDUCTIVE LAYER ON A SUBSTRATE
JP3265493B2 (en) 1994-11-24 2002-03-11 ソニー株式会社 Method for manufacturing SOI substrate
AUPM982294A0 (en) 1994-12-02 1995-01-05 Pacific Solar Pty Limited Method of manufacturing a multilayer solar cell
US5504328A (en) 1994-12-09 1996-04-02 Sematech, Inc. Endpoint detection utilizing ultraviolet mass spectrometry
US5611855A (en) 1995-01-31 1997-03-18 Seh America, Inc. Method for manufacturing a calibration wafer having a microdefect-free layer of a precisely predetermined depth
JP3381443B2 (en) 1995-02-02 2003-02-24 ソニー株式会社 Method for separating semiconductor layer from substrate, method for manufacturing semiconductor device, and method for manufacturing SOI substrate
US6107213A (en) 1996-02-01 2000-08-22 Sony Corporation Method for making thin film semiconductor
CA2172233C (en) 1995-03-20 2001-01-02 Lei Zhong Slant-surface silicon wafer having a reconstructed atomic-level stepped surface structure
TW355845B (en) 1995-03-27 1999-04-11 Semiconductor Energy Lab Co Ltd Semiconductor device and a method of manufacturing the same
JPH08271880A (en) 1995-04-03 1996-10-18 Toshiba Corp Light shielding film, liquid crystal display device and material for forming light shielding film
US5603779A (en) 1995-05-17 1997-02-18 Harris Corporation Bonded wafer and method of fabrication thereof
US5653811A (en) 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
US5863831A (en) 1995-08-14 1999-01-26 Advanced Materials Engineering Research, Inc. Process for fabricating semiconductor device with shallow p-type regions using dopant compounds containing elements of high solid solubility
JP2701803B2 (en) 1995-08-28 1998-01-21 日本電気株式会社 Method for manufacturing semiconductor device
FR2738671B1 (en) 1995-09-13 1997-10-10 Commissariat Energie Atomique PROCESS FOR PRODUCING THIN FILMS WITH SEMICONDUCTOR MATERIAL
KR0165467B1 (en) 1995-10-31 1999-02-01 김광호 Wafer debonder and wafer debonding method using the wafer debonder
US5869405A (en) 1996-01-03 1999-02-09 Micron Technology, Inc. In situ rapid thermal etch and rapid thermal oxidation
US6004868A (en) 1996-01-17 1999-12-21 Micron Technology, Inc. Method for CMOS well drive in a non-inert ambient
JPH09263500A (en) 1996-01-22 1997-10-07 Komatsu Electron Metals Co Ltd Jig for stripping stuck soi wafer
FR2744285B1 (en) 1996-01-25 1998-03-06 Commissariat Energie Atomique METHOD FOR TRANSFERRING A THIN FILM FROM AN INITIAL SUBSTRATE TO A FINAL SUBSTRATE
FR2748851B1 (en) 1996-05-15 1998-08-07 Commissariat Energie Atomique PROCESS FOR PRODUCING A THIN FILM OF SEMICONDUCTOR MATERIAL
FR2748850B1 (en) 1996-05-15 1998-07-24 Commissariat Energie Atomique PROCESS FOR MAKING A THIN FILM OF SOLID MATERIAL AND APPLICATIONS OF THIS PROCESS
US5793913A (en) 1996-07-10 1998-08-11 Northern Telecom Limited Method for the hybrid integration of discrete elements on a semiconductor substrate
US5710057A (en) 1996-07-12 1998-01-20 Kenney; Donald M. SOI fabrication method
FR2752332B1 (en) 1996-08-12 1998-09-11 Commissariat Energie Atomique DEVICE FOR PICKING UP WAFERS AND METHOD FOR IMPLEMENTING THE DEVICE
KR100500520B1 (en) 1996-08-27 2005-07-12 세이코 엡슨 가부시키가이샤 A transferring method and a method for manufacturing an active matrix substrate
US5753560A (en) 1996-10-31 1998-05-19 Motorola, Inc. Method for fabricating a semiconductor device using lateral gettering
SG65697A1 (en) 1996-11-15 1999-06-22 Canon Kk Process for producing semiconductor article
KR100232886B1 (en) 1996-11-23 1999-12-01 김영환 Soi wafer fabricating method
US5841931A (en) 1996-11-26 1998-11-24 Massachusetts Institute Of Technology Methods of forming polycrystalline semiconductor waveguides for optoelectronic integrated circuits, and devices formed thereby
US6143628A (en) 1997-03-27 2000-11-07 Canon Kabushiki Kaisha Semiconductor substrate and method of manufacturing the same
FR2761523B1 (en) 1997-03-28 1999-06-04 Pixtech Sa PLACING SPACERS IN A FLAT VISUALIZATION SCREEN
JPH10275905A (en) 1997-03-31 1998-10-13 Mitsubishi Electric Corp Silicon wafer manufacturing method and silicon wafer
US6191007B1 (en) 1997-04-28 2001-02-20 Denso Corporation Method for manufacturing a semiconductor substrate
US5906951A (en) 1997-04-30 1999-05-25 International Business Machines Corporation Strained Si/SiGe layers on insulator
US6251754B1 (en) 1997-05-09 2001-06-26 Denso Corporation Semiconductor substrate manufacturing method
US6033974A (en) 1997-05-12 2000-03-07 Silicon Genesis Corporation Method for controlled cleaving process
US6027988A (en) 1997-05-28 2000-02-22 The Regents Of The University Of California Method of separating films from bulk substrates by plasma immersion ion implantation
US5877070A (en) 1997-05-31 1999-03-02 Max-Planck Society Method for the transfer of thin layers of monocrystalline material to a desirable substrate
US6150239A (en) 1997-05-31 2000-11-21 Max Planck Society Method for the transfer of thin layers monocrystalline material onto a desirable substrate
US5968279A (en) 1997-06-13 1999-10-19 Mattson Technology, Inc. Method of cleaning wafer substrates
US6107653A (en) 1997-06-24 2000-08-22 Massachusetts Institute Of Technology Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization
JP3292101B2 (en) 1997-07-18 2002-06-17 信越半導体株式会社 Method for smoothing silicon single crystal substrate surface
US6103599A (en) 1997-07-25 2000-08-15 Silicon Genesis Corporation Planarizing technique for multilayered substrates
US5882987A (en) 1997-08-26 1999-03-16 International Business Machines Corporation Smart-cut process for the production of thin semiconductor material films
JP3324469B2 (en) 1997-09-26 2002-09-17 信越半導体株式会社 Method for producing SOI wafer and SOI wafer produced by this method
US5920764A (en) 1997-09-30 1999-07-06 International Business Machines Corporation Process for restoring rejected wafers in line for reuse as new
FR2773261B1 (en) 1997-12-30 2000-01-28 Commissariat Energie Atomique METHOD FOR THE TRANSFER OF A THIN FILM COMPRISING A STEP OF CREATING INCLUSIONS
US6171982B1 (en) 1997-12-26 2001-01-09 Canon Kabushiki Kaisha Method and apparatus for heat-treating an SOI substrate and method of preparing an SOI substrate by using the same
JPH11195775A (en) 1997-12-26 1999-07-21 Sony Corp Semiconductor substrate, thin-film semiconductor element, manufacture thereof, and anodizing device
JPH11204771A (en) 1998-01-07 1999-07-30 Sony Corp Manufacture of semiconductor substrate and solid state imaging device
US6274464B2 (en) 1998-02-06 2001-08-14 Texas Instruments Incorporated Epitaxial cleaning process using HCL and N-type dopant gas to reduce defect density and auto doping effects
US6120597A (en) 1998-02-17 2000-09-19 The Trustees Of Columbia University In The City Of New York Crystal ion-slicing of single-crystal films
US6083324A (en) 1998-02-19 2000-07-04 Silicon Genesis Corporation Gettering technique for silicon-on-insulator wafers
US5909627A (en) 1998-05-18 1999-06-01 Philips Electronics North America Corporation Process for production of thin layers of semiconductor material
US6291326B1 (en) 1998-06-23 2001-09-18 Silicon Genesis Corporation Pre-semiconductor process implant and post-process film separation
JP3358550B2 (en) 1998-07-07 2002-12-24 信越半導体株式会社 Method for producing SOI wafer and SOI wafer produced by this method
TW459390B (en) 1998-09-04 2001-10-11 Canon Kk Semiconductor substrate and method for producing the same
US6534381B2 (en) 1999-01-08 2003-03-18 Silicon Genesis Corporation Method for fabricating multi-layered substrates
EP1039513A3 (en) 1999-03-26 2008-11-26 Canon Kabushiki Kaisha Method of producing a SOI wafer
US6204151B1 (en) 1999-04-21 2001-03-20 Silicon Genesis Corporation Smoothing method for cleaved films made using thermal treatment
US6171965B1 (en) 1999-04-21 2001-01-09 Silicon Genesis Corporation Treatment method of cleaved film for the manufacture of substrates
US6287941B1 (en) 1999-04-21 2001-09-11 Silicon Genesis Corporation Surface finishing of SOI substrates using an EPI process
US6452091B1 (en) 1999-07-14 2002-09-17 Canon Kabushiki Kaisha Method of producing thin-film single-crystal device, solar cell module and method of producing the same
US6263941B1 (en) 1999-08-10 2001-07-24 Silicon Genesis Corporation Nozzle for cleaving substrates
US6489241B1 (en) 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
US6455397B1 (en) 1999-11-16 2002-09-24 Rona E. Belford Method of producing strained microelectronic and/or optical integrated and discrete devices
US6503773B2 (en) 2000-01-20 2003-01-07 Amberwave Systems Corporation Low threading dislocation density relaxed mismatched epilayers without high temperature growth
FR2804247B1 (en) 2000-01-21 2002-04-12 St Microelectronics Sa METHOD FOR PRODUCING A BIPOLAR TRANSISTOR WITH SELF-ALIGNED EXTRINSIC BASE
FR2807074B1 (en) 2000-04-03 2002-12-06 Soitec Silicon On Insulator METHOD AND DEVICE FOR MANUFACTURING SUBSTRATES
US6376806B2 (en) 2000-05-09 2002-04-23 Woo Sik Yoo Flash anneal
FR2809867B1 (en) 2000-05-30 2003-10-24 Commissariat Energie Atomique FRAGILE SUBSTRATE AND METHOD FOR MANUFACTURING SUCH SUBSTRATE
US6563152B2 (en) 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US6723661B2 (en) 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6743495B2 (en) 2001-03-30 2004-06-01 Memc Electronic Materials, Inc. Thermal annealing process for producing silicon wafers with improved surface characteristics
CA2482258A1 (en) 2001-04-17 2002-10-24 California Institute Of Technology A method of using a germanium layer transfer to si for photovoltaic applications and heterostructure made thereby
US6956268B2 (en) 2001-05-18 2005-10-18 Reveo, Inc. MEMS and method of manufacturing MEMS
US6514836B2 (en) 2001-06-04 2003-02-04 Rona Elizabeth Belford Methods of producing strained microelectronic and/or optical integrated and discrete devices
US6723421B2 (en) 2001-10-05 2004-04-20 Energy Conversion Devices, Inc. Semiconductor with coordinatively irregular structures
US6621131B2 (en) 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
US7226504B2 (en) 2002-01-31 2007-06-05 Sharp Laboratories Of America, Inc. Method to form thick relaxed SiGe layer with trench structure
DE10208280A1 (en) 2002-02-26 2003-09-04 Creavis Tech & Innovation Gmbh Ceramic membrane based on a polymer or natural fiber substrate, process for its production and use
US7335545B2 (en) 2002-06-07 2008-02-26 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
FR2842650B1 (en) 2002-07-17 2005-09-02 Soitec Silicon On Insulator PROCESS FOR PRODUCING SUBSTRATES, IN PARTICULAR FOR OPTICS, ELECTRONICS OR OPTO-ELECTRONICS
US6911376B2 (en) 2003-10-01 2005-06-28 Wafermasters Selective heating using flash anneal
US7354815B2 (en) 2003-11-18 2008-04-08 Silicon Genesis Corporation Method for fabricating semiconductor devices using strained silicon bearing material
US20050189013A1 (en) 2003-12-23 2005-09-01 Oliver Hartley Process for manufacturing photovoltaic cells
EP2650906A3 (en) 2004-06-04 2015-02-18 The Board of Trustees of the University of Illinois Methods and devices for fabricating and assembling printable semiconductor elements
JP2006294737A (en) 2005-04-07 2006-10-26 Sumco Corp Method of manufacturing soi substrate and method of reproducing peeled wafer during manufacture thereof
JP5289805B2 (en) * 2007-05-10 2013-09-11 株式会社半導体エネルギー研究所 Method for manufacturing substrate for manufacturing semiconductor device
US8089055B2 (en) * 2008-02-05 2012-01-03 Adam Alexander Brailove Ion beam processing apparatus

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6013563A (en) * 1997-05-12 2000-01-11 Silicon Genesis Corporation Controlled cleaning process
WO2007118121A2 (en) * 2006-04-05 2007-10-18 Silicon Genesis Corporation Method and structure for fabricating solar cells using a layer transfer process
WO2008014339A2 (en) * 2006-07-25 2008-01-31 Silicon Genesis Corporation Method and system for continuous large-area scanning implantation process

Also Published As

Publication number Publication date
EP2159025A2 (en) 2010-03-03
CN101661973A (en) 2010-03-03
US20100044595A1 (en) 2010-02-25
US8330126B2 (en) 2012-12-11
KR20100024376A (en) 2010-03-05
KR101163282B1 (en) 2012-07-05

Similar Documents

Publication Publication Date Title
EP2159025A3 (en) Race track configuration and method for wafering silicon solar substrates
EP1983575A3 (en) Method for manufacturing bonded substrate
SG170048A1 (en) Semiconductor wafer handling and transport
EP1450393A3 (en) System and method for transferring substrates carriers between processing tools
WO2011084531A3 (en) Mobile vacuum carriers for thin wafer processing
TW200608492A (en) System for modifying small structures
EP2623573A3 (en) Temporary wafer bonding method and cyclic olefin compositions for temporary wafer bonding
EP2055523A3 (en) Method for charging a powertrain
MY161955A (en) Systems and methods for handling wafers
EP1892768A3 (en) Nanowires in thin-film silicon solar cells
EP2264112A3 (en) Temporary bonding adhesive for a semiconductor wafer and method for manufacturing a semiconductor device using the same, and use of a resin composition as a temporary bonding adhesive
EP2306524A3 (en) In chamber sodium doping process and system for large scale fabrication of cigs based thin film photovoltaic materials
WO2007014288A3 (en) Method and system for manufacturing solar panels using an integrated solar cell using a plurality of photovoltaic regions
TW201130074A (en) Process module, substrate processing apparatus, and method of transferring substrate
EP1569261A3 (en) Method and apparatus for transferring a substrate carrier
CN202167533U (en) Full-automatic silicon chip inserting machine
EP2065941A3 (en) Solar cell and a manufacturing method of the solar cell
WO2008094845A3 (en) System and method for glass sheet semiconductor coating
WO2010110778A3 (en) Method and apparatus for forming an electrical connection on a solar cell
EP2337091A3 (en) Method Of Making Photovoltaic Cell
CN102730400B (en) Battery piece conveying, loading and unloading system of laser edge etcher
EP1791161A3 (en) Liquid processing method and liquid processing apparatus
ATE550778T1 (en) METHOD AND DEVICE FOR PRODUCING PHOTOVOLTAIC MODULES
WO2010041262A3 (en) Solar cells and method of manufacturing thereof
CN104409403A (en) Quartz boat loading silicon chip technology for photovoltaic diffusion operation

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

AK Designated contracting states

Kind code of ref document: A2

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HR HU IE IS IT LI LT LU LV MC MK MT NL NO PL PT RO SE SI SK SM TR

AX Request for extension of the european patent

Extension state: AL BA RS

PUAL Search report despatched

Free format text: ORIGINAL CODE: 0009013

AK Designated contracting states

Kind code of ref document: A3

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HR HU IE IS IT LI LT LU LV MC MK MT NL NO PL PT RO SE SI SK SM TR

AX Request for extension of the european patent

Extension state: AL BA RS

RIC1 Information provided on ipc code assigned before grant

Ipc: H01L 21/762 20060101ALI20110729BHEP

Ipc: B28D 5/00 20060101AFI20110729BHEP

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20120308