US20010002715A1 - High performance, low power vertical integrated cmos devices - Google Patents

High performance, low power vertical integrated cmos devices Download PDF

Info

Publication number
US20010002715A1
US20010002715A1 US09/002,399 US239998A US2001002715A1 US 20010002715 A1 US20010002715 A1 US 20010002715A1 US 239998 A US239998 A US 239998A US 2001002715 A1 US2001002715 A1 US 2001002715A1
Authority
US
United States
Prior art keywords
layer
gate
pair
fet
type
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/002,399
Other versions
US6297531B2 (en
Inventor
Michael D. Armacost
Claude L. Bertin
Erik L. Hedberg
Jack A. Mandelman
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US09/002,399 priority Critical patent/US6297531B2/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HEDBERG, ERIK L., ARMACOST, MICHAEL D., MANDELMAN, JACK A., BERTIN, CLAUDE L.
Publication of US20010002715A1 publication Critical patent/US20010002715A1/en
Priority to US09/899,262 priority patent/US6518112B2/en
Application granted granted Critical
Publication of US6297531B2 publication Critical patent/US6297531B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823885Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S257/00Active solid-state devices, e.g. transistors, solid-state diodes
    • Y10S257/903FET configuration adapted for use as static memory cell

Definitions

  • the present invention is related to U.S. patent application Ser. No. 08/_______ (Attorney Docket No. BU9-96-123) entitled “High Performance Direct Coupled FET Memory Cell” to Bertin et al., filed coincident herewith and assigned to the assignee of the present application.
  • the present invention is related to integrated circuit (IC) chips and more particularly, to IC chips with CMOS SRAM cells and logic.
  • CMOS complementary insulated gate Field Effect Transistor
  • SRAM Static RAM
  • Fitch et al. teaches opening a hole through a conductor layer (the gate) that is sandwiched by two dielectric layers.
  • a thin dielectric layer (gate oxide) is grown on the sides of the gate conductor layer in the hole. This gate oxide layer is a rough indicator of when channel growth should begin and when it should end. Consequently, Fitch et al.'s vertical FETs have substantial gate-drain and gate-source overlap with its associated overlap capacitance, which may be undesirable. This overlap capacitance is part of circuit load capacitance and contributes to other performance problems, such as Miller Effects.
  • CMOS circuit power is largely a function of supply voltage (V h ), circuit load capacitance (C L ) and operating frequency (i.e., chip clock frequency f Clk ).
  • V h supply voltage
  • C L circuit load capacitance
  • f Clk chip clock frequency
  • the present invention is a vertical Field Effect Transistor (FET) that may be an N-type FET (NFET) or a P-type FET (PFET), a multi-device vertical structure that may be two or more NFETs or two or more PFETs, logic gates including at least one vertical FET or at least one multi-device vertical structure, a Static Random Access Memory (SRAM) cell and array including at least one vertical FET, a memory array including at least one such SRAM cell and the process of forming the vertical FET structure, the vertical multi-device structure, the logic gates and the SRAM cell.
  • FET Field Effect Transistor
  • NFET N-type FET
  • PFET P-type FET
  • multi-device vertical structure that may be two or more NFETs or two or more PFETs
  • logic gates including at least one vertical FET or at least one multi-device vertical structure
  • SRAM Static Random Access Memory
  • the preferred vertical FETs are epitaxially grown layered stacks of NPN (for a NFET) or PNP (for a PFET).
  • the side of a gate layer, preferably polysilicon, adjacent channel layer(s) in the stack is the gate of the device.
  • the preferred multi-FET structure may be formed from the same channel layer by forming sides of two or more gates or, by stacking multiple channel layers in the same stack, e.g., PNPNP or NPNPN, each channel layer with its own gate, i.e., the side of a polysilicon gate layer. Two of these preferred multi-FET structures may be combined to form a CMOS logic gate by connecting together one end of each stack and connecting corresponding gates together.
  • the preferred SRAM cell made from the preferred embodiment FETs, may be radiation hardened by selectively thickening gate layers to increase storage node capacitance, providing high resistance cell wiring, including a multi-layered gate oxide layer of NO or ONO, or by any combination thereof.
  • FIG. 1 is a flow diagram for forming FETs according to a preferred embodiment of the present invention
  • FIGS. 2 A-B are, respectively, a top view of a wafer and a cross-sectional view through the wafer after the first step in forming an individual vertical FET according to the preferred embodiment of FIG. 1;
  • FIGS. 3 A-F are cross-sectional views illustrating the steps in forming one or more preferred embodiment FETs
  • FIGS. 4 A-B are cross-sectional views of the above preferred embodiment FET as in FIG. 3F after the optional enhancement steps of forming pass through contacts;
  • FIG. 5 is a cross-sectional view of the above preferred embodiment FET as in FIG. 3F with a thickened gate layer;
  • FIG. 6 is a cross-sectional view of a high resistance interdevice wiring strap between a device region and a gate for improving SRAM cell radiation hardness
  • FIG. 7 is a topographical schematic of a preferred embodiment six device SRAM cell
  • FIG. 8 is a plan view of a preferred embodiment cell of FIG. 7 showing the placement of preferred FETs in the cell;
  • FIG. 9A is a plan view of cell I/O and latch wiring on the surface opposite the surface shown in FIG. 8;
  • FIG. 9B is a plan view of cell I/O and latch wiring on the surface shown in FIG. 8;
  • FIGS. 10 A-H are cross-sectional views illustrating the steps in forming a two input logic gate
  • FIG. 11A is a topographical schematic representation of preferred embodiment logic gate according to FIGS. 10 A-H;
  • FIG. 11B is a plan view of I/O connections in the preferred embodiment logic gate of FIG. 11A;
  • FIGS. 12 A-C are cross-sectional views illustrating the steps in forming appropriate connections for Ground, V h , two (2) inputs and an output on the logic gate in FIGS. 10 A-H and 11 A-B.
  • FIG. 1 is a flow diagram for forming FETs according to a preferred embodiment of the present invention.
  • the preferred embodiment of the present invention is a self-aligned vertical FET having both device characteristics and reduced device parasitic capacitance such as would normally be found in a self-aligned Silicon on Insulator (SOI) device.
  • the preferred embodiment FET may be a short channel (0.1 micrometer (um)) N-type FET (NFET) or P-type FET (PFET).
  • NFET:PFET N-type FET
  • PFET P-type FET
  • Complementary pairs of preferred self-aligned vertical devices NFET:PFET
  • CMOS equivalent circuits e.g., a complementary pair of self-aligned preferred vertical devices (an NFET and a PFET) may be used as an invertor.
  • Typical V h for a preferred embodiment circuit of preferred embodiment devices is ⁇ 1.5V.
  • Preferred embodiment FETs are formed on the surface of a semiconductor wafer, preferably a silicon wafer.
  • a layered dielectric is formed on a surface of the silicon wafer.
  • the wafer is prepared, first by doping the silicon wafer with impurities to form a heavily doped buried layer.
  • the wafer is implanted with Boron to a concentration of 1.0 ⁇ 10 20 cm ⁇ 3 .
  • a layered dielectric is formed on the silicon wafer by depositing an oxide layer, a 0.5-1.0 micrometer (um) thick SiO 2 layer, on the silicon wafer using chemical vapor deposition (CVD).
  • CVD chemical vapor deposition
  • FIG. 2A is a top view of a wafer after step 52 in forming a first preferred embodiment FETs. In this embodiment, individual devices are formed in each location, although two or more individual FETs may share a common gate.
  • FIG. 2B is a cross-sectional view of the wafer area in FIG. 2A through A-A.
  • the layered wafer is a silicon wafer 100 covered with an oxide layer 102 , and a nitride (SiN) surface layer 104 on the oxide layer 102 .
  • SiN nitride
  • trenches 106 are opened through the nitride surface layer 104 , exposing the oxide layer 102 therebelow.
  • the trenches 106 define slots 108 that are opened through the oxide layer 102 to the silicon wafer 100 .
  • the slots may be minimum features sized or any appropriately larger size.
  • the slots 108 are oriented along the wafer's ⁇ 100>plane to maximize carrier mobility and minimize surface state density.
  • nitride sidewall spacers 110 , 112 are formed in the slots 108 .
  • a conformal nitride layer is deposited over the trench 106 and into the slots 108 .
  • the nitride layer is then etched using a Reactive Ion Etch (RIE) to remove the horizontal portions of the nitride layer from the surface, leaving sidewall spacers 110 , 112 standing, lining the oxide in the slots 108 .
  • RIE Reactive Ion Etch
  • the oxide is selectively removed between adjacent slots 108 , leaving nitride sidewall spacers 110 , 112 behind.
  • sidewall spacer 112 is selectively removed to form vertical device region 114 and gate region 116 , in FIG. 3B.
  • step 56 vertical silicon columns, which include layers 118 and 120 , are grown epitaxially from silicon base layer 100 in regions 114 and 116 . If the vertical device is to be an NFET, layer 118 is P-type silicon and layer 120 is N-type. Otherwise, if the vertical device is to be a PFET, layer 118 is N-type and layer 120 is P-type.
  • channel layer 118 determines the device channel length.
  • epitaxial layer thickness can be controlled precisely, preferred embodiment FETs, have much less channel length variation than prior art FETs form using conventional methods.
  • a 0.1 um nominal channel length, formed using a conventional technique would exhibit a variation of ⁇ 30 nm, which corresponds to a channel length ranging from 0.07 um to 0.13 um, nearly a 2 ⁇ channel length variation.
  • the preferred epi technique provides a much tighter variation of ⁇ 5 nm, with a corresponding tight channel length range of from 0.95 um to 0.105 um, only a 1.1 ⁇ variation.
  • the preferred embodiment channel length design point may be reduced beyond the point where short channel effects would typically become a yield concern because of this improved channel length control.
  • performance, power and density are improved, significantly over conventional techniques.
  • a tetra-ethyl-oxy-silane (TEOS) plug 122 is formed in the gate region 116 .
  • TEOS is deposited on layer 118 , planarized and, then, selectively removed from vertical device region 114 , using an etch that is selective to nitride and silicon.
  • a final device layer 124 is grown epitaxially on layer 118 in device region 114 .
  • the final device layer 124 has the same conductivity type as layer 120 , i.e., either both are P-type or, both are N-type.
  • the preferred embodiment FET's source and drain are in layers 120 and 124 .
  • TEOS plug 122 is removed, exposing nitride sidewall spacer 110 .
  • the exposed portion of nitride sidewall spacer 110 is removed in gate region 116 , leaving partial spacers 110 ′ in FIG. 3C and, partially exposing the sidewall of device region 114 .
  • An oxide spacer 126 is formed along the exposed sidewall of device region 114 . Then, the upper surface 128 is planarized.
  • step 58 After forming layered epi in device regions 114 and 116 for one device type in step 58 , second device type layered epi columns are formed in identical device regions (not shown), essentially as described above for step 56 . Thus, if the layered epi formed in step 56 is for NFETS, then, the layered epi formed in step 58 is for PFETs. Optionally, if only one device type is to be formed, step 58 may be omitted.
  • a handle wafer 130 in FIG. 3D is attached to planarized surface 128 and the wafer is inverted to remove the semiconductor base wafer 100 .
  • the base wafer 100 is removed in two steps, using both Chem-Mech Polishing (CMP) and etching.
  • CMP Chem-Mech Polishing
  • the preferred two step removal utilizes the heavily doped layer (not shown), implanted into the base wafer in preparation step 50 , as an etch stop layer. So, the bulk of the base layer 100 is removed at a relatively rapid rate (using etch and CMP) down to the etch stop layer. Then, the remainder is removed at a slower, more controlled rate until essentially the entire base layer 100 is removed to expose surface 133 in FIG. 3D.
  • the base layer is etched using RIE until oxide by-products are detected.
  • gates are formed for the first type devices. Silicon layers 118 and 120 are removed in the gate region 116 to re-expose sidewall spacers 110 ′. Then, the re-exposed sidewall spacers 110 ′ are removed, preferably by isotropic etching, to expose the vertical channel surface 132 , i.e., the side of layer 118 . Next a gate oxide layer 134 is grown on the exposed silicon and a gate layer 136 , preferably of polysilicon, is formed on the gate oxide layer 134 . The gate layer 136 is, preferably, the same thickness as, or slightly thicker than, channel layer 118 to assure slight gate overlap from the channel 132 into the source/drain diffusion layer 120 .
  • the gate layer 136 is, preferably, a doped polysilicon layer 136 , directionally deposited by collimated sputtering from a silicon target.
  • the deposited silicon exhibits a breadloafing effect wherein polysilicon collects at the opening in surface 133 in the gate regions. The collected polysilicon shadows the sidewalls, resulting in thinner polysilicon sidewalls in gate region 116 from reduced deposition there. So, as a result of this breadloafing effect, polysilicon on the horizontal surfaces, i.e., 133 and oxide fill 126 , is much thicker than on the sidewalls. So, for example, polysilicon may be 1500 ⁇ on horizontal surface 133 and oxide fill 126 verses only 500 ⁇ along the sidewalls.
  • the sidewall areas of gate layer 136 may be removed using an isotropic chemical dry etching (CDE), leaving polysilicon only on horizontal surface 133 , oxide spacer 126 , and in gate regions 116 .
  • CDE isotropic chemical dry etching
  • the resulting FET gate 136 ′ in FIG. 3E is thick enough to span the entire channel 132 without excessive overlap.
  • Insulating material preferably TEOS, is deposited on the wafer. Excess insulating material and surface polysilicon are removed from the wafer's surface, preferably using CMP, which replanarizes surface 133 and leaving an insulating plug 138 above the gate 136 ′.
  • step 62 gates are formed for the second type FETs, essentially as described for the first type FETs. If the step 58 of growing the second type layered epi was omitted, then this step is also omitted.
  • contacts may be formed selectively to the FET's source, drain and gate.
  • a second handle wafer 140 in FIG. 3F is attached to planarized surface 133 and the first handle wafer 130 is removed.
  • the wafer is inverted, patterned and contacts 142 are formed through oxide fill 126 , preferably using RIE to open vias to gates 136 ′.
  • the open vias are filled with a conductor, preferably Tungsten, and the surface 128 is re-planarized, using an appropriate CMP technique.
  • a metal wiring pattern is formed on the planarized surface 128 .
  • the wiring pattern includes conductors 144 to gate contacts 142 and conductors 146 to device source/drain diffusions 124 .
  • Oxide is formed on the surface 128 filling spaces between wiring lands, e.g., between 144 and 146 .
  • the oxide is planarized forming planar surface 148 .
  • step 66 supply, ground and external I/O connections are made to complete the preferred embodiment vertical FETs.
  • the length of such a device is the thickness of the channel layer 118 , nominally 0.1 um.
  • the device width is determined by slot width and varies from a minimum, as determined by minimum feature size, to any selected maximum width.
  • the width to length (w/l) ratio of a minimum device is 2.5 and increases rapidly with slot width.
  • a SRAM cell may be formed.
  • Four minimum w/l devices are connected to form a latch with two wider pass gate devices between the latch and a pair of bit lines.
  • optimum SRAM cell density, performance and stability is still not achievable.
  • FIGS. 4 A-B represent an above preferred embodiment FET as in FIG. 3F including the additional optional pass through contacts or contact vias. These optional pass through contacts are formed after the structure of FIG. 3F.
  • a third handle wafer 150 is attached to planar surface 148 and the second handle wafer 140 is removed. Again, the wafer is inverted and vias 152 , in FIG. 4A, are formed through plugs 138 .
  • the vias 152 are filled with an appropriate conducting material and surface 133 is replanarized.
  • a wiring layer may be applied to surface 133 .
  • these top and bottom contacts may be selectively omitted from individual devices to provide added wiring flexibility.
  • a second via 154 may be opened through gate layer 136 ′ to contact 142 . Then, both vias 152 and 154 are filled with conducting material and surface 133 is re-planarized.
  • gate 136 ′′ is selectively thickened to increase gate overlap capacitance. Inclusion of such a device in an SRAM cell increases storage node capacitance, which increases the charge required for a transient, such as an alpha particle, to upset the cell.
  • Selective thickening of the gate layer 136 ′′ in FIG. 5 is accomplished when the gate layer is deposited in step 60 and/or step 62 .
  • the gates of all vertical FETs, all vertical PFETs or all vertical NFETs are thickened to increase gate-source capacitance.
  • individually selected FETs would have their gates thickened.
  • FIG. 6 Another enhancement, in FIG. 6, is a high resistance interdevice wiring strap 160 that may be used to connect the drain or source 162 of one device through contact 164 to the gate 166 of another.
  • a conductive barrier layer 168 is required between drain/source 162 and the high resistance strap 160 to prevent dopant in drain/source 162 from contaminating high resistance strap 160 , lowering its resistance.
  • SRAM SRAM
  • cells including either of these variations would have improved radiation hardness, at a penalty of only a slightly longer cell write time.
  • radiation protection may be further enhanced by forming a multi-layered gate oxide of a high permittivity material.
  • the gate layer may be a Nitride-Oxide layer or an Oxide-Nitride-Oxide layer.
  • FIG. 7 is a topographical schematic of a preferred embodiment six device SRAM cell 170 .
  • FIG. 8 represents the placement of the six vertical transistors 172 , 174 , 176 , 178 , 180 and 182 in the preferred embodiment SRAM cell 170 of FIG. 7.
  • Each transistor 172 - 182 includes a vertical layered epi stack 170 s - 180 s and gate 170 g - 180 g .
  • Low resistance straps 184 and 185 preferably a metal such as W, Al, Cu, a silicide or a laminate thereof, connect the source of cell pass gates 180 and 182 to the cell latch's internal nodes through the gates of corresponding latch devices 172 , 174 and 176 , 178 , respectively.
  • Gates 180 g and 182 g are shared with adjacent cells (not shown).
  • FIGS. 9 A-B represent the cell 170 including the cell wiring in FIG. 7 not shown in FIG. 8.
  • Internal straps 186 , 188 which complete latch wiring, are on the surface opposite that shown in FIG. 8.
  • Internal straps 186 , 188 are low resistance wiring or, optionally, are high resistance straps of FIG. 6.
  • Gates 180 g and 182 g are connected to word line 190 .
  • the drains of devices 180 and 182 are connected to a complementary bit line pair 192 , 194 .
  • the word line 190 and complementary bit line pair 192 , 194 are shared with adjacent cells (not shown).
  • the source of devices 174 and 178 are connected to ground 196 and the sources of devices 172 , 176 are connected to an array supply voltage 198 .
  • Ground line 196 and supply line 198 are shared with adjacent cells (not shown).
  • An array of such preferred embodiment SRAM cells 170 is much denser than prior art SRAM arrays.
  • the individual vertical device of the first preferred embodiment is expanded and adapted for forming very dense logic devices, e.g., CMOS NAND and NOR gates.
  • very dense logic devices e.g., CMOS NAND and NOR gates.
  • two or more vertical devices are formed in the same device region or stack.
  • two or more vertical devices may be stacked in a single stack, effectively connected in series, for further density improvement; or, two or more gates may be provided to the same channel of a single vertical device region, e.g., at opposite sides, to form two or more parallel FETs.
  • very compact CMOS gates NAND, NOR
  • FIGS. 10 A-H represent forming a two input gate according to the steps in FIG. 1.
  • a two input NAND gate is formed, as represented schematically in FIG. 11A.
  • FIG. 10A is a top view after step 52 , analogous to FIG. 2A.
  • FIGS. 10 B-H are cross-sectional views through B-B and are analogous to stages of the individual transistor preferred embodiment in FIGS. 2 B and 3 A- 3 F.
  • the wafer in FIGS. 10A and 10B includes a semiconductor base layer 200 , preferably silicon, an oxide layer 202 on the base layer 200 and a surface nitride layer 204 .
  • An N device trench 206 and a P device trench 208 are opened through the nitride surface layer 204 , exposing the oxide layer 202 therebelow.
  • Slots 210 are opened through the oxide layer 202 to the silicon wafer 200 in the trench 206 .
  • slots 210 are oriented along the wafer's ⁇ 100> plane to maximize carrier mobility and minimize surface state density.
  • nitride sidewall spacers 212 are formed in the slots 210 .
  • a conformal nitride layer is deposited over the trenches 206 , 208 and into the slots 210 .
  • the nitride layer is then reactive ion etched to remove it from horizontal surfaces, leaving sidewall spacers 212 standing in the slots 210 , lining the oxide.
  • the oxide is selectively removed between adjacent slots 210 , leaving only nitride sidewall spacers 212 behind.
  • the sidewall spacers 212 form vertical device regions 214 and gate regions 216 .
  • a layered epi is formed for the two stacked N-type FETs.
  • Layers 218 , 220 , 222 , 224 and 226 are grown epitaxially in N-type device region 228 and, selectively in gate regions 230 , 232 .
  • P-type device region 234 and gate region 236 are filled with TEOS to avoid prematurely forming the epi layers there.
  • the PFET regions are protectively masked during NFET formation.
  • N-type layer 218 and P-type layer 220 are epitaxially grown in N-type regions 228 , 230 and 232 .
  • TEOS is deposited in all three regions and, then, selectively removed from regions 228 and 230 , leaving gate region 232 filled with a TEOS plug 240 above layer 220 .
  • N-type layer 222 and P-type layer 224 are epitaxially grown in N-type regions 228 and 230 .
  • TEOS is deposited in both regions 228 and 230 and, then, selectively removed from device region 228 , leaving gate region 230 filled with a TEOS plug 242 above layer 224 .
  • N-type layer 226 is epitaxially grown in N-type device region 228 to complete the series NPNPN structure of the stacked N-type FETs.
  • step 58 the second type (PFET) layered epi is grown for a pair of parallel PFETs.
  • a mask 244 in FIG. 10E is formed over N-type regions 228 , 230 and 232 and oxide 238 , 238 ′ is removed from the P-type gate regions 236 and from P-type device region 234 .
  • P-type layer 246 and N-type layer 248 are formed in device region 234 and gate areas 236 .
  • PFET regions 234 , 236 are filled with TEOS, which is removed from device region 234 , leaving plugs 250 in the gate regions 236 .
  • P-type device layer 252 is grown epitaxially in device region 234 .
  • Plugs 240 , 242 and 250 are removed, partially exposing nitride sidewall spacers 212 .
  • the exposed potions of each nitride sidewall spacer 212 is removed leaving partial spacers 212 ′ in FIG. 10F in gate regions 230 , 232 and 236 , and partially exposing device regions 228 and 234 .
  • Oxide fill 254 is formed along the exposed sides of device regions 228 and 234 and the wafer is planarized leaving planar surface 256 .
  • the wafer is inverted and the semiconductor base wafer 200 is removed. So, a handle wafer 258 is attached to planarized surface 256 and, then, base wafer 200 is removed using CMP and etching to expose surface 260 .
  • the buried etch stop layer allows removing the bulk of the base layer 200 using etching and CMP at a relatively rapid rate until the etch stop layer is exposed and the remaining base layer is removed at a slower more controlled rate thereafter.
  • Etchants such as ethylenediamine-pyrocatecho-water (EPW) or potassium hydroxide (KOH) are known to stop at a boron doped buried layer such as was formed above when the wafer was prepared by implanting the heavily doped layer.
  • the base layer 200 is etched using RIE until oxide by-products are detected.
  • Gates are formed for the first type devices in step 60 , after removing the base layer 200 .
  • a non-erodible mask (NEM) 262 , 264 (sometimes referred to in the art as a hard mask ) is formed on N device region 228 and P-type gate and device regions 234 , 236 , respectively.
  • the epi layers are etched from unprotected N-type gate regions 230 , 232 , removing layers 218 and 220 in gate region 232 and layers 218 , 220 , 222 and 224 in gate region 230 . As these silicon layers are removed, the sidewall spacers 212 ′ in gate regions 230 and 232 , are re-exposed in the N-type region.
  • These re-exposed sidewall spacers 212 ′ are removed, preferably by isotropic etching, to expose the vertical channel surface, 266 , 268 , i.e., the exposed side of layers 220 , 224 . Then, the mask 262 is selectively removed from the N-type regions.
  • a gate oxide layer 270 in FIG. 10G is grown on the exposed silicon and a gate layer 272 is formed on the gate oxide layer 270 .
  • the gate layer 272 is the same thickness as, or slightly thicker than channel layers 220 and 224 to assure sufficient channel overlap by the gate.
  • the gate layer 272 is a directionally deposited doped polysilicon layer, deposited by collimated sputtering from a silicon target to achieve the breadloafing effect.
  • Unwanted areas of gate layer 272 are etched isotropically using CDE to leave polysilicon only on horizontal surfaces, with gates 272 ′ and 272 ′′ in FIG. 10H, thick enough to span each respective channel 266 and 268 without excessive overlap.
  • Remaining mask 264 is removed and TEOS is deposited over the wafer to fill spaces 274 above the gates 272 ′, 272 ′′ of the stacked N-type transistors. Excess TEOS and surface polysilicon is removed.
  • step 62 gates are formed for the vertical P-type transistors, repeating the steps as described for the N-type devices. So, first, the N-type devices and the P-type device region 234 are masked and silicon layers 246 and 248 are removed from P-type gate areas 234 to expose sidewall spacers 212 ′. Then, the sidewall spacers 212 ′ and remaining mask structures may be removed. A gate oxide layer 276 is formed on exposed silicon and a polysilicon gate layer is sputtered onto the gate oxide layer 276 . Excess polysilicon is removed to form gates 278 , 280 . TEOS plugs 282 , 284 plug the spaces above the gates 278 , 280 . The structure thus formed is similar to the individual device stack structure of FIG. 3E.
  • I/O connections are formed for the logic gate example of FIGS. 10 A-H as represented in FIG. 11B and schematically represented in FIG. 11A, which is, in this example, a two input NAND gate 286 .
  • the connections of FIG. 11B may be formed on either surface.
  • NAND gate 286 has a pair of input straps 290 and an output strap 292 .
  • the output strap 292 couples NFET device region 228 with PFET device region 234 .
  • the input straps 290 couple NFET gates 272 ′ and 272 ′′ in gate regions 230 and 232 with PFET gates 278 and 280 , respectively, in gate regions 236 .
  • ground and supply connections are made prior to step 64 of forming straps 290 , 292 instead of as part of step 66 .
  • the ground and supply layers are formed.
  • a metal layer 300 in FIG. 12A is deposited on the surface 302 of the wafer to provide V h to the P-type device area 234 .
  • the supply layer 300 contacts the source 304 of the parallel PFETs 234 .
  • the supply layer 300 is patterned using any appropriate photolithographic patterning technique.
  • ground lines are formed above the supply layer 300 to maximize decoupling capacitance.
  • a layer 306 of high dielectric material such as Barium-Strontium-Titanate oxide (BST) or Tantalum Pentoxide is deposited on the patterned supply layer 300 .
  • Ground contacts 308 are opened through the dielectric layer 306 and supply layer 300 to the source 310 of the NFET transistor stack 228 .
  • a ground layer 312 of metal is deposited on the high dielectric layer 306 , contacting the exposed source 310 in device region 228 .
  • An insulating layer 314 preferably SiO 2 , is formed over the ground layer 312 .
  • the insulating layer 314 is planarized using CMP or any appropriate planarization technique. It should be appreciated that the ground layer 312 could be formed on surface 302 prior to forming supply layer 300 without departing from the present invention.
  • a silicon wafer 316 is attached to the planarized surface 318 of layer 314 and the wafer is inverted for step 64 .
  • the handle wafer 258 is removed and contact vias 320 in FIG. 12B are etched, preferably using RIE, through oxide fill 254 to gates 272 ′, 272 ′′, 278 and 280 in gate regions 230 , 232 , and 236 respectively.
  • the contact vias 320 are filled with a conductor, preferably Tungsten, and the surface 322 is planarized, preferably using any well known CMP technique.
  • the NAND gate wiring, 290 , 292 of FIGS. 11 A-B is formed in step 64 on the planarized surface 322 to gate contacts 320 and drains 324 and 326 .
  • An oxide layer 328 is deposited on the surface 322 filling spaces between wiring lands 290 , 292 .
  • the oxide layer 328 is planarized forming planar surface 330 .
  • Chip wiring connecting the logic gate to other logic gates, is formed on the planarized surface 330 in step 66 .
  • An insulating layer 332 in FIG. 12C is formed on surface 322 and patterned to open contact vias to inputs 290 .
  • oxide layer 328 may be formed to a thickness sufficient to omit this insulating layer 332 .
  • a conducting layer, preferably a metal, is deposited on the insulating layer 332 and patterned to form the first interconnection layer, including input connections 334 , 336 to inputs 290 .
  • an insulating layer 338 is formed on the first interconnection layer.
  • both insulating layers 332 and 338 are of a low dielectric material such as SiO 2 , an insulating polymer or air to reduce wiring capacitance.
  • Contacts are opened through both insulating layers 332 and 338 as required to output 290 .
  • a final layer of conducting material is deposited on the patterned insulating layer 338 .
  • the final metal layer is patterned to form the second interconnection layer, including connection 340 to output 290 and wiring land 342 .
  • the wafer may be further passivated with an appropriate passivation layer (not shown) and provided with suitable terminal metal layers (not shown) for off chip connection.

Abstract

A vertical Field Effect Transistor (FET) that may be an N-type FET (NFET) or a P-type FET (PFET); a multi-device vertical structure that may be two or more NFETs or two or more PFETS; logic gates including at least one vertical FET or at least one multi-device vertical; a Static Random Access Memory (SRAM) cell and array including at least one vertical FET; a memory array including at least one such SRAM cell; and the process of forming the vertical FET structure, the vertical multi-device (multi-FET) structure, the logic gates and the SRAM cell. The vertical FETs are epitaxially grown layered stacks of NPN or PNP with the side of a polysilicon gate layer adjacent the device's channel layer. The multi-FET structure may be formed by forming sides of two or more gates adjacent to the same channel layer or, by forming multiple channel layers in the same stack, e.g., PNPNP or NPNPN, each with its own gate, i.e., the side of a polysilicon gate layer. The SRAM cell may be radiation hardened by selectively thickening gate layers to increase storage node capacitance, providing high resistance cell wiring or by including a multi-layered gate oxide layer of NO or ONO, or by any combination thereof.

Description

    RELATED APPLICATION
  • The present invention is related to U.S. patent application Ser. No. 08/______ (Attorney Docket No. BU9-96-123) entitled “High Performance Direct Coupled FET Memory Cell” to Bertin et al., filed coincident herewith and assigned to the assignee of the present application. [0001]
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0002]
  • The present invention is related to integrated circuit (IC) chips and more particularly, to IC chips with CMOS SRAM cells and logic. [0003]
  • 2. Background Description [0004]
  • Integrated circuit (IC) chip developers' primary goals are faster, denser, lower power IC chips. Typical, state of the art IC chips are manufactured, currently, in the complementary insulated gate Field Effect Transistor (FET) technology, commonly referred to as CMOS. Normally, each generation of CMOS technology is identified by its minimum feature size, e.g. [0005]
    Figure US20010002715A1-20010607-P00900
    half micron CMOS
    Figure US20010002715A1-20010607-P00900
    or
    Figure US20010002715A1-20010607-P00900
    quarter micron CMOS
    Figure US20010002715A1-20010607-P00900
    . Reducing the minimum feature size is the usual approach to making CMOS chips faster and denser simultaneously with reducing power.
  • Since the active area (channel region) of any given circuit amounts to less than 10% of the entire area of the circuit, designers are acutely aware that, no matter how small a circuit is, circuit area may still be reduced. However, reducing feature size alone may lead to problems that require other, non-geometric solutions, such as enhanced circuit wiring layers. Even using these state of the art non-geometric enhancements, circuit area reduction falls far short of 90%. [0006]
  • Reducing inactive area in an individual logic gate might have an insignificant impact on overall chip density. By contrast, reducing cell size in a Random Access Memory (RAM) array translates to a corresponding chip density improvement. [0007]
  • However, benefits from reducing RAM cell area are often offset by increased radiation sensitivity. Even Static RAM (SRAM) cells become sensitive at some point to alpha particle or cosmic ray radiation. While these effects are exacerbated by reduced SRAM operating voltages, they may be offset by adding selected process features, such as selective cell node capacitance enhancement and increased cell wiring resistance. Unfortunately, these additional features increase SRAM cell size and write time. [0008]
  • Consequently, designers have resorted to other approaches to reducing cell and circuit area, such as vertical devices, e.g., U.S. Pat. No. 5,414,289 to Fitch et al. entitled [0009]
    Figure US20010002715A1-20010607-P00900
    Dynamic Memory Device Having a Vertical Transistor
    Figure US20010002715A1-20010607-P00900
    .
  • Fitch et al. teaches opening a hole through a conductor layer (the gate) that is sandwiched by two dielectric layers. A thin dielectric layer (gate oxide) is grown on the sides of the gate conductor layer in the hole. This gate oxide layer is a rough indicator of when channel growth should begin and when it should end. Consequently, Fitch et al.'s vertical FETs have substantial gate-drain and gate-source overlap with its associated overlap capacitance, which may be undesirable. This overlap capacitance is part of circuit load capacitance and contributes to other performance problems, such as Miller Effects. [0010]
  • CMOS circuit power is largely a function of supply voltage (V[0011] h), circuit load capacitance (CL) and operating frequency (i.e., chip clock frequency fClk). The general CMOS circuit power (P) formula is P=CLVh 2fClk. Thus, improving performance (increasing fClk) and reducing power, requires reducing either CL or Vh or both.
  • Although, with each feature size reduction, usually, there has been a corresponding reduction in V[0012] h, this has not been the case with CL. Furthermore, as feature size shrinks, wiring resistance (i.e., per unit line resistance) increases, increasing RC propagation delays, which offsets some performance gains.
  • Thus, there is a need for CMOS technologies with reduced power supply voltage levels, reduced parasitic capacitance and wiring per unit length resistance, as well as reduced critical CMOS device parameters, such as channel length. [0013]
  • SUMMARY OF THE INVENTION
  • It is a purpose of the invention to improve FET channel length control. [0014]
  • It is a goal of this invention to reduce FET channel length variations. [0015]
  • It is another purpose of the present invention to improve CMOS logic and SRAM cell performance. [0016]
  • It is yet another purpose of the present invention to improve SRAM cell radiation hardness without degrading cell performance. [0017]
  • It is yet another purpose of the present invention to simultaneously achieve high speed and high density CMOS logic circuits, at low power dissipation levels. [0018]
  • The present invention is a vertical Field Effect Transistor (FET) that may be an N-type FET (NFET) or a P-type FET (PFET), a multi-device vertical structure that may be two or more NFETs or two or more PFETs, logic gates including at least one vertical FET or at least one multi-device vertical structure, a Static Random Access Memory (SRAM) cell and array including at least one vertical FET, a memory array including at least one such SRAM cell and the process of forming the vertical FET structure, the vertical multi-device structure, the logic gates and the SRAM cell. [0019]
  • The preferred vertical FETs are epitaxially grown layered stacks of NPN (for a NFET) or PNP (for a PFET). The side of a gate layer, preferably polysilicon, adjacent channel layer(s) in the stack is the gate of the device. The preferred multi-FET structure may be formed from the same channel layer by forming sides of two or more gates or, by stacking multiple channel layers in the same stack, e.g., PNPNP or NPNPN, each channel layer with its own gate, i.e., the side of a polysilicon gate layer. Two of these preferred multi-FET structures may be combined to form a CMOS logic gate by connecting together one end of each stack and connecting corresponding gates together. The preferred SRAM cell, made from the preferred embodiment FETs, may be radiation hardened by selectively thickening gate layers to increase storage node capacitance, providing high resistance cell wiring, including a multi-layered gate oxide layer of NO or ONO, or by any combination thereof. [0020]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The foregoing and other objects, aspects and advantages will be better understood from the following detailed description of a preferred embodiment of the invention with reference to the drawings, in which: [0021]
  • FIG. 1 is a flow diagram for forming FETs according to a preferred embodiment of the present invention; [0022]
  • FIGS. [0023] 2A-B are, respectively, a top view of a wafer and a cross-sectional view through the wafer after the first step in forming an individual vertical FET according to the preferred embodiment of FIG. 1;
  • FIGS. [0024] 3A-F are cross-sectional views illustrating the steps in forming one or more preferred embodiment FETs;
  • FIGS. [0025] 4A-B are cross-sectional views of the above preferred embodiment FET as in FIG. 3F after the optional enhancement steps of forming pass through contacts;
  • FIG. 5 is a cross-sectional view of the above preferred embodiment FET as in FIG. 3F with a thickened gate layer; [0026]
  • FIG. 6 is a cross-sectional view of a high resistance interdevice wiring strap between a device region and a gate for improving SRAM cell radiation hardness; [0027]
  • FIG. 7 is a topographical schematic of a preferred embodiment six device SRAM cell; [0028]
  • FIG. 8 is a plan view of a preferred embodiment cell of FIG. 7 showing the placement of preferred FETs in the cell; [0029]
  • FIG. 9A is a plan view of cell I/O and latch wiring on the surface opposite the surface shown in FIG. 8; [0030]
  • FIG. 9B is a plan view of cell I/O and latch wiring on the surface shown in FIG. 8; [0031]
  • FIGS. [0032] 10A-H are cross-sectional views illustrating the steps in forming a two input logic gate;
  • FIG. 11A is a topographical schematic representation of preferred embodiment logic gate according to FIGS. [0033] 10A-H;
  • FIG. 11B is a plan view of I/O connections in the preferred embodiment logic gate of FIG. 11A; [0034]
  • FIGS. [0035] 12A-C are cross-sectional views illustrating the steps in forming appropriate connections for Ground, Vh, two (2) inputs and an output on the logic gate in FIGS. 10A-H and 11A-B.
  • DETAILED DESCRIPTION OF A PREFERRED EMBODIMENT OF THE INVENTION
  • FIG. 1 is a flow diagram for forming FETs according to a preferred embodiment of the present invention. [0036]
  • In its simplest form, the preferred embodiment of the present invention is a self-aligned vertical FET having both device characteristics and reduced device parasitic capacitance such as would normally be found in a self-aligned Silicon on Insulator (SOI) device. The preferred embodiment FET may be a short channel (0.1 micrometer (um)) N-type FET (NFET) or P-type FET (PFET). Complementary pairs of preferred self-aligned vertical devices (NFET:PFET) may be combined to provide CMOS equivalent circuits, e.g., a complementary pair of self-aligned preferred vertical devices (an NFET and a PFET) may be used as an invertor. Typical V[0037] h for a preferred embodiment circuit of preferred embodiment devices is <1.5V.
  • Preferred embodiment FETs are formed on the surface of a semiconductor wafer, preferably a silicon wafer. A layered dielectric is formed on a surface of the silicon wafer. Thus, in [0038] step 50 the wafer is prepared, first by doping the silicon wafer with impurities to form a heavily doped buried layer. Preferably, the wafer is implanted with Boron to a concentration of 1.0×1020cm−3. Then, a layered dielectric is formed on the silicon wafer by depositing an oxide layer, a 0.5-1.0 micrometer (um) thick SiO2 layer, on the silicon wafer using chemical vapor deposition (CVD). Then a surface layer of nitride is formed on the oxide layer.
  • Having prepared the wafer in [0039] step 50, slots are formed in the layered dielectric in step 52. FIG. 2A is a top view of a wafer after step 52 in forming a first preferred embodiment FETs. In this embodiment, individual devices are formed in each location, although two or more individual FETs may share a common gate. FIG. 2B is a cross-sectional view of the wafer area in FIG. 2A through A-A. The layered wafer is a silicon wafer 100 covered with an oxide layer 102, and a nitride (SiN) surface layer 104 on the oxide layer 102.
  • First, [0040] trenches 106 are opened through the nitride surface layer 104, exposing the oxide layer 102 therebelow. The trenches 106 define slots 108 that are opened through the oxide layer 102 to the silicon wafer 100. The slots may be minimum features sized or any appropriately larger size. Preferably, the slots 108 are oriented along the wafer's <100>plane to maximize carrier mobility and minimize surface state density.
  • Next, in [0041] step 54 as represented in FIG. 3A, nitride sidewall spacers 110, 112 are formed in the slots 108. A conformal nitride layer is deposited over the trench 106 and into the slots 108. The nitride layer is then etched using a Reactive Ion Etch (RIE) to remove the horizontal portions of the nitride layer from the surface, leaving sidewall spacers 110, 112 standing, lining the oxide in the slots 108. Then the oxide is selectively removed between adjacent slots 108, leaving nitride sidewall spacers 110, 112 behind. For the preferred embodiment individual device, sidewall spacer 112 is selectively removed to form vertical device region 114 and gate region 116, in FIG. 3B.
  • In [0042] step 56, vertical silicon columns, which include layers 118 and 120, are grown epitaxially from silicon base layer 100 in regions 114 and 116. If the vertical device is to be an NFET, layer 118 is P-type silicon and layer 120 is N-type. Otherwise, if the vertical device is to be a PFET, layer 118 is N-type and layer 120 is P-type.
  • The thickness of [0043] channel layer 118 determines the device channel length. Thus, because epitaxial layer thickness can be controlled precisely, preferred embodiment FETs, have much less channel length variation than prior art FETs form using conventional methods.
  • So, for example, a 0.1 um nominal channel length, formed using a conventional technique would exhibit a variation of ±30 nm, which corresponds to a channel length ranging from 0.07 um to 0.13 um, nearly a 2× channel length variation. By contrast, the preferred epi technique provides a much tighter variation of ±5 nm, with a corresponding tight channel length range of from 0.95 um to 0.105 um, only a 1.1× variation. The preferred embodiment channel length design point may be reduced beyond the point where short channel effects would typically become a yield concern because of this improved channel length control. Thus, performance, power and density are improved, significantly over conventional techniques. [0044]
  • Before completing epitaxial growth, a tetra-ethyl-oxy-silane (TEOS) plug [0045] 122 is formed in the gate region 116. TEOS is deposited on layer 118, planarized and, then, selectively removed from vertical device region 114, using an etch that is selective to nitride and silicon. After forming the TEOS plug 122, a final device layer 124 is grown epitaxially on layer 118 in device region 114. The final device layer 124 has the same conductivity type as layer 120, i.e., either both are P-type or, both are N-type. Thus, the preferred embodiment FET's source and drain are in layers 120 and 124.
  • [0046] TEOS plug 122 is removed, exposing nitride sidewall spacer 110. The exposed portion of nitride sidewall spacer 110 is removed in gate region 116, leaving partial spacers 110′ in FIG. 3C and, partially exposing the sidewall of device region 114. An oxide spacer 126 is formed along the exposed sidewall of device region 114. Then, the upper surface 128 is planarized.
  • After forming layered epi in [0047] device regions 114 and 116 for one device type in step 58, second device type layered epi columns are formed in identical device regions (not shown), essentially as described above for step 56. Thus, if the layered epi formed in step 56 is for NFETS, then, the layered epi formed in step 58 is for PFETs. Optionally, if only one device type is to be formed, step 58 may be omitted.
  • A [0048] handle wafer 130 in FIG. 3D is attached to planarized surface 128 and the wafer is inverted to remove the semiconductor base wafer 100. The base wafer 100 is removed in two steps, using both Chem-Mech Polishing (CMP) and etching. The preferred two step removal utilizes the heavily doped layer (not shown), implanted into the base wafer in preparation step 50, as an etch stop layer. So, the bulk of the base layer 100 is removed at a relatively rapid rate (using etch and CMP) down to the etch stop layer. Then, the remainder is removed at a slower, more controlled rate until essentially the entire base layer 100 is removed to expose surface 133 in FIG. 3D. In an alternate embodiment, the base layer is etched using RIE until oxide by-products are detected.
  • After removing the [0049] base layer 100, in step 60, gates are formed for the first type devices. Silicon layers 118 and 120 are removed in the gate region 116 to re-expose sidewall spacers 110′. Then, the re-exposed sidewall spacers 110′ are removed, preferably by isotropic etching, to expose the vertical channel surface 132, i.e., the side of layer 118. Next a gate oxide layer 134 is grown on the exposed silicon and a gate layer 136, preferably of polysilicon, is formed on the gate oxide layer 134. The gate layer 136 is, preferably, the same thickness as, or slightly thicker than, channel layer 118 to assure slight gate overlap from the channel 132 into the source/drain diffusion layer 120.
  • In the preferred embodiment FET, the [0050] gate layer 136 is, preferably, a doped polysilicon layer 136, directionally deposited by collimated sputtering from a silicon target. As a result of collimated sputtering, the deposited silicon exhibits a
    Figure US20010002715A1-20010607-P00900
    breadloafing
    Figure US20010002715A1-20010607-P00900
    effect wherein polysilicon collects at the opening in surface 133 in the gate regions. The collected polysilicon shadows the sidewalls, resulting in thinner polysilicon sidewalls in gate region 116 from reduced deposition there. So, as a result of this breadloafing effect, polysilicon on the horizontal surfaces, i.e., 133 and oxide fill 126, is much thicker than on the sidewalls. So, for example, polysilicon may be 1500 Å on horizontal surface 133 and oxide fill 126 verses only 500 Å along the sidewalls.
  • Thus, the sidewall areas of [0051] gate layer 136 may be removed using an isotropic chemical dry etching (CDE), leaving polysilicon only on horizontal surface 133, oxide spacer 126, and in gate regions 116. The resulting FET gate 136′ in FIG. 3E is thick enough to span the entire channel 132 without excessive overlap. Insulating material, preferably TEOS, is deposited on the wafer. Excess insulating material and surface polysilicon are removed from the wafer's surface, preferably using CMP, which replanarizes surface 133 and leaving an insulating plug 138 above the gate 136′.
  • Next, in [0052] step 62, gates are formed for the second type FETs, essentially as described for the first type FETs. If the step 58 of growing the second type layered epi was omitted, then this step is also omitted.
  • After forming the preferred individual FETs, in [0053] step 64, contacts may be formed selectively to the FET's source, drain and gate. In preparation for forming these contacts, a second handle wafer 140 in FIG. 3F is attached to planarized surface 133 and the first handle wafer 130 is removed. The wafer is inverted, patterned and contacts 142 are formed through oxide fill 126, preferably using RIE to open vias to gates 136′. Then, the open vias are filled with a conductor, preferably Tungsten, and the surface 128 is re-planarized, using an appropriate CMP technique.
  • A metal wiring pattern is formed on the [0054] planarized surface 128. The wiring pattern includes conductors 144 to gate contacts 142 and conductors 146 to device source/drain diffusions 124. Oxide is formed on the surface 128 filling spaces between wiring lands, e.g., between 144 and 146. The oxide is planarized forming planar surface 148.
  • Finally, in [0055] step 66, supply, ground and external I/O connections are made to complete the preferred embodiment vertical FETs.
  • As described hereinabove, the length of such a device is the thickness of the [0056] channel layer 118, nominally 0.1 um. The device width is determined by slot width and varies from a minimum, as determined by minimum feature size, to any selected maximum width. Thus, it can be seen that even for a quarter micron process, with a 0.25 um minimum feature size, the width to length (w/l) ratio of a minimum device is 2.5 and increases rapidly with slot width.
  • It can be readily appreciated that, by providing appropriate wiring to six such preferred embodiment FETs, a SRAM cell may be formed. Four minimum w/l devices are connected to form a latch with two wider pass gate devices between the latch and a pair of bit lines. However, without additional gate contacts/wiring, including pass through contacts, optimum SRAM cell density, performance and stability is still not achievable. [0057]
  • Thus, FIGS. [0058] 4A-B represent an above preferred embodiment FET as in FIG. 3F including the additional optional pass through contacts or contact vias. These optional pass through contacts are formed after the structure of FIG. 3F. A third handle wafer 150 is attached to planar surface 148 and the second handle wafer 140 is removed. Again, the wafer is inverted and vias 152, in FIG. 4A, are formed through plugs 138. The vias 152 are filled with an appropriate conducting material and surface 133 is replanarized. As with surface 128 in FIG. 3F, a wiring layer may be applied to surface 133. Thus, having added the capability of contacting the gate layer 136′ from above or below, or both, these top and bottom contacts may be selectively omitted from individual devices to provide added wiring flexibility.
  • Optionally, in FIG. 4B, prior to filling [0059] vias 152 with conducting material, a second via 154 may be opened through gate layer 136′ to contact 142. Then, both vias 152 and 154 are filled with conducting material and surface 133 is re-planarized.
  • Further enhancements may be added to the preferred embodiment FETs to improve SRAM radiation hardness. For example, in FIG. 5 [0060] gate 136″ is selectively thickened to increase gate overlap capacitance. Inclusion of such a device in an SRAM cell increases storage node capacitance, which increases the charge required for a transient, such as an alpha particle, to upset the cell. Selective thickening of the gate layer 136″ in FIG. 5 is accomplished when the gate layer is deposited in step 60 and/or step 62. Typically, the gates of all vertical FETs, all vertical PFETs or all vertical NFETs are thickened to increase gate-source capacitance. Preferably, individually selected FETs would have their gates thickened.
  • Another enhancement, in FIG. 6, is a high resistance [0061] interdevice wiring strap 160 that may be used to connect the drain or source 162 of one device through contact 164 to the gate 166 of another. A conductive barrier layer 168 is required between drain/source 162 and the high resistance strap 160 to prevent dopant in drain/source 162 from contaminating high resistance strap 160, lowering its resistance. Such an alternate embodiment SRAM, with cells including either of these variations would have improved radiation hardness, at a penalty of only a slightly longer cell write time.
  • Additionally, radiation protection may be further enhanced by forming a multi-layered gate oxide of a high permittivity material. For example, instead of SiO[0062] 2, the gate layer may be a Nitride-Oxide layer or an Oxide-Nitride-Oxide layer.
  • FIG. 7 is a topographical schematic of a preferred embodiment six [0063] device SRAM cell 170. FIG. 8 represents the placement of the six vertical transistors 172, 174, 176, 178, 180 and 182 in the preferred embodiment SRAM cell 170 of FIG. 7. Each transistor 172-182 includes a vertical layered epi stack 170 s-180 s and gate 170 g-180 g. Low resistance straps 184 and 185, preferably a metal such as W, Al, Cu, a silicide or a laminate thereof, connect the source of cell pass gates 180 and 182 to the cell latch's internal nodes through the gates of corresponding latch devices 172, 174 and 176, 178, respectively. Gates 180 g and 182 g are shared with adjacent cells (not shown).
  • FIGS. [0064] 9A-B represent the cell 170 including the cell wiring in FIG. 7 not shown in FIG. 8. Internal straps 186, 188, which complete latch wiring, are on the surface opposite that shown in FIG. 8. Internal straps 186, 188 are low resistance wiring or, optionally, are high resistance straps of FIG. 6. Gates 180 g and 182 g are connected to word line 190. The drains of devices 180 and 182 are connected to a complementary bit line pair 192, 194. The word line 190 and complementary bit line pair 192, 194 are shared with adjacent cells (not shown).
  • In FIG. 9B, the source of [0065] devices 174 and 178 are connected to ground 196 and the sources of devices 172, 176 are connected to an array supply voltage 198. Ground line 196 and supply line 198 are shared with adjacent cells (not shown). An array of such preferred embodiment SRAM cells 170 is much denser than prior art SRAM arrays.
  • In yet another preferred embodiment, the individual vertical device of the first preferred embodiment is expanded and adapted for forming very dense logic devices, e.g., CMOS NAND and NOR gates. In this preferred embodiment, two or more vertical devices are formed in the same device region or stack. Thus, two or more vertical devices may be stacked in a single stack, effectively connected in series, for further density improvement; or, two or more gates may be provided to the same channel of a single vertical device region, e.g., at opposite sides, to form two or more parallel FETs. Thus, by combining series connected stacked devices of one type with parallel FETs of the other type, very compact CMOS gates (NAND, NOR) are formed. [0066]
  • FIGS. [0067] 10A-H represent forming a two input gate according to the steps in FIG. 1. In this example a two input NAND gate is formed, as represented schematically in FIG. 11A. FIG. 10A is a top view after step 52, analogous to FIG. 2A. FIGS. 10B-H are cross-sectional views through B-B and are analogous to stages of the individual transistor preferred embodiment in FIGS. 2B and 3A-3F.
  • Unless specifically indicated otherwise, all materials, dimensions and other parameters are identical for the multiple transistor example of FIGS. [0068] 10A-H as for the individual transistor embodiment of FIGS. 2A-B and 3A-3F. So, the wafer in FIGS. 10A and 10B, includes a semiconductor base layer 200, preferably silicon, an oxide layer 202 on the base layer 200 and a surface nitride layer 204.
  • An [0069] N device trench 206 and a P device trench 208 are opened through the nitride surface layer 204, exposing the oxide layer 202 therebelow. Slots 210 are opened through the oxide layer 202 to the silicon wafer 200 in the trench 206. Preferably, as in the individual vertical embodiment, slots 210 are oriented along the wafer's <100> plane to maximize carrier mobility and minimize surface state density.
  • Next, in [0070] step 54 as represented in FIG. 10C, nitride sidewall spacers 212 are formed in the slots 210. A conformal nitride layer is deposited over the trenches 206, 208 and into the slots 210. The nitride layer is then reactive ion etched to remove it from horizontal surfaces, leaving sidewall spacers 212 standing in the slots 210, lining the oxide. Then, the oxide is selectively removed between adjacent slots 210, leaving only nitride sidewall spacers 212 behind. Unlike FIG. 3A above, none of the sidewall spacers 212 are removed for the multiple device embodiment. Thus, the sidewall spacers 212 form vertical device regions 214 and gate regions 216.
  • Next, in [0071] step 56 as represented in FIG. 10D, a layered epi is formed for the two stacked N-type FETs. Layers 218, 220, 222, 224 and 226, are grown epitaxially in N-type device region 228 and, selectively in gate regions 230, 232. However, P-type device region 234 and gate region 236 are filled with TEOS to avoid prematurely forming the epi layers there. The PFET regions are protectively masked during NFET formation.
  • First, N-[0072] type layer 218 and P-type layer 220 are epitaxially grown in N- type regions 228, 230 and 232. TEOS is deposited in all three regions and, then, selectively removed from regions 228 and 230, leaving gate region 232 filled with a TEOS plug 240 above layer 220.
  • Next, N-[0073] type layer 222 and P-type layer 224 are epitaxially grown in N- type regions 228 and 230. TEOS is deposited in both regions 228 and 230 and, then, selectively removed from device region 228, leaving gate region 230 filled with a TEOS plug 242 above layer 224. Finally, N-type layer 226 is epitaxially grown in N-type device region 228 to complete the series NPNPN structure of the stacked N-type FETs.
  • Next, in [0074] step 58, the second type (PFET) layered epi is grown for a pair of parallel PFETs. A mask 244 in FIG. 10E, is formed over N- type regions 228, 230 and 232 and oxide 238, 238′ is removed from the P-type gate regions 236 and from P-type device region 234. P-type layer 246 and N-type layer 248 are formed in device region 234 and gate areas 236. Next, PFET regions 234, 236 are filled with TEOS, which is removed from device region 234, leaving plugs 250 in the gate regions 236. Finally, P-type device layer 252 is grown epitaxially in device region 234.
  • Plugs [0075] 240, 242 and 250 are removed, partially exposing nitride sidewall spacers 212. The exposed potions of each nitride sidewall spacer 212 is removed leaving partial spacers 212′ in FIG. 10F in gate regions 230, 232 and 236, and partially exposing device regions 228 and 234. Oxide fill 254 is formed along the exposed sides of device regions 228 and 234 and the wafer is planarized leaving planar surface 256.
  • The wafer is inverted and the [0076] semiconductor base wafer 200 is removed. So, a handle wafer 258 is attached to planarized surface 256 and, then, base wafer 200 is removed using CMP and etching to expose surface 260. The buried etch stop layer allows removing the bulk of the base layer 200 using etching and CMP at a relatively rapid rate until the etch stop layer is exposed and the remaining base layer is removed at a slower more controlled rate thereafter. Etchants such as ethylenediamine-pyrocatecho-water (EPW) or potassium hydroxide (KOH) are known to stop at a boron doped buried layer such as was formed above when the wafer was prepared by implanting the heavily doped layer. Alternatively, the base layer 200 is etched using RIE until oxide by-products are detected.
  • Gates are formed for the first type devices in [0077] step 60, after removing the base layer 200. A non-erodible mask (NEM) 262, 264 (sometimes referred to in the art as a
    Figure US20010002715A1-20010607-P00900
    hard mask
    Figure US20010002715A1-20010607-P00900
    ) is formed on N device region 228 and P-type gate and device regions 234, 236, respectively. Then, the epi layers are etched from unprotected N- type gate regions 230, 232, removing layers 218 and 220 in gate region 232 and layers 218, 220, 222 and 224 in gate region 230. As these silicon layers are removed, the sidewall spacers 212′ in gate regions 230 and 232, are re-exposed in the N-type region. These re-exposed sidewall spacers 212′ are removed, preferably by isotropic etching, to expose the vertical channel surface, 266, 268, i.e., the exposed side of layers 220, 224. Then, the mask 262 is selectively removed from the N-type regions.
  • Next, a [0078] gate oxide layer 270 in FIG. 10G, is grown on the exposed silicon and a gate layer 272 is formed on the gate oxide layer 270. Preferably, the gate layer 272 is the same thickness as, or slightly thicker than channel layers 220 and 224 to assure sufficient channel overlap by the gate. As in the individual device preferred embodiment FET, the gate layer 272 is a directionally deposited doped polysilicon layer, deposited by collimated sputtering from a silicon target to achieve the
    Figure US20010002715A1-20010607-P00900
    breadloafing
    Figure US20010002715A1-20010607-P00900
    effect.
  • Unwanted areas of [0079] gate layer 272 are etched isotropically using CDE to leave polysilicon only on horizontal surfaces, with gates 272′ and 272″ in FIG. 10H, thick enough to span each respective channel 266 and 268 without excessive overlap. Remaining mask 264 is removed and TEOS is deposited over the wafer to fill spaces 274 above the gates 272′, 272″ of the stacked N-type transistors. Excess TEOS and surface polysilicon is removed.
  • In [0080] step 62, gates are formed for the vertical P-type transistors, repeating the steps as described for the N-type devices. So, first, the N-type devices and the P-type device region 234 are masked and silicon layers 246 and 248 are removed from P-type gate areas 234 to expose sidewall spacers 212′. Then, the sidewall spacers 212′ and remaining mask structures may be removed. A gate oxide layer 276 is formed on exposed silicon and a polysilicon gate layer is sputtered onto the gate oxide layer 276. Excess polysilicon is removed to form gates 278, 280. TEOS plugs 282, 284 plug the spaces above the gates 278, 280. The structure thus formed is similar to the individual device stack structure of FIG. 3E.
  • I/O connections are formed for the logic gate example of FIGS. [0081] 10A-H as represented in FIG. 11B and schematically represented in FIG. 11A, which is, in this example, a two input NAND gate 286. The connections of FIG. 11B may be formed on either surface. Besides the ground connection and supply connection 288 in FIG. 11A, NAND gate 286 has a pair of input straps 290 and an output strap 292. The output strap 292 couples NFET device region 228 with PFET device region 234. The input straps 290 couple NFET gates 272′ and 272″ in gate regions 230 and 232 with PFET gates 278 and 280, respectively, in gate regions 236. In the preferred embodiment, ground and supply connections are made prior to step 64 of forming straps 290, 292 instead of as part of step 66.
  • Traditional chip wiring, on a single chip surface, is inadequate for such a vertical logic gate as it is with the preferred embodiment SRAM cell. Thus, appropriate connections for Ground, V[0082] h, as well as to the two (2) inputs 290 and output 292 are formed in steps 64 and 66 as represented in FIGS. 12A-C, which provide a cross-sectional view of the two input NAND gate formed in FIGS. 10A-H. The NAND gate includes a pair of stacked NFETs 228 and a pair of parallel PFETs 234.
  • First, as noted above, the ground and supply layers are formed. With the [0083] handle layer 258 still attached, a metal layer 300 in FIG. 12A is deposited on the surface 302 of the wafer to provide Vh to the P-type device area 234. Thus, the supply layer 300 contacts the source 304 of the parallel PFETs 234. The supply layer 300 is patterned using any appropriate photolithographic patterning technique.
  • Preferably, ground lines are formed above the [0084] supply layer 300 to maximize decoupling capacitance. So, a layer 306 of high dielectric material, such as Barium-Strontium-Titanate oxide (BST) or Tantalum Pentoxide is deposited on the patterned supply layer 300. Ground contacts 308 are opened through the dielectric layer 306 and supply layer 300 to the source 310 of the NFET transistor stack 228. A ground layer 312 of metal is deposited on the high dielectric layer 306, contacting the exposed source 310 in device region 228. An insulating layer 314, preferably SiO2, is formed over the ground layer 312. The insulating layer 314 is planarized using CMP or any appropriate planarization technique. It should be appreciated that the ground layer 312 could be formed on surface 302 prior to forming supply layer 300 without departing from the present invention.
  • A [0085] silicon wafer 316 is attached to the planarized surface 318 of layer 314 and the wafer is inverted for step 64. The handle wafer 258 is removed and contact vias 320 in FIG. 12B are etched, preferably using RIE, through oxide fill 254 to gates 272′, 272″, 278 and 280 in gate regions 230, 232, and 236 respectively. Then, the contact vias 320 are filled with a conductor, preferably Tungsten, and the surface 322 is planarized, preferably using any well known CMP technique.
  • The NAND gate wiring, [0086] 290, 292 of FIGS. 11A-B is formed in step 64 on the planarized surface 322 to gate contacts 320 and drains 324 and 326. An oxide layer 328 is deposited on the surface 322 filling spaces between wiring lands 290, 292. The oxide layer 328 is planarized forming planar surface 330.
  • Chip wiring, connecting the logic gate to other logic gates, is formed on the [0087] planarized surface 330 in step 66. An insulating layer 332 in FIG. 12C is formed on surface 322 and patterned to open contact vias to inputs 290. Alternatively, oxide layer 328 may be formed to a thickness sufficient to omit this insulating layer 332. A conducting layer, preferably a metal, is deposited on the insulating layer 332 and patterned to form the first interconnection layer, including input connections 334, 336 to inputs 290.
  • Next, an insulating [0088] layer 338 is formed on the first interconnection layer. Preferably, both insulating layers 332 and 338 are of a low dielectric material such as SiO2, an insulating polymer or air to reduce wiring capacitance. Contacts are opened through both insulating layers 332 and 338 as required to output 290. A final layer of conducting material is deposited on the patterned insulating layer 338. The final metal layer is patterned to form the second interconnection layer, including connection 340 to output 290 and wiring land 342.
  • Once the final metal layer has been formed in [0089] step 66, if desired, the wafer may be further passivated with an appropriate passivation layer (not shown) and provided with suitable terminal metal layers (not shown) for off chip connection.
  • While the invention has been described in terms of preferred embodiments, those skilled in the art will recognize that the invention can be practiced with modification within the spirit and scope of the appended claims. [0090]

Claims (55)

We claim:
1. A Field Effect Transistor (FET) comprising:
a layered semiconductor stack having a channel layer of a first conduction type between a pair of layers of a second conduction type;
a gate insulator layer on a sidewall of said semiconductor stack; and
a gate layer, a side of said gate layer adjacent said gate insulator layer extending along said channel layer, said side forming a gate of the FET.
2. The FET of
claim 1
wherein semiconductor stack is a silicon stack.
3. The FET of
claim 2
wherein the silicon stack is an epitaxially grown silicon stack.
4. The FET of
claim 3
wherein the first conduction type is P-type and the second conduction type is N-type.
5. The FET of
claim 3
wherein the first conduction type is N-type and the second conduction type is P-type.
6. The FET of
claim 3
wherein the gate insulator layer comprises a layer of SiO2.
7. The FET of
claim 6
wherein the gate insulator layer further comprises a nitride layer.
8. The FET of
claim 7
wherein the gate insulator layer comprises a layer of ONO.
9. The FET of
claim 3
wherein the gate layer is a layer of polysilicon.
10. The FET of
claim 9
wherein the polysilicon gate layer is as thick as the channel layer.
11. The FET of
claim 9
wherein the polysilicon gate layer is substantially thicker than the channel layer.
12. An integrated circuit (IC) including a plurality of Field Effect Transistors (FETs), at least one said FET comprising:
a layered epitaxial semiconductor stack having a channel layer of a first conduction type between first and second layers of a second conduction type;
a gate insulator layer on a sidewall of said epitaxial semiconductor stack; and
a gate layer, a side of said gate layer adjacent said gate insulator layer extending along said channel layer, said side forming a gate of the FET.
13. The IC of
claim 12
wherein the epitaxial semiconductor stack is an epitaxially grown silicon stack.
14. The IC of
claim 13
wherein said at least one FET is at least two FETs, the second FET of said at least two FETs further comprising:
a second channel of a third conduction type in a channel layer between third and fourth conduction layers of a fourth conduction type;
a second gate insulator layer on a sidewall of said channel layer; and
a second gate layer, a side of said second gate layer adjacent said gate insulator layer extending along said second channel and forming said second FET's gate.
15. The IC of
claim 14
wherein the third and fourth conduction layers are the first and second layers, the third conduction type is the first conduction type, the fourth conduction type is the second conduction type, said second sidewall is opposite said first sidewall, said second channel is in said channel layer and said second gate layer is coplanar with said first gate layer.
16. The IC of
claim 14
wherein the third conduction layer is the second conduction layer, said second channel is in a second channel layer, the second conduction layer is between the first and second channel layer, the third conduction type is the first conduction type, and the fourth conduction type is the second conduction type.
17. The IC of
claim 14
further comprising:
a second epitaxial silicon stack, wherein the second FET is in the second epitaxial silicon stack.
18. The IC of
claim 17
, wherein the at least two FETs are cross coupled FETs in a SRAM cell, the first and third conduction types are P-type and the second and fourth conduction types are N-type.
19. The IC of
claim 17
, wherein the at least two FETs are cross coupled FETs in a SRAM cell, the first and third conduction types are N-type and the second and fourth conduction types are P-type.
20. The IC of
claim 17
, wherein the at least two FETs are complementary FETs in an invertor, the first and fourth conduction types are P-type and the second and N-type conduction types are N-type.
21. A logic gate including at least one pair of Field Effect Transistors (FETs), said at least one pair of FETs comprising:
a layered epitaxial silicon stack having a first channel and a second channel of a first conduction type, said first channel being between first and second layers of a second conduction type and said second channel being between a said second layer and a third layer of said second conduction type;
a first gate insulator layer on a first sidewall of said epitaxial semiconductor stack;
a second gate insulator layer on a second sidewall of said epitaxial semiconductor stack;
a first gate layer, a side of said first gate layer adjacent said first gate insulator layer extending along said first channel, said side of said first gate layer forming a first FET's gate; and
a second gate layer, a side of said second gate layer adjacent said second gate insulator layer extending along said second channel, said side of said second gate layer forming a second FET's gate.
22. The logic gate of
claim 21
wherein at least one pair is at least two pair,
the first and third conduction layers of a first said pair being the same layer, said first and second channels being first and second sidewalls of said channel layer,
the second channel of a second pair of said at least two pair being in a second channel between said second and third conduction layer, said second conduction being between the first and second channel layers, and
a strap, said second layer of said first pair being connected by said strap to said third layer of said second pair.
23. The logic gate of
claim 21
being a NAND gate wherein the first said pair of FETs is a pair of P-type FETs and the second said pair of FETs is a pair of N-type FETs, a first input being connected to the first gate of each said pair, a second input being connected to the second gate of each said pair and the strap being the NAND gate's output.
24. The logic gate of
claim 21
being a NOR gate wherein the first said pair of FETs is a pair of N-type FETs and the second said pair of FETs is a pair of P-type FETs, a first input being connected to the first gate of each said pair, a second input being connected to the second gate of each said pair and the strap being the NOR gate's output.
25. An array of SRAM cells, each of said SRAM cells comprising:
a pair of cross coupled invertors, each said invertor including a pair of vertical FETs, each of said vertical FETs comprising:
a layered epitaxial silicon stack, said layered epitaxial silicon stack comprising a source layer, a channel layer on said source layer and a drain layer on said channel layer,
a gate insulator layer at a sidewall of said channel layer, and
a polysilicon gate layer, a side of said gate forming said FET's gate; and
a pair of pass gates, each said pass gate being an individual said vertical FET and coupled to one side of said cross coupled invertors.
26. The array of
claim 25
wherein the pair FETs in the of cross coupled invertors is a PFET and a NFET and the pass gates are NFETs.
27. The array of
claim 26
wherein the gate insulator layer comprises a layer of SiO2.
28. The array of
claim 27
wherein the gate insulator layer further comprises a nitride layer.
29. The array of
claim 28
wherein the gate insulator layer comprises a layer of ONO.
30. The array of
claim 26
wherein the polysilicon gate layer is as thick as the channel layer.
31. The array of
claim 26
wherein the polysilicon gate layer of the PFETs is substantially thicker than the channel layer.
32. The array of
claim 26
further comprising at least one resistive strap connecting the output of one of said invertors to the other invertor's input, whereby a level change at said output is delayed from reaching said input.
33. The array of
claim 32
wherein at least one resistive strap is two resistive straps, each of said resistive straps connecting the output of one of said invertors to the other invertor's input, whereby a level change at one said output is delayed from reaching the other said input.
34. A method of forming Field Effect Transistors (FETs), said method comprising the steps of:
a) growing layered epitaxial stacks on a surface of a semiconductor substrate, said layered epitaxial stacks having a channel layer between a pair of conduction layers, a plurality of said layered epitaxial stacks being in device regions;
b) growing a gate insulator layer along at least one sidewall of each of said plurality layered epitaxial stacks in device regions;
c) forming a gate layer on said gate insulator layer; and
d) selectively removing said gate layer from said gate insulator layer, said gate layer remaining in gate regions and laterally extending from said gate insulator layer at said channel, the side of said gate layer in each said gate region forming the gate of a FET.
35. The method of
claim 34
wherein the step (a) of growing the epitaxial stack comprises the steps of:
1) growing a layered dielectric on a semiconductor wafer;
2) opening a plurality of trenches through a surface layer of said layered dielectric;
3) opening a plurality of slots in each of said trenches to said semiconductor wafer;
4) forming a plurality of sidewall spacers in said slots;
5) removing any remaining dielectric from between said slots, said sidewall spacers defining said device regions and said gate regions; and
6) growing said epitaxial stack in said slots on said semiconductor wafer.
36. The method of
claim 35
further comprising, before the step (6) of growing the epitaxial stack, the step of:
5a) selectively removing one or more sidewall spacers from said slots.
37. The method of
claim 35
wherein the step (b) of growing the gate insulator layer comprises the steps of:
1) selectively removing portions of said epitaxial stack in said gate regions to expose one or more stack sidewall; and
2) forming said gate insulator layer on each said exposed stack sidewall.
38. The method of
claim 37
, wherein one or more stack sidewall is two sidewalls of each epitaxial stack in one of said device regions and said gate insulator is formed on said two sidewalls.
39. The method of
claim 37
, wherein the step (b1) of selectively removing epitaxial stack portions comprises the steps of:
i) selectively removing a first of said pair of conduction layers to expose said channel layer and upper portions of sidewall spacers in said gate regions;
ii) removing said upper portions of said sidewall spacer;
iii) filling said gate regions with an insulating material;
iv) removing said semiconductor substrate to expose the other conduction layer of said pair;
v) selectively removing said other conduction layer and said channel layer in said gate regions to expose remaining portions of said sidewall spacers and said insulating material filling said gate regions;
vi) removing said remaining sidewall spacer portions to expose sidewalls of said layered epitaxial stacks in device regions; and
vii) forming a gate insulator layer on said exposed sidewalls.
40. The method of
claim 37
wherein the step (c) of forming the gate layer comprises directionally depositing a layer of conductive material by collimated sputtering from a target of said conductive material.
41. The method of
claim 40
, further comprising after the step (d) of selectively removing the gate layer, the step of:
e) filling said gate regions with an insulating material;
f) opening contacts through said insulating material in said gate regions to said gate layer; and
g) filling said contacts with conducting material.
42. The method of
claim 41
, wherein at least two of said FETs are FETs in a FET stack of two or more FETs, said FET stack having a layered epitaxial stack of alternating channel layers and conduction layers.
43. The method of
claim 42
wherein two or more FETs is two FETs, said first conduction layer of said pair being removed in two gate regions adjacent to said FET stack and further comprising after the step (1(i)) the step of:
iA) selectively removing, in one of said two gate regions, one of two said channel layers and a conduction layer between said two channel layers.
44. The method of
claim 43
, further comprising the step of:
h) strapping one of said pair of conduction layers of said FET stack to one of said pair conduction layers in a second device region; and
j) strapping each gate contact of said two FETs to a corresponding gate contact to a gate adjacent said second device region.
45. The method of
claim 41
, further comprising the step of:
h) strapping one of said pair of conduction layers of said FET stack to one of said pair conduction layers in a second device region; and
j) strapping each gate of said two FETs to a corresponding gate adjacent said second device region.
46. A method of forming an array of SRAM cells, said method comprising the steps of:
a) forming a plurality of sidewall spacers on a surface of a semiconductor wafer, said sidewall spacers defining said device regions and said gate regions; and
b) growing layered epitaxial stacks on said semiconductor wafer between said sidewall spacers, said layered epitaxial stacks having a channel layer between a pair of conduction layers;
c) selectively removing a first of said pair of conduction layers to expose said channel layer and upper portions of sidewall spacers in said gate regions;
d) removing said upper portions of said sidewall spacer and filling said gate regions with an insulating material;
e) removing said semiconductor wafer to expose the other conduction layer of said pair;
f) selectively removing said other conduction layer and said channel layer in said gate regions to expose remaining portions of said sidewall spacers and said insulating material and removing said remaining sidewall spacer portions to expose sidewalls of said layered epitaxial stacks in device regions;
g) forming a gate insulator layer on said exposed sidewalls;
h) forming a gate layer on said gate insulator layer;
j) selectively removing said gate layer from said gate insulator layer, said gate layer remaining in gate regions and laterally extending from said gate insulator layer at said channel, the side of said gate layer in each said gate region forming the gate of a FET;
k) filling said gate regions with an insulating material;
l) opening contacts through said insulating material in said gate regions to said gate layer; and
m) filling said contacts with conducting material.
47. The method of
claim 46
, wherein the array of SRAM cells is an array of CMOS SRAM cells and wherein the step (a) of forming sidewall spacers comprises the steps of:
1) forming trenches in a plurality of cell areas through a surface layer of a layered dielectric on said semiconductor wafer, at least one trench in each said cell area being wider than other said trenches in said each cell area;
2) forming a plurality of slots to said semiconductor wafer in said trenches;
3) conformally depositing a layer of the same material as said surface layer over said surface layer and into said slots; and
4) reactive ion etching said conformally deposited layer such that sidewalls of said deposited material are left in said slots.
48. The method of
claim 47
wherein the step (g) of forming the gate layer comprises:
directionally depositing a first layer of conductive material by collimated sputtering from a target of said conductive material.
49. The method of
claim 48
, wherein the epitaxial stack grown in steps (b) forms FETs of a first type and, after the step (d) of removing upper portions of said first type stack, further comprising:
growing layered epitaxial stacks of a second type on said semiconductor wafer.
50. The method of
claim 49
after the step (k) of filling the gate regions, further comprising the steps of:
k1) exposing sidewalls of said second type stacks and forming a gate insulator layer on said exposed second type stack sidewalls;
k2) directionally depositing a second gate layer of conductive material by collimated sputtering from a target of said conductive material;
k3) selectively removing said second gate layer from said gate insulator layer, said second gate layer remaining in gate regions and laterally extending from said gate insulator layer on said second type stack, the side of said second gate layer in each said gate region forming the gate of a second type FET; and
k4) filling said gate regions above said second type FET gates with an insulating material.
51. The method of
claim 50
, wherein said second gate layer is thicker than the first said gate layer.
52. The method of
claim 50
, wherein FETs formed in said wider slots are cell pass gates, said method further comprising the steps of:
n) forming a wiring strap between each device area in each of said pass gates and a pair of stacks, said pair of stacks being one first type stack and one second type stack; and
m) forming a strap between each said wiring strap and the gates of a second pair of stacks.
53. The method of
claim 50
, wherein FETs formed in said wider slots are cell pass gates, said method further comprising the steps of:
n) forming a wiring strap between each device area in each of said pass gates and a pair of stacks, said pair of stacks being one first type stack and one second type stack; and
m) forming a high resistance strap between each said wiring strap and the gates of a second pair of stacks, the resistance of said high resistance strap being high than said wiring strap.
54. The method of
claim 50
, wherein the step (g) of forming the gate insulator comprises the steps of:
1) forming an oxide layer on said stack sidewall; and
2) forming a nitride layer on said oxide layer.
55. The method of
claim 54
, wherein the step (g) of forming the gate insulator further comprises the step of:
3) depositing an oxide layer on said nitride layer.
US09/002,399 1998-01-05 1998-01-05 High performance, low power vertical integrated CMOS devices Expired - Fee Related US6297531B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US09/002,399 US6297531B2 (en) 1998-01-05 1998-01-05 High performance, low power vertical integrated CMOS devices
US09/899,262 US6518112B2 (en) 1998-01-05 2001-07-06 High performance, low power vertical integrated CMOS devices

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/002,399 US6297531B2 (en) 1998-01-05 1998-01-05 High performance, low power vertical integrated CMOS devices

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US09/899,262 Division US6518112B2 (en) 1998-01-05 2001-07-06 High performance, low power vertical integrated CMOS devices

Publications (2)

Publication Number Publication Date
US20010002715A1 true US20010002715A1 (en) 2001-06-07
US6297531B2 US6297531B2 (en) 2001-10-02

Family

ID=21700580

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/002,399 Expired - Fee Related US6297531B2 (en) 1998-01-05 1998-01-05 High performance, low power vertical integrated CMOS devices
US09/899,262 Expired - Fee Related US6518112B2 (en) 1998-01-05 2001-07-06 High performance, low power vertical integrated CMOS devices

Family Applications After (1)

Application Number Title Priority Date Filing Date
US09/899,262 Expired - Fee Related US6518112B2 (en) 1998-01-05 2001-07-06 High performance, low power vertical integrated CMOS devices

Country Status (1)

Country Link
US (2) US6297531B2 (en)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6750487B2 (en) 2002-04-11 2004-06-15 International Business Machines Corporation Dual double gate transistor
JP2008205168A (en) * 2007-02-20 2008-09-04 Fujitsu Ltd Semiconductor device and method of manufacturing the same
US7517758B2 (en) * 2004-09-01 2009-04-14 Micron Technology, Inc. Method of forming a vertical transistor
US20100308422A1 (en) * 2009-06-05 2010-12-09 Unisantis Electronics (Japan) Ltd. Semiconductor device
US20110089496A1 (en) * 2009-08-11 2011-04-21 Unisantis Electronics (Japan) Ltd. Semiconductor device and production method
US8642426B2 (en) 2009-03-25 2014-02-04 Unisantis Electronics Singapore Pte Ltd. Semiconductor device and production method therefor
US20160180821A1 (en) * 2014-12-23 2016-06-23 Intel Corporation Distributed memory panel
US10332833B2 (en) * 2013-08-08 2019-06-25 Invensas Corporation Ultra high performance interposer
US10468525B1 (en) * 2018-05-23 2019-11-05 International Business Machines Corporation VFET CMOS dual epitaxy integration
WO2020099962A1 (en) * 2018-11-16 2020-05-22 International Business Machines Corporation Microelectronic device utilizing stacked vertical devices
US10833089B2 (en) 2018-11-16 2020-11-10 International Business Machines Corporation Buried conductive layer supplying digital circuits
US11018235B2 (en) 2016-06-13 2021-05-25 Imec Vzw Vertically stacked semiconductor devices having vertical channel transistors
US11164879B2 (en) 2018-11-16 2021-11-02 International Business Machines Corporation Microelectronic device with a memory element utilizing stacked vertical devices
US11171142B2 (en) 2018-11-16 2021-11-09 International Business Machines Corporation Integrated circuit with vertical structures on nodes of a grid

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6903411B1 (en) * 2000-08-25 2005-06-07 Agere Systems Inc. Architecture for circuit connection of a vertical transistor
US6649476B2 (en) * 2001-02-15 2003-11-18 Micron Technology, Inc. Monotonic dynamic-static pseudo-NMOS logic circuit and method of forming a logic gate array
US6690040B2 (en) * 2001-09-10 2004-02-10 Agere Systems Inc. Vertical replacement-gate junction field-effect transistor
US6759730B2 (en) 2001-09-18 2004-07-06 Agere Systems Inc. Bipolar junction transistor compatible with vertical replacement gate transistor
US20030052365A1 (en) * 2001-09-18 2003-03-20 Samir Chaudhry Structure and fabrication method for capacitors integratible with vertical replacement gate transistors
US6686604B2 (en) * 2001-09-21 2004-02-03 Agere Systems Inc. Multiple operating voltage vertical replacement-gate (VRG) transistor
US6709904B2 (en) 2001-09-28 2004-03-23 Agere Systems Inc. Vertical replacement-gate silicon-on-insulator transistor
US6773994B2 (en) 2001-12-26 2004-08-10 Agere Systems Inc. CMOS vertical replacement gate (VRG) transistors
US6756625B2 (en) * 2002-06-21 2004-06-29 Micron Technology, Inc. Memory cell and method for forming the same
US7045844B2 (en) * 2002-06-21 2006-05-16 Micron Technology, Inc. Memory cell and method for forming the same
US6762094B2 (en) 2002-09-27 2004-07-13 Hewlett-Packard Development Company, L.P. Nanometer-scale semiconductor devices and method of making
US6759699B1 (en) * 2003-04-22 2004-07-06 Taiwan Semiconductor Manufacturing Company Storage element and SRAM cell structures using vertical FETS controlled by adjacent junction bias through shallow trench isolation
US6992354B2 (en) * 2003-06-25 2006-01-31 International Business Machines Corporation FinFET having suppressed parasitic device characteristics
US6909125B2 (en) * 2003-07-08 2005-06-21 Texas Instruments Incorporated Implant-controlled-channel vertical JFET
US20070034909A1 (en) * 2003-09-22 2007-02-15 James Stasiak Nanometer-scale semiconductor devices and method of making
US7262099B2 (en) * 2004-08-23 2007-08-28 Micron Technology, Inc. Methods of forming field effect transistors
US7531395B2 (en) 2004-09-01 2009-05-12 Micron Technology, Inc. Methods of forming a layer comprising epitaxial silicon, and methods of forming field effect transistors
US8673706B2 (en) * 2004-09-01 2014-03-18 Micron Technology, Inc. Methods of forming layers comprising epitaxial silicon
US7132355B2 (en) * 2004-09-01 2006-11-07 Micron Technology, Inc. Method of forming a layer comprising epitaxial silicon and a field effect transistor
KR100702011B1 (en) * 2005-03-16 2007-03-30 삼성전자주식회사 CMOS SRAM cells employing multiple gate transistors and methods fabricating the same
US7372092B2 (en) * 2005-05-05 2008-05-13 Micron Technology, Inc. Memory cell, device, and system
US7462534B2 (en) * 2005-08-02 2008-12-09 Micron Technology, Inc. Methods of forming memory circuitry
US7504277B2 (en) * 2005-10-12 2009-03-17 Raytheon Company Method for fabricating a high performance PIN focal plane structure using three handle wafers
US7941309B2 (en) * 2005-11-02 2011-05-10 Microsoft Corporation Modeling IT operations/policies
US7625776B2 (en) * 2006-06-02 2009-12-01 Micron Technology, Inc. Methods of fabricating intermediate semiconductor structures by selectively etching pockets of implanted silicon
US7628932B2 (en) * 2006-06-02 2009-12-08 Micron Technology, Inc. Wet etch suitable for creating square cuts in si
US7709341B2 (en) * 2006-06-02 2010-05-04 Micron Technology, Inc. Methods of shaping vertical single crystal silicon walls and resulting structures
TWI305669B (en) * 2006-07-14 2009-01-21 Nanya Technology Corp Method for making a raised vertical channel transistor device
US8735990B2 (en) * 2007-02-28 2014-05-27 International Business Machines Corporation Radiation hardened FinFET
US7772668B2 (en) 2007-12-26 2010-08-10 Fairchild Semiconductor Corporation Shielded gate trench FET with multiple channels
JP5623005B2 (en) * 2008-02-01 2014-11-12 ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. Semiconductor device and manufacturing method thereof
US7755107B2 (en) 2008-09-24 2010-07-13 Skyworks Solutions, Inc. Bipolar/dual FET structure including enhancement and depletion mode FETs with isolated channels
US9230810B2 (en) 2009-09-03 2016-01-05 Vishay-Siliconix System and method for substrate wafer back side and edge cross section seals
JP5623068B2 (en) * 2009-12-07 2014-11-12 キヤノン株式会社 Method for manufacturing solid-state imaging device
US8283715B2 (en) * 2010-08-12 2012-10-09 Rexchip Electronics Corporation Method and apparatus for buried word line formation
FR2989218A1 (en) * 2012-04-10 2013-10-11 St Microelectronics Sa METHOD FOR PRODUCING AN ELECTRONIC DEVICE BY ASSEMBLING SEMICONDUCTOR BLOCKS AND DEVICE THEREOF
KR20150054885A (en) 2012-09-07 2015-05-20 유니버시티 오브 버지니아 페이턴트 파운데이션 Low power clock source
KR101695708B1 (en) * 2014-01-09 2017-01-13 한국전자통신연구원 Semiconductor device and method of fabricating the same
US9646973B2 (en) 2015-03-27 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Dual-port SRAM cell structure with vertical devices
US9472558B1 (en) 2015-11-13 2016-10-18 Globalfoundries Inc. Semiconductor structures with stacked non-planar field effect transistors and methods of forming the structures
US9799655B1 (en) 2016-04-25 2017-10-24 International Business Machines Corporation Flipped vertical field-effect-transistor
US10453844B2 (en) 2017-12-06 2019-10-22 International Business Machines Corporation Techniques for enhancing vertical gate-all-around FET performance
US10439045B1 (en) 2018-05-09 2019-10-08 International Business Machines Corporation Flipped VFET with self-aligned junctions and controlled gate length
US10483166B1 (en) 2018-06-26 2019-11-19 International Business Machines Corporation Vertically stacked transistors
US10388569B1 (en) 2018-06-26 2019-08-20 International Business Machines Corporation Formation of stacked nanosheet semiconductor devices
US10741456B2 (en) 2018-10-10 2020-08-11 International Business Machines Corporation Vertically stacked nanosheet CMOS transistor
US11282743B2 (en) * 2020-07-17 2022-03-22 Nanya Technology Corporation Semiconductor device with multi-layer connecting structure and method for fabricating the same
KR20220019178A (en) 2020-08-07 2022-02-16 삼성전자주식회사 Semiconductor device
US11600309B2 (en) * 2020-12-15 2023-03-07 Besang, Inc. 3D memory with 3D sense amplifier

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3761782A (en) 1971-05-19 1973-09-25 Signetics Corp Semiconductor structure, assembly and method
US3884733A (en) 1971-08-13 1975-05-20 Texas Instruments Inc Dielectric isolation process
US3986196A (en) 1975-06-30 1976-10-12 Varian Associates Through-substrate source contact for microwave FET
US4462040A (en) 1979-05-07 1984-07-24 International Business Machines Corporation Single electrode U-MOSFET random access memory
US4296428A (en) 1979-06-28 1981-10-20 Rockwell International Corporation Merged field effect transistor circuit and fabrication process
US4466173A (en) 1981-11-23 1984-08-21 General Electric Company Methods for fabricating vertical channel buried grid field controlled devices including field effect transistors and field controlled thyristors utilizing etch and refill techniques
US4507674A (en) 1982-06-07 1985-03-26 Hughes Aircraft Company Backside illuminated blocked impurity band infrared detector
US4554570A (en) * 1982-06-24 1985-11-19 Rca Corporation Vertically integrated IGFET device
JPS60233911A (en) 1984-05-04 1985-11-20 Nec Corp Monolithic amplifier
US4692791A (en) 1984-07-13 1987-09-08 Texas Instruments Incorporated Monolithic IMPATT with stripline leads
US4675717A (en) 1984-10-09 1987-06-23 American Telephone And Telegraph Company, At&T Bell Laboratories Water-scale-integrated assembly
US4624004A (en) 1985-07-15 1986-11-18 Eaton Corporation Buried channel MESFET with backside source contact
JPS6252969A (en) 1985-08-30 1987-03-07 Nippon Texas Instr Kk Insulated gate field effect semiconductor device
US4810906A (en) 1985-09-25 1989-03-07 Texas Instruments Inc. Vertical inverter circuit
US4767722A (en) 1986-03-24 1988-08-30 Siliconix Incorporated Method for making planar vertical channel DMOS structures
US4956687A (en) 1986-06-26 1990-09-11 Santa Barbara Research Center Backside contact blocked impurity band detector
US5072276A (en) 1986-10-08 1991-12-10 Texas Instruments Incorporated Elevated CMOS
JPS63239973A (en) 1986-10-08 1988-10-05 テキサス インスツルメンツ インコーポレイテツド Integrated circuit and manufacture of the same
US4807022A (en) 1987-05-01 1989-02-21 Raytheon Company Simultaneous formation of via hole and tub structures for GaAs monolithic microwave integrated circuits
US4794093A (en) 1987-05-01 1988-12-27 Raytheon Company Selective backside plating of gaas monolithic microwave integrated circuits
US4927784A (en) 1987-05-01 1990-05-22 Raytheon Company Simultaneous formation of via hole and tube structures for GaAs monolithic microwave integrated circuits
US5034347A (en) 1987-10-05 1991-07-23 Menlo Industries Process for producing an integrated circuit device with substrate via hole and metallized backplane
JPH01125858A (en) 1987-11-10 1989-05-18 Fujitsu Ltd Semiconductor device and manufacture thereof
US4889832A (en) 1987-12-23 1989-12-26 Texas Instruments Incorporated Method of fabricating an integrated circuit with metal interconnecting layers above and below active circuitry
US4982266A (en) 1987-12-23 1991-01-01 Texas Instruments Incorporated Integrated circuit with metal interconnecting layers above and below active circuitry
US5032529A (en) 1988-08-24 1991-07-16 Harris Corporation Trench gate VCMOS method of manufacture
US4951102A (en) 1988-08-24 1990-08-21 Harris Corporation Trench gate VCMOS
US5027189A (en) 1990-01-10 1991-06-25 Hughes Aircraft Company Integrated circuit solder die-attach design and method
US5134448A (en) 1990-01-29 1992-07-28 Motorola, Inc. MOSFET with substrate source contact
FR2663784B1 (en) 1990-06-26 1997-01-31 Commissariat Energie Atomique PROCESS FOR PRODUCING A STAGE OF AN INTEGRATED CIRCUIT.
US5449930A (en) 1990-08-01 1995-09-12 Zhou; Guo-Gang High power, compound semiconductor device and fabrication process
US5063177A (en) 1990-10-04 1991-11-05 Comsat Method of packaging microwave semiconductor components and integrated circuits
US5057450A (en) 1991-04-01 1991-10-15 International Business Machines Corporation Method for fabricating silicon-on-insulator structures
US5292686A (en) 1991-08-21 1994-03-08 Triquint Semiconductor, Inc. Method of forming substrate vias in a GaAs wafer
JPH05206394A (en) * 1992-01-24 1993-08-13 Mitsubishi Electric Corp Field effect transistor and its manufacture
US5252849A (en) 1992-03-02 1993-10-12 Motorola, Inc. Transistor useful for further vertical integration and method of formation
US5208172A (en) * 1992-03-02 1993-05-04 Motorola, Inc. Method for forming a raised vertical transistor
US5324673A (en) * 1992-11-19 1994-06-28 Motorola, Inc. Method of formation of vertical transistor
US5322816A (en) 1993-01-19 1994-06-21 Hughes Aircraft Company Method for forming deep conductive feedthroughs
US5447871A (en) 1993-03-05 1995-09-05 Goldstein; Edward F. Electrically conductive interconnection through a body of semiconductor material
US5343071A (en) 1993-04-28 1994-08-30 Raytheon Company Semiconductor structures having dual surface via holes
US5455064A (en) 1993-11-12 1995-10-03 Fujitsu Limited Process for fabricating a substrate with thin film capacitor and insulating plug
US5719409A (en) * 1996-06-06 1998-02-17 Cree Research, Inc. Silicon carbide metal-insulator semiconductor field effect transistor
DE19839970C2 (en) * 1998-09-02 2000-11-02 Siemens Ag Edge structure and drift area for a semiconductor component and method for their production
US6392271B1 (en) * 1999-06-28 2002-05-21 Intel Corporation Structure and process flow for fabrication of dual gate floating body integrated MOS transistors

Cited By (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6750487B2 (en) 2002-04-11 2004-06-15 International Business Machines Corporation Dual double gate transistor
US20040161898A1 (en) * 2002-04-11 2004-08-19 Fried David M. Dual double gate transistor and method for forming
US7101741B2 (en) 2002-04-11 2006-09-05 International Business Machines Corporation Dual double gate transistor and method for forming
US7517758B2 (en) * 2004-09-01 2009-04-14 Micron Technology, Inc. Method of forming a vertical transistor
JP2008205168A (en) * 2007-02-20 2008-09-04 Fujitsu Ltd Semiconductor device and method of manufacturing the same
US8642426B2 (en) 2009-03-25 2014-02-04 Unisantis Electronics Singapore Pte Ltd. Semiconductor device and production method therefor
US8772881B2 (en) 2009-06-05 2014-07-08 Unisantis Electronics Singapore Pte Ltd. Semiconductor device
US20100308422A1 (en) * 2009-06-05 2010-12-09 Unisantis Electronics (Japan) Ltd. Semiconductor device
US20110089496A1 (en) * 2009-08-11 2011-04-21 Unisantis Electronics (Japan) Ltd. Semiconductor device and production method
EP2284878A3 (en) * 2009-08-11 2013-07-31 Unisantis Electronics Singapore Pte. Ltd. Semiconductor device and production method
US8558317B2 (en) 2009-08-11 2013-10-15 Unisantis Electronics Singapore Pte Ltd. Semiconductor device and production method
US9484268B2 (en) 2009-08-11 2016-11-01 Unisantis Electronics Singapore Pte Ltd. Semiconductor device and production method
US9059309B2 (en) 2009-08-11 2015-06-16 Unisantis Electronics Singapore Pte Ltd. Semiconductor device and production method
US10700002B2 (en) 2013-08-08 2020-06-30 Invensas Corporation Ultra high performance interposer
US10332833B2 (en) * 2013-08-08 2019-06-25 Invensas Corporation Ultra high performance interposer
US20160180821A1 (en) * 2014-12-23 2016-06-23 Intel Corporation Distributed memory panel
US11018235B2 (en) 2016-06-13 2021-05-25 Imec Vzw Vertically stacked semiconductor devices having vertical channel transistors
US10468525B1 (en) * 2018-05-23 2019-11-05 International Business Machines Corporation VFET CMOS dual epitaxy integration
US10615277B2 (en) 2018-05-23 2020-04-07 International Business Machines Corporation VFET CMOS dual epitaxy integration
WO2020099962A1 (en) * 2018-11-16 2020-05-22 International Business Machines Corporation Microelectronic device utilizing stacked vertical devices
US10804266B2 (en) 2018-11-16 2020-10-13 International Business Machines Corporation Microelectronic device utilizing stacked vertical devices
US10833089B2 (en) 2018-11-16 2020-11-10 International Business Machines Corporation Buried conductive layer supplying digital circuits
GB2594164A (en) * 2018-11-16 2021-10-20 Ibm Microelectronic device utilizing stacked vertical devices
US11164879B2 (en) 2018-11-16 2021-11-02 International Business Machines Corporation Microelectronic device with a memory element utilizing stacked vertical devices
US11171142B2 (en) 2018-11-16 2021-11-09 International Business Machines Corporation Integrated circuit with vertical structures on nodes of a grid
GB2594164B (en) * 2018-11-16 2022-12-14 Ibm Microelectronic device utilizing stacked vertical devices

Also Published As

Publication number Publication date
US20020008280A1 (en) 2002-01-24
US6297531B2 (en) 2001-10-02
US6518112B2 (en) 2003-02-11

Similar Documents

Publication Publication Date Title
US6518112B2 (en) High performance, low power vertical integrated CMOS devices
US8492813B2 (en) Semiconductor device and semiconductor device manufacturing method
US5324960A (en) Dual-transistor structure and method of formation
US6580137B2 (en) Damascene double gated transistors and related manufacturing methods
US6653174B1 (en) Thyristor-based device over substrate surface
US5422296A (en) Process for forming a static-random-access memory cell
US5250829A (en) Double well substrate plate trench DRAM cell array
KR100675726B1 (en) Semiconductor integrated circuit device and process for manufacturing the same
US5025301A (en) DRAM which uses MISFETS in the peripheral circuit
KR100530305B1 (en) Vertical mosfet sram cell
US20020127811A1 (en) Trench DRAM cell with vertical device and buried word lines
CN111900164B (en) Semiconductor structure and preparation method thereof
JPS63239973A (en) Integrated circuit and manufacture of the same
JP2001148472A (en) Semiconductor device and manufacturing method therefor
US20130175627A1 (en) Sram integrated circuits and methods for their fabrication
US20060281302A1 (en) Semiconductor damascene trench and methods thereof
US7173302B2 (en) Integrated circuit arrangement having capacitors and having planar transistors and fabrication method
US11894039B2 (en) Fft-dram
EP0550894B1 (en) Trench DRAM cell array
US7084449B2 (en) Microelectronic element having trench capacitors with different capacitance values
US20230083560A1 (en) Field effect transistors with gate fins and method of making the same
KR0123237B1 (en) Manufacturing method of dram cell
KR100190017B1 (en) Static random access memory and its making method

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ARMACOST, MICHAEL D.;BERTIN, CLAUDE L.;HEDBERG, ERIK L.;AND OTHERS;REEL/FRAME:008923/0670;SIGNING DATES FROM 19971024 TO 19971103

FPAY Fee payment

Year of fee payment: 4

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20091002