US20010008226A1 - In-situ integrated oxide etch process particularly useful for copper dual damascene - Google Patents

In-situ integrated oxide etch process particularly useful for copper dual damascene Download PDF

Info

Publication number
US20010008226A1
US20010008226A1 US09/201,590 US20159098A US2001008226A1 US 20010008226 A1 US20010008226 A1 US 20010008226A1 US 20159098 A US20159098 A US 20159098A US 2001008226 A1 US2001008226 A1 US 2001008226A1
Authority
US
United States
Prior art keywords
plasma
etch
layer
nitride
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/201,590
Other versions
US6380096B2 (en
Inventor
Hoiman Hung
Joseph P. Caulfield
Sum-Yee Betty Tang
Jian Ding
Tianzong Xu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US09/201,590 priority Critical patent/US6380096B2/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CAULFIELD, JOSEPH P., DING, JAIN, HUNG, HOIMAN, TANG, SUM-YEE BETTY, XU, TIANZONG
Priority to PCT/US1999/020588 priority patent/WO2000014793A2/en
Priority to JP2000569440A priority patent/JP2002525840A/en
Priority to KR1020017002980A priority patent/KR20010079765A/en
Publication of US20010008226A1 publication Critical patent/US20010008226A1/en
Application granted granted Critical
Publication of US6380096B2 publication Critical patent/US6380096B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/954Making oxide-nitride-oxide device

Definitions

  • step 70 all the dual-damascene layers 12 , 14 , 16 , 20 are grown in a horizontally unpatterned vertical structure. All the layers can be grown in a single CVD chamber by sequentially changing gas flows, power levels, and other reactor parameters.
  • step 72 a photoresist layer is deposited over the upper oxide layer 20 and patterned with apertures corresponding to the via holes 18 .

Abstract

An integrated in situ oxide etch process particularly useful for a counterbore dual-damascene structure over copper having in one inter-layer dielectric level a lower nitride stop layer, a lower oxide dielectric, a lower nitride stop layer, an upper oxide dielectric layer, and an anti-reflective coating (ARC). The process is divided into a counterbore etch and a trench etch with photolithography for each, and each step is preferably performed in a high-density plasma reactor having an inductively coupled plasma source primarily generating the plasma and a capacitively coupled pedestal supporting the wafer and producing the bias power. The counterbore etch preferably includes at least four substeps of opening the ARC, etching through the upper oxide and nitride layers, selectively etching the lower oxide layer but stopping on the lower nitride layer, and a post-etch treatment for removing residue. The trench etch preferably includes the five substeps of opening the ARC, etching through the upper oxide layer but stopping on the upper nitride layers, a first post-etch treatment for removing residue, a nitride removal of the exposed portions of the upper and lower nitride layers, and a second post-etch treatment for remaining further residues. The oxide etches selective to nitride are accomplished using a fluorocarbon chemistry with high bias and a high temperature for a silicon-based scavenger for fluorine placed next to the plasma. The nitride etches and removal are accomplished by adding an oxygen-containing gas to a fluorocarbon. The final nitride removal is accomplished with very low bias power to increase selectivity to nitride and reduce sputtering of the underlying copper. The post-etch treatments are oxygen plasmas with zero bias power.

Description

    RELATED APPLICATIONS
  • This application is a continuation in part of Ser. No. 09/149,810 filed Sep. 8, 1998, which is a continuation in part of Ser. No. 09/112,094 filed Jul. 9, 1998. This application is also related to Ser. No. 09/112,864 filed Jul. 9, 1998. [0001]
  • FIELD OF THE INVENTION
  • The invention relates to plasma etch processes used in the manufacture of semiconductor integrated circuits. In particular, the invention relates to an in situ integrated process for etching layered dielectric structures serving as inter-level dielectric layers. [0002]
  • BACKGROUND ART
  • The technology of fabricating semiconductor integrated circuits continues to advance in the number of transistors, capacitors, or other electronic devices which can be fabricated on a single integrated circuit chip. This increasing level of integration is being accomplished in large part by decreasing the minimum feature sizes. Even as the number of layers in the integrated circuit continues to increase, advanced processes are being developed which allow for a reduction in the number of processing steps for a functional layer. However, these advanced processes often make extraordinary demands upon the chemistry of the etching process. Dielectric etching has presented some of the most difficult demands. [0003]
  • In the past the common materials for inter-level dielectric have been based upon silicon, such as silicon dioxide, silica glass such as BPSG, and related silicon-based oxide materials that serve as electrical insulators. Recently, interest has developed in insulating materials with low dielectric constants (low-k dielectrics), some of which are based upon silicon but others are based upon carbon. [0004]
  • Advanced integrated circuits contain multiple wiring layers separated from the silicon substrate and from each other by respective dielectric layers. Particularly logic circuitry, such as microprocessors, require several layers of metallization with intervening inter-level dielectric layers. Small contact or via holes need to be etched through each of the dielectric layers. The contact or via holes are then filled with a conductor, composed typically of aluminum in the past but more recently composed of copper. A horizontal wiring layer is formed over one dielectric layer and then covered by another dielectric layer. The horizontal wiring and the underlying vias are often referred to as a single wiring layer. The conventional process not only fills the contact or via holes but also overfills them to form a thick planar layer over both the filled holes and the dielectric. Conventionally, a metal lithographic step then photographically defines a photoresist layer over the planar metal layer and etches the exposed metal into a network of conductive interconnects. [0005]
  • In contrast, a recently developed damascene process substitutes chemical mechanical polishing for metal etching. A dual-damascene structure, as illustrated in sectioned isometric view in FIG. 1, has been proposed for advanced chips which avoids the metal etching and combines the metallization of the via and horizontal interconnect. There are two general types of dual-damascene processes, self-aligned and counterbore, both of which produce the structure of FIG. 1. [0006]
  • A [0007] substrate 10 includes a conductive feature 11 in its surface. If the substrate 10 already includes a wiring level at its surface, the conductive feature 11 is metallic and may be a previously formed dual-damascene metallization. The interconnection between two metallic wiring levels is called a via. Conventionally, the metal forming the metallization has been aluminum and its alloys, but advanced integrated circuits are being designed with copper metallization. Alternatively, the conductive feature 11 may be a doped region in a silicon substrate 10, for example, a source or drain. In this case, the interconnection between the silicon layer and a first metallization layer is called a contact. Although some aspects of the present invention apply to contacts, the major portion of the disclosure and the details of the invention are directed to vias, particularly copper vias and underlying copper lines 11.
  • Over the [0008] substrate 10 and the included conductive feature 11 are deposited a lower stop layer 12, a lower dielectric layer 14, an upper stop layer 16, and an upper dielectric layer 20. The stop layers 12, 16 have compositions relative to those of the dielectric layers 14, 20 that an etch chemistry is available which effectively etches a vertical hole in the overlying dielectric layer 14, 20 but stops on the stop layer 12, 16. That is, the etch selectively etches the dielectric layer over the stop layer. Alternatively stated, the dielectric etch is selective to the stop material. As mentioned before, more advanced circuits are being designed with the two dielectric layers 14, 16 being composed of a dielectric material having a lower dielectric constant than that of silicon dioxide. However, the specific examples of the invention use silicon dioxide, related non-stoichiometric materials SiOx, and related silica glasses for the dielectric. Some related glasses are borophosphosilicate glass (BPSG) and fluorinated silica glass (FSG), which exhibit much the same chemistry as SiO2. These materials will be hereafter collectively be referred to as oxides. The typical stop material for oxide is silicon nitride (Si3N4) although non-stoichiometric ratios SiNx, are included where x may be between 1.0 and 1.5. These materials will hereafter be referred to as nitrides. An advantage of the combination of oxide and nitride is that the both materials can be grown in a single reactor by plasma-enhanced chemical vapor deposition (PECVD). For example, silicon dioxide is grown under PECVD using tetraorthosilicate (TEOS) as the main precursor gas. Silicon nitride can be grown in the same reactor using silane as the main precursor in the presence of a nitrogen plasma. These examples are non-limiting and simply show the advantage of the vertical structure.
  • The dual-damascene etch structure shown in FIG. 1 is formed in the previously described vertical structure. The discussion with respect to the invention will disclose at least one way of performing the dual-damascene etch. The result is that a generally circular via [0009] hole 18 is etched through the lower oxide layer 14 and the lower nitride stop layer 12 to reach the underlying conductive feature 11. Multiple such via holes 18 are etched to reach different ones of the conductive features 11. A trench 22 extending along the surface of the substrate 10 is etched through at least the upper oxide layer 20 and usually through the upper nitride stop layer 16.
  • After completion of the dual-damascene etch structure of FIG. 1, the [0010] trench 22 and vias 18 are filled with a metal such as aluminum or copper. Physical vapor deposition (PVD) is the usual process for depositing the metal though it may be combined with chemical vapor deposition (CVD) or replaced by electro or electroless plating. Barrier layers are usually first conformally coated in the hole being filled. A typical barrier for copper includes Ta/TaN. The metal is deposited to a thickness that overfills the trench 22 and also covers a top planar surface 30 of the upper oxide layer 30. Chemical mechanical polishing (CMP) is applied to the top surface of the wafer. CMP removes the relatively soft exposed metal but stops on the relatively hard oxide layer 20. The result is a horizontal metal interconnect within the trench 22 a vertical metal interconnects (vias) in the via holes 18.
  • In the past, equipment limitations have required the frequent transfer of a semiconductor integrated circuit wafer in process from one vacuum processing chamber to another. Exposure of the wafers to the air environment during the transfer between vacuum chambers often results in corrosion of the metal features of the partially-processed integrated circuit. The well known susceptibility of copper to corrosion in air increases the destructive risk. Also, carbon based residue that forms on the interior of the reactor chamber over time can become redeposited on exposed copper surfaces. Since these carbon based residues can be extremely difficult to remove from copper, their presence can adversely impact upon subsequent formation of electrical contacts to the copper. [0011]
  • Tang et al. and Hung et al. in the previously cited patent applications, incorporated herein by reference in their entireties, disclose an integrated counterbore process for etching the dual-damascene structure of FIG. 1. The process is particularly advantageous because it can be performed in a single etch reactor, such as the inductive plasma source (IPS) etch reactor available from Applied Materials of Santa Clara, Calif. This reactor is illustrative of a new class of inductively coupled plasma reactors that are capable of generating a high-density plasma (HDP) and which separate the generation of the plasma from the biasing of the pedestal supporting the wafer. Such a reactor provides both the selectivity and the process flexibility required to satisfy the conflicting requirements of the many steps of an integrated in situ process. There are other types of high-density plasma reactors, including remote plasma source (RPS) and electron-cyclotron resonance (ECR). A high-density plasma may be defined as a plasma filling the entire space it is in, excluding plasma sheaths, and having an ionization density of at least 10[0012] 11 cm−3.
  • Collins et al. have described the IPS etch reactor in U.S. patent application Ser. No. 08/733,544, filed Oct. 21, 1996. The general reactor structure and some auxiliary equipment are illustrated in partial cross section in FIG. 2. A [0013] wafer 40 to be processed is supported on a cathode pedestal 42, which is supplied with RF power from a first RF power supply 44. A silicon ring 46 surrounds the pedestal 42 and is controllably heated by an array of heater lamps 48. A grounded silicon wall 50 surrounds the plasma processing area. A silicon roof 52 overlies the plasma processing area, and lamps 54 and water cooling channels 56 control its temperature. The temperature-controlled silicon ring 86 and to a lesser extent the silicon roof 52 can be used to scavenge fluorine from the fluorocarbon or other fluorine-based plasma. Processing gas is supplied from one or more bottom gas feeds 54 through a bank of mass flow controllers 56. Alternatively, a top gas feed may be formed as a small showerhead in the center of the silicon roof 52. An unillustrated vacuum pumping system connected to a pumping channel 58 around the lower portion of the chamber maintains the interior of the chamber at a preselected pressure. A system controller 60 controls the operation of the reactor and its auxiliary equipment.
  • In the used configuration, the [0014] silicon roof 52 is grounded, but its semiconductor resistivity and thickness are chosen to pass generally axial RF magnetic fields produced by an inner inductive coil stack 66 and an outer inductive coil stack 68 powered by respective RF power supplies 70, 72. Alternatively, a single RF power supply may be used in conjunction with a selectable power splitter. Other coil configurations are possible, for example, as in the TCP reactor having a flat, spiral inductive coil overlying the roof 52.
  • The [0015] system controller 60 controls the mass flow controllers 56, the heater lamps 48, 54, the supply of chilled water to the cooling channels 56, the throttle valve to the vacuum pumps, and the power supplies 44, 70, 72. All these regulated functions control the etching chemistry in conformance to a process recipe of the sort to be described in the examples below. The process recipe is stored in the controller 60 in magnetic, optical, or semiconductor memory, as is well known in the art, and the controller 60 reads the recipe from a recording medium inserted into it. It is typical for the equipment supplier to provide recipes on magnetic media such as floppy disks or optical media such as CDROMs, which are then read into controller 60.
  • A principal advantage of the inductively coupled plasma reactor is that different amounts of power can be supplied to the [0016] inductive coils 66, 68 and to the capacitive pedestal 42. The inductive power creates a plasma source region located in large part remotely from the wafer 40 while the capacitive power controls the plasma sheath adjacent to the wafer 40 and thus determines the DC bias across the sheath at the wafer 40. The source power can be raised to increase the etching rate and control the number and type of excited radicals while the bias power can be varied to cause ions to be accelerated across the plasma sheath with either high or low energy and which then strike the wafer 40 with the selected energy.
  • The integrated counterbore dual-damascene etch process of Tung et al. and Hung et al. as practiced in the IPS reactor is summarized in FIG. 3. In [0017] step 70, all the dual- damascene layers 12, 14, 16, 20 are grown in a horizontally unpatterned vertical structure. All the layers can be grown in a single CVD chamber by sequentially changing gas flows, power levels, and other reactor parameters. In step 72, a photoresist layer is deposited over the upper oxide layer 20 and patterned with apertures corresponding to the via holes 18.
  • In [0018] step 74, an extended via hole is etched from the top of the upper oxide layer 20 to the top of the lower nitride stop layer 12. Because the counterbore via etch 74 must etch through the upper nitride stop layer 16 but stop on the lower nitride stop layer 12, a multi-step via etch is required. Tang et al. disclose both a two-substep and a three-substep via etch step 74. The parameters for the two-substep via etch are given in TABLE 1.
    TABLE 1
    First Second
    Substep Substep
    CHF3 Flow (sccm) 80 80
    C2F6 Flow (sccm) 10 10
    CO Flow (sccm) 15 0
    Ar Flow (sccm) 425 425
    Inner Source Power (W) 710 710
    Outer Source Power (W) 2310 2310
    Bias Power (W) 1400 1400
    Pressure (mT) 75 75
    Roof Temp. (° C.) 145 145
    Ring Temp. (° C.) 350 350
    Cathode Temp. (° C.) −10 −10
    Backside He Pressure (T) 15 15
    Time (s) 60 80
  • The counterbore via etch is demanding because it must etch very deeply, for example, 2.5 μm through a very narrow hole. A hole width of no more than 0.25 μm is required, and a width of 0.18 μm and less is being contemplated. These very high aspect ratios mean that etch stop is a problem. Etch stop arises from the fact that the high selectivity of fluorocarbon-based oxide etches to underlying silicon or silicon nitride as well as verticality of the side walls depend upon a polymer depositing on non-oxide surfaces and on the side walls. However, if the etching chemistry is too rich (favoring too much polymer formation), the polymer bridges the sidewalls and covers the oxide bottom of the developing hole and prevents further etching. The final step of the counterbore via etch also requires good selectivity to the underlying lower [0019] nitride stop layer 12. The use of CO in the first step reduces selectivity to nitride, thus allowing the upper nitride stop layer 16 to be etched.
  • An alternative three-step etch of Tang et al., particularly applicable to a wider geometry is summarized in TABLE 2. [0020]
    TABLE 2
    First Second Third
    Substep Substep Substep
    CHF3 Flow (sccm) 90 90 80
    CH2F2 Flow (sccm) 10 10 0
    CO Flow (sccm) 0 15 0
    C4F8 Flow (sccm) 0 0 10
    Ar Flow (sccm) 425 425 425
    Inner Source Power (W) 610 610 610
    Outer Source Power (W) 2100 2310 2310
    Bias Power (W) 1400 1400 1400
    Pressure (mT) 75 75 75
    Roof Temp. (° C.) 145 145 145
    Ring Temp. (° C.) 350 350 350
    Cathode Temp. (° C.) −10 −10 −10
    Backside He Pressure (T) 15 15 15
    Time (s) 70 50 60
  • It begins with an oxide etch selective to nitride that goes no further than the upper nitride stop layer. The second step is less selective to nitride, allowing the upper nitride stop layer to be etched through. The third step is again selective to nitride so it etches through the lower oxide layer and stops on the lower nitride stop layer. This process allows tighter control on where the different steps begin and end. [0021]
  • At the completion of the via etch sequence, the wafer is removed from the etch reactor, and in step [0022] 76 a photoresist layer is deposited over the top of the upper oxide layer 30 and patterned to the area of the trench 22. The wafer is returned to the same or another IPS reactor. In a trench etch step 82, the upper oxide layer 20 is etched down to the upper nitride stop layer 16, thereby forming the trench 22. After the trench etch 82, a post etch treatment 84 is used in the IPS chamber to remove any remaining photoresist and any polymer produced in the trench etch 82. This step is sometimes referred to as ashing. Finally, in a nitride removal step 86, the lower nitride layer 12 exposed at the bottom of the via hole 18 is removed.
  • Hung et al. give an example of the three [0023] steps 82, 84, 86, as summarized in TABLE 3.
    TABLE 3
    Trench Nitride
    Etch Ash Removal
    C4F8 Flow (sccm) 14 0 0
    CH2F2 Flow (sccm) 0 0 40
    O2 Flow (sccm) 0 400 60
    Ar Flow (sccm) 100 0 100
    Inner Source Power (W) 260 800 420
    Outer Source Power (W) 1040 2400 1320
    Bias Power (W) 1400 150 150
    Pressure (mT) 7 60 9
    Roof Temp. (° C.) 180 180 180
    Ring Temp. (° C.) 270 270 270
    Cathode Temp. (° C.) −10 −10 −10
    Backside He Pressure (T) 15 15 15
    Time (s) 100 60 100
  • In the [0024] trench etch step 82, a fluorocarbon-based etch is used to etch the upper oxide layer 20 but to stop on the upper nitride stop layer 16. The trench etch is not prone to etch stop because of the relatively open trench geometry. However, the trench etch must be very selective to nitride because the lower nitride stop layer 12 is exposed to the entire duration of the trench etch. Selectivity of oxide over nitride is achieved by the combination of a fluorocarbon chemistry and a relatively high bias power producing energetic ions for reactive ion etching. The relatively high ring temperature and low wafer temperature also foster good selectivity. If the lower nitride stop layer 12 were to be punched through in the trench etch, the relatively high ion energies used to achieve oxide-to-nitride selectivity would sputter the copper thus exposed in the underlying copper metallization 11. The copper would redeposit on the sidewalls of the via hole 18 and significantly degrade the electric characteristics of the inter-level oxide. Note that in copper PVD, barrier layers are deposited in the via hole 18 and trench 22 prior to the copper deposition to prevent the copper from migrating into the oxide.
  • The [0025] post etch treatment 84 uses an oxygen-based plasma at relatively low bias power, typically less than 20% of that used in the selective etch. The nitride removal 82 uses a combination of a fluorocarbon and an oxygen component at similarly low bias power to reduce the selectivity to nitride. The low bias power prevents significant sputtering of the exposed copper.
  • Both the counterbore via etch of Tang et al. and the trench etch of Hung et al. are respective in situ processes performable in a single reactor without removing the wafer from the reactor. Such an in situ process greatly increases through put because less wafer transfer is required and thermal and significant pressure ramps are not required between the substeps. Nonetheless, the process produces good structural characteristics. [0026]
  • However, further work has revealed some shortcomings of the processes described above and need for further capabilities. [0027]
  • The photolithography required for features of size approximately 0.25 μm and smaller is extremely demanding since even the far ultraviolet light used in advanced lithography has a wavelength not much different from this dimension, and photoresist thicknesses tend to be even greater to achieve good light absorption. Unless the photolithographic light is well coupled into the photoresist layer, standing waves may be set up in the photoresist layer. Thin photoresist layers may be used that are patterned by scanned electron beams, but e-beams are not considered amendable to high-volume production. Therefore, for the desired smaller feature sizes, it becomes necessary to apply an anti-reflective coating (ARC) between the top of the dielectric layers and beneath the photoresist. Two types of anti-reflective coatings are common. A dielectric anti-reflective coating (DARC) is composed of silicon oxynitride and may be deposited in the same plasma-enhanced CVD reactor as the other layers in the dual-damascene stack. A bottom anti-reflection coating (BARC) is a carbonaceous polymer similar to photoresist that begins as an organic suspension that is spun onto the wafer as a very thin layer and dried to form the polymeric layer having an advantageous refractive index. An example of BARC is BARLi, available from Hoechst Celanese. The integrated process needs to include the opening of the ARC layer in one or both of the etch steps. [0028]
  • Typically in the prior art, after a wafer has been subjected to a complete plasma etching step, it is wet cleaned with a commercially available cleaning solvent such as EKC for aluminum or ACT for copper to remove polymeric and other residues prior to the next step of lithography, PVD, or CVD. Although wet cleaning is relatively effective at providing clean surfaces for the next step, it is a slow and labor-intensive process inconsistent with the trend to automated, clean-room processing. It is thus desirable to substitute for the wet clean a final plasma clean in the integrated etch process. [0029]
  • The final nitride removal step of Hung et al. has been observed to side etch the lower nitride stop layer and thus to undercut the lower oxide layer. Such undercutting is not desirable. It is difficult for the conformal sputtering barrier layer to fill such small lateral recess, and if copper does fill it, the underlying oxide is unprotected. If the recess leaves voids in the PVD deposited metal, reliability is reduced. [0030]
  • SUMMARY OF THE INVENTION
  • The invention may be summarized as an in situ integrated etch process for etching oxide and other inter-level dielectrics. The process may be performed in a single chamber for all the necessary etching substeps in one level of etching, for example, including opening an anti-reflective coating and doing a final plasma clean as well as etching the dielectric and stop layer. The invention is particularly useful when a dielectric layer is underlaid with a stop layer, for example, an oxide dielectric and a nitride stop. [0031]
  • The invention is particularly applicable to a dual-damascene etch requiring two photolithographic steps and concomitant etching steps. A counterbore via etch etches a via hole through two levels of dielectric and an intervening stop layer but stops on the lower stop layer. A subsequent trench etch etches a larger feature in the upper dielectric layer and also includes a final stop removal and post-etch treatment. Oxide and nitride are the preferred materials for the dielectric and stop. [0032]
  • An aspect of the invention includes after the principal dielectric etch a first post-etch treatment, a stop removal step, and a subsequent short second post-etch treatment. This is especially important when a copper metallization is being exposed beneath the stop layer. [0033]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is an orthographic view of a dual-damascene etch structure used for vertically connecting two levels of metallization and for horizontally connecting one metallization level. [0034]
  • FIG. 2 is a schematic side view of an inductively coupled high-density plasma etch reactor usable with the inventive process. [0035]
  • FIG. 3 is a process flow diagram for a counterbore dual-damascene integrated etch process disclosed in the parent application. [0036]
  • FIG. 4 is a process flow diagram for an improved dual-damascene integrated etch process of the invention. [0037]
  • FIGS. 5 through 10 are cross-sectional views of the developing structure in a counter-bore dual-damascene process, especially the etching, of the invention. [0038]
  • FIG. 11 is a process flow diagram for the counterbore etching part of the process of FIG. 4. [0039]
  • FIG. 12 is a process flow diagram for the trench etching part of the process of FIG. 4. [0040]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • The invention provides an etch process particularly useful for a counterbore dual-damascene etch although it can advantageously be applied to other via and contact structures. The process illustrated in the flow diagram of FIG. 4 will be explained with reference to the cross-sectional views of FIGS. 5 through 10 illustrating the gradually developing dual-damascene structure. Although the description will specifically described oxide dielectric layers and nitride stop layer, the broader aspects of the invention are applicable to other combinations of materials. [0041]
  • In [0042] deposition step 90, all the dual- damascene layers 12, 14, 16, 20 of FIG. 5 are grown on the substrate 10, specifically the upper and lower oxide layers 20, 16 and the upper and lower nitride stop layer 16, 12. It is understood that in the case of a via, the substrate 10 includes a conductive line 11 composed of either aluminum or copper. As mentioned previously, all these layers 12, 14, 16, 20 may be sequentially grown in a single CVD chamber, thus simplifying the growth process. However, other growth processes are included within the invention.
  • The invention has been tested in one series of experiments upon a specific counter-bore dual damascene structure. It vertical structure is given in TABLE 4. The photoresist used for both the via and trench mask is sensitive to KrF laser light. The anti-reflection coating applied for both the via and trench photolithography is organic BARC. The oxide layers is grown by PECVD using TEOS as the principal precursors. The nitride layers are also grown by PECVD. The width of the via was varied between 0.25 and 1 μm, while the critical dimension of the trench was varied between 0.25 and 1.31 μm. [0043]
    TABLE 4
    Thickness
    (nm)
    KrF Photoresist 600
    Organic BARC 60
    Oxide 400
    Nitride 100
    Oxide 400
    Nitride 100
    Copper
  • In [0044] step 92, an anti-reflection coating (ARC) 94 is deposited over the horizontally undefined upper oxide layer. If the ARC 94 is silicon oxynitride, it too may be grown in the same CVD chamber. If, however, the ARC 94 is BARC, it is spun on and dried in a separate processing station, perhaps together with the photoresist. Thereafter, in a via photomasking step 96, a layer of photoresist 98 is spun on and dried and is photographically exposed and developed to form a photomask including a via mask aperture 100 overlying the metal line 11 and defining the via hole to be later etched. In a via etch step 102, a biased fluorocarbon chemistry is used to selectively etch, as illustrated in FIG. 6, an extended via hole 104 down to the lower nitride stop layer 12. This etch must extend through the anti-reflective coating 94, the upper oxide layer 20, the upper nitride stop layer 16, and the lower oxide layer 14, but stop on the lower nitride stop layer 12. The via etch step 102 is an important part of the invention and will be explained in more detail later and includes multiple substeps for the different levels being encountered.
  • After completion of the dry via [0045] etch 102, the wafer is removed from the etch reactor and in a trench ARC coating step 110 a trench anti-reflection coating 112, illustrated in FIG. 7, is deposited. The trench ARC is not as crucial as the via ARC, but it is still advantageous for lithography. Again, it may either be deposited by CVD or spun on. If it is spun on, it will form a substantially flat and thin layer over the top of the upper oxide layer 20 but also substantially fill the extended via hole 104 with perhaps a dimple 114 at the top.
  • In a [0046] trench photomask step 116, a layer 118 of photoresist is deposited and patterned to form a trench aperture 120.
  • In [0047] trench etch step 124, after the top planar portion of the anti-reflection coating 112 is removed, the upper oxide layer 20 is selectively etched, as illustrated in FIG. 8, down to the upper nitride stop layer 16. The oxide etch tends to be selective to BARC, which remains in the extended via hole 104. A final strip and clean step 128 removes the remaining photoresist 118 and anti-reflective coating 112 and produces the structure of FIG. 9 having the final via hole 18. The photoresist 116 and underlying anti-reflective coating 112 and any polymer is removed. Also, the portion of the lower nitride stop layer 12 exposed at the bottom of the via hole 18 and the nitride shoulder 130 at the bottom of the trench 22 are also removed. It is preferable that the photoresist and polymer strip be performed prior to the nitride removal. Also, it is advantageous to perform a short dry plasma clean after the nitride removal to eliminate any polymer residue and eliminate the need for a wet clean. The trench etch 124 and strip and clean 128 will be described in more detail later.
  • After completion of the dual-damascene etch, as illustrated in FIG. 10, a [0048] metal 132, preferably copper, is filled into the dual-damascene etch structure and is polished back to form a horizontally extending interconnect 134 and a vertically extending via 136 contacting the underlying copper line 11.
  • Returning now to the details of the two major etching steps, the deep via [0049] etch 102 preferably includes four substeps illustrated in the flow diagram of FIG. 11 and using the exemplary recipe summarized in TABLE 5.
    TABLE 5
    Second
    Open First Etch Etch
    BARC Substep Substep PET
    CHF3 Flow (sccm) 0 70 0 0
    CH2F2 Flow (sccm) 0 0 0 0
    C4F8 Flow (sccm) 7 0 16 0
    CO Flow (sccm) 0 15 0 0
    O2 Flow (sccm) 20 0 0 500
    Ar Flow (sccm) 100 360 200 0
    Inner Source Power (W) 340 710 350 750
    Outer Source Power (W) 1020 2200 1100 2150
    Bias Power (W) 300 1400 1400 0
    Pressure (mT) 5 65 9 15
    Roof Temp. (° C.) 180 180 180 180
    Ring Temp. (° C.) 270 270 270 270
    Cathode Temp. (° C.) 15 15 15 15
    Backside He Pressure (T) 7 7 7 7
    Time (s) 20 50 50 75
    Etch Rate (nm/mm) 850 600
  • An ARC [0050] open step 140 utilizes an oxygen and argon plasma with a little bit of fluorocarbon to open the organic anti-reflective coating 94 beneath the photoresist aperture 100. At least three times as much argon as oxygen is used. The fluorocarbon C4F8 is used to protect the sidewalls of the photoresist 98 and the organic anti-reflective coating 94 with a polymeric layer. If silicon oxynitride were used as the anti-reflective coating, a fluorocarbon would also be needed. Other oxygen-containing gases can be used. Another chemically inactive gas such as nitrogen can be substituted for the argon.
  • A timed, relatively non-selective [0051] oxide etch step 142 etches through the upper oxide layer 20, the upper nitride stop layer 16, and part of the lower oxide layer 14. Carbon monoxide reduces the selectivity to nitride to allow punch through of the upper nitride stop layer 16. This etch is performed at relatively high pressure and bias and with an argon flow much greater than that of the active species. Other fluorocarbons may be used, and other chemically inactive carrier gases may be used instead of argon, especially the rare gases.
  • A selective oxide etch [0052] 144 is then performed to complete the etching of the lower oxide layer 14 and to stop on the lower nitride stop layer 12. Without any CO, the etch becomes selective to nitride. The selectivity is increased by the high argon flow (greater than five times the fluorocarbon flow), the high bias power (more than 50% of the source power), and the hot silicon ring (above 225° C.). The selectivity is also enhanced by the use of a high-density plasma, which also fosters deep etching in a narrow hole. This step uses C4F8 rather than CHF3 as the principal fluorocarbon because C4F8 favors bottom protection while CHF3 favors sidewall protection.
  • At the completion of the gross etching, a [0053] post-etch treatment 146 strips the remaining photoresist and anti-reflective coating and cleans any residue, including polymers, from the structure, eliminating the need for a subsequent wet clean. This post-etch treatment is similar to subsequent ones to be described. It includes an oxygen plasma though other oxygen containing gases may be substituted. It is performed at either zero bias power or at least one no more than 20% of the bias powers during the selective oxide etching step.
  • All the preceding [0054] steps 140, 142, 144, 146 are preferably performed in the same chamber without removing the wafer between the substeps. The plasma need not be extinguished between substeps, and since the temperature remains the same and the pressure varies little, there is little wasted time in the in situ integrated process.
  • The [0055] trench etch 124 and trench strip and clean 128 preferably includes five substeps, illustrated in the flow diagram of FIG. 12 and using the exemplary parameters of TABLE 6.
    TABLE 6
    Open Trench Nitride
    BARC Etch PET-1 Removal PET-2
    CHF3 Flow 0 0 0 0 0
    (sccm)
    CH2F2 Flow 0 0 0 10 0
    (sccm)
    C4F8 Flow (sccm) 7 16 0 0 0
    CO Flow (sccm) 0 0 0 0 0
    O2 Flow (sccm) 20 0 500 10 500
    Ar Flow (sccm) 100 150 0 300 0
    Inner Source 340 170 750 500 750
    Power (W)
    Outer Source 1020 580 2150 1500 2150
    Power (W)
    Bias Power (W) 300 1400 0 300 0
    Pressure (mT) 5 7 15 15 15
    Roof Temp. (° C.) 200 200 200 200 200
    Ring Temp. (° C.) 270 270 270 270 270
    Cathode Temp. 10 10 10 10 10
    (° C.)
    Backside He 10 10 10 10 10
    Pressure (T)
    Time (s) 15 50 75 200 10
    Etch Rate 600 600 44
    (nm/min)
  • A BARC [0056] open step 150 utilizes an oxygen and argon plasma to remove the organic trench ARC layer 112 on the top of the upper oxide layer 20 exposed by the trench lithographic aperture 120 and also removes the ARC layer 112 well into the extended via hole 100. The moderate bias and high argon flow produces anisotropic etching. Excessive oxygen in the plasma degrades the profile. Nitrogen or other chemically inactive gases can be substituted for the argon. A selective trench oxide etch 152 etches through the upper oxide layer 20 but stops on the upper nitride stop layer 16. This recipe substitutes C4F8 for C2F6 of Hung et al. as the principal fluorocarbon because C4F8 provides better selectivity to a bottom nitride. The trench oxide etch 152 is performed with a high diluent fraction, greater than 5:1, a high bias power, and a high temperature for the silicon ring, greater than 250°, so as to increase the selectivity to nitride. However, the source power is reduced from that for the counterbore via etch.
  • After the [0057] trench etch 152 has stopped on the lower nitride stop layer 12, a first post-etch treatment 154 is performed to remove the polymeric residues and any remaining photoresist. The post-etch treatment 154 uses an oxygen plasma with no wafer bias and at minimum pressure. A nitride removal step 156 removes the portion of the silicon nitride lower stop layer 12 exposed at the bottom of the via hole 100′ as well as the nitride shoulders of the upper nitride stop layer 16 exposed at the bottom of the trench 22. The nitride removal step 156 uses difluoromethane (CH2F2) as the fluorocarbon for good polymerization to reduce nitride undercutting into both the lower and upper nitride stop layers 12, 16. It also uses an oxygen-containing gas to reduce selectivity to nitride. The bias power is relatively low, less than 25% of the source power and less than 25% of the bias power used in the oxide etch. The low bias power virtually eliminates any copper sputtering once the underlying copper line 11 becomes exposed. It also increases the selectivity of the nitride etch to underlying oxide. A fluorocarbon etch of silicon nitride is primarily a chemical etch while that of silicon oxide is more in the nature of reactive ion etching requiring a high ion energy, that is, high bias power to activate the reaction. Selectivity to oxide is required because, although the copper line 11 is intended to be aligned to the bottom of the via hole 18, inter-level mis-alignment may cause the copper line 11 to be shifted toward one side of the bottom of the via hole 100′ with the lower-level oxide underlying the other side. Etching of the underlying oxide alongside the copper feature has two problems. Deposition of the subsequent sputter Ta/TaN barrier layer may not reach such a narrow feature and permit the subsequently deposited copper to directly contact the oxide. Furthermore, the widened copper feature 11 may cause excessive cross talk or even shorting to a neighboring copper feature. Reasonable selectivity to oxide will prevent these problems, particularly in view of the more isotropic etching conditions prevailing with the oxygen and low bias power. Increased selectivity to oxide also prevents etching of the lower dielectric layer exposed at the bottom of the trench.
  • After the [0058] nitride removal 156, another short post-etch treatment 158 is performed. It has the same conditions as the first post-etch treatment 154 but the time is reduced from 75 s to 10 s. Its primary function is to remove the polymeric residues formed during the nitride removal 156. The second post-etch treatment 158 eliminates the need for a wet clean prior to the next processing step.
  • The two [0059] post-etch treatments 154, 158 are particularly advantageous for copper metallization because the first and heavier treatment cannot deposit residue on the copper and the second, short treatment exposes the copper to oxygen for a relatively short time.
  • Further tests were made to control the nitride undercutting in the nitride removal. Ranges which were studied include 10 to 30 sccm of O[0060] 2, 10 to 30 sccm of CH2F2, 9 to 60 mT of pressure, and 150 to 300 W of bias power. These tests show that nitride undercutting is reduced at lower CH2F2 flows, high bias power, and lower pressure.
  • Although the above trench etch sequence has been found effective, the amount of nitride undercutting is still excessive. It occurs at both the upper and lower nitride stop layer. Furthermore, once the upper nitride stop layer has been removed at the bottom of the trench, the underlying lower oxide layer is exposed. The nitride removal using CH[0061] 2F2 is insufficiently selective to oxide and tends to remove some of the lower oxide layer beneath the trench. According a variant has been developed using the more heavily polymerizing monofluoromethane (CH3F) as the principal fluorocarbon in the nitride removal 146.
  • TABLE 7 presents the gas flows and parameters changed from those of TABLE 6 for the modified nitride removal. [0062]
    TABLE 7
    Nitride
    Removal
    CH3F Flow (sccm) 30
    O2 Flow (sccm) 5
    Ar Flow (sccm) 50
    Bias Power (W) 200
    Time (s) 70
    Etch Rate (nm/min) 80
  • The very heavy polymerization of CH[0063] 3F eliminates any observable nitride undercutting. The further reduction in bias power yet further protects against copper sputtering. Further window experiments were conducted. The general conclusions are that increased CH3F flow decreases the undercutting and increases the selectivity to oxide. On the other hand, increased O2 flow greatly increases the undercutting and reduces the selectivity to oxide.
  • Increased [0064]
  • Using the above processing sequence, including the modification of TABLE 7, copper vias were fabricated and tested. No nitride undercutting and no copper sputtering were observed. The via resistance was approximately 0.4 Ω for a 0.5 μm via and 1.3 Ω for a 0.25 μm via. [0065]
  • Although the invention has been described in the context of a dual-damascene structure, it is not so limited. For example, a deep via etch over a nitride stop layer can use the counterbore via etch chemistry followed by the two post-etch treatments and nitride removal described for the trench etch. [0066]
  • The invention more generally includes other combinations of dielectric and stop materials for an in situ integrated process, for example, low-k dielectrics such as bivinylcyclobutene (BCB) available from Dow Chemical and Black Diamond as described in U.S. patent application Ser. No. 09/021,788 filed Feb. 11, 1998 and 09/114,682 filed Jul. 13, 1998. Differential etching of these materials is described in U.S. patent application Ser. No. 09/156,956 filed Sep. 18, 1998 and [AMAT DOCKET #3072], filed Nov. 25, 1998. [0067]
  • Although the invention is particularly advantageous for counterbore dual-damascene structures, it may be applied to other structures including other types of damascene structures and more conventional vias. [0068]
  • The invention has been described as being practices in an IPS chamber, it may be advantageously performed in other types of inductively coupled plasma reactors and other types of reactors, such as remote plasma source and ECR, in which the source plasma is separately controlled from the bias. [0069]
  • The invention is particularly advantageous for copper metallizations, but many features of the invention are applicable to other metallizations, including the conventional aluminum. [0070]
  • The invention thus provides high-performance, high-throughput integrated etch processes with tools already commercially available and using common etching gases. It further eliminates the need for a wet clean. [0071]

Claims (9)

What is claimed is:
1. An integrated etch process performed in a single plasma etch reactor having separately controlled plasma source power and plasma bias power upon a substrate having formed sequentially in a downward direction a patterned mask, a first dielectric layer, a first stop layer, and a feature in said substrate to be contacted, said process comprising the steps of:
a first plasma etching step utilizing a first fluorocarbon etching gas and a carrier gas and operating with a first source power and a first bias power, wherein said first etching step etches through said first dielectric layer according to said and stops on said first stop layer; and
a first plasma treatment utilizing an oxygen-containing gas and operating with a second source power and a second bias power that is substantially zero, said first plasma treatment removing polymeric residues produced by said first fluorocarbon etching gas;
a second plasma etching step utilizing a second fluorocarbon etching gas, an oxygen-containing gas, and a carrier gas and operating with a third source power and a third bias power substantially less than said first bias power, said second plasma etching step removing an exposed portion of said first stop layer; and
a second plasma treatment utilizing an oxygen-containing gas and operating with a fourth source power and a fourth bias power that is substantially zero, said second plasma treatment removing polymeric residues produced by said second fluorocarbon etching gas.
2. The process of
claim 1
, wherein said first dielectric layer comprises an oxide and said stop layer comprises a nitride.
3. The process of
claim 2
, wherein said second fluorocarbon etching gas comprises monofluoromethane.
4. The process of
claim 1
, wherein said substrate further comprises an anti-reflection layer disposed between said patterned mask and said first dielectric layer, said process further comprising a third plasma etching step performed in said plasma reactor before said first plasma etching step of utilizing an oxygen-containing gas and a carrier gas with a fifth source power and a fifth bias power.
5. The process of
claim 4
, wherein said third etching step further comprises utilizing a fluorocarbon.
6. The process of
claim 5
, wherein said anti-reflective coating comprises an organic polymer.
7. An integrated etch process for a counterbore dual-damascene structure comprising:
a counterbore via etch performed in a single first plasma etch reactor having separately controlled plasma source power and plasma bias power upon a substrate having formed sequentially in a downward direction a first mask patterned for via hole, a first anti-reflective coating, an upper oxide dielectric layer, an upper nitride layer, a lower oxide layer, and a lower nitride layer; and
a trench etch performed in a single second plasma etch reactor, which may be the same as said first reactor, having separately controlled plasma source power and plasma bias power upon said substrate after said counterbore via etch and having formed over said upper oxide layer a second anti-reflective coating and a second mask patterned for a trench surround said via hole;
wherein said counterbore via etch comprises
opening said first anti-reflective coating,
etching through said upper oxide layer and said upper nitride layer,
etching through said lower oxide layer but stopping on said lower nitride layer with an etching gas comprising a fluorocarbon and argon, and
a first post-etch treatment using an oxygen plasma; and
wherein said trench etch comprises
opening said second anti-reflective coating,
etching through said upper oxide layer but stopping on said upper nitride layer with an etching gas comprising a fluorocarbon and a chemically inactive gas and with a first plasma bias power,
a second post-etch treatment using an oxygen plasma,
etching through said upper nitride layer with an etching gas comprising a fluorocarbon, an oxygen-containing gas, and a chemically inactive gas and with a second bias power substantially less than said first bias power, and
a third post-etch treatment using an oxygen plasma and substantially no bias power.
8. An integrated etch process performed in a single plasma etch reactor having separately controlled plasma source power and plasma bias power upon a substrate having formed sequentially in a downward direction, an anti-reflection coating, a first dielectric layer, and a stop layer, comprising the steps of:
opening the anti-reflection coating with an oxygen-containing plasma;
selectively etching said dielectric layer with a plasma containing a fluorocarbon gas and a larger amount of a chemically inactive gas and using a first bias power, said etching stopping on said stop layer; and
removing said stop layer with an oxygen-containing plasma and using a second bias power less than said first bias power.
9. The process of
claim 8
, wherein said selectively etching step produces a high-density plasma.
US09/201,590 1998-07-09 1998-11-30 In-situ integrated oxide etch process particularly useful for copper dual damascene Expired - Fee Related US6380096B2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US09/201,590 US6380096B2 (en) 1998-07-09 1998-11-30 In-situ integrated oxide etch process particularly useful for copper dual damascene
PCT/US1999/020588 WO2000014793A2 (en) 1998-09-08 1999-09-08 In-situ integrated oxide etch process particularly useful for copper dual damascene
JP2000569440A JP2002525840A (en) 1998-09-08 1999-09-08 In situ integrated oxide etching process especially useful for copper dual damascene
KR1020017002980A KR20010079765A (en) 1998-09-08 1999-09-08 In-situ integrated oxide etch process particulary useful for copper dual damascene

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11209498A 1998-07-09 1998-07-09
US14981098A 1998-09-08 1998-09-08
US09/201,590 US6380096B2 (en) 1998-07-09 1998-11-30 In-situ integrated oxide etch process particularly useful for copper dual damascene

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US14981098A Continuation-In-Part 1998-07-09 1998-09-08

Publications (2)

Publication Number Publication Date
US20010008226A1 true US20010008226A1 (en) 2001-07-19
US6380096B2 US6380096B2 (en) 2002-04-30

Family

ID=26847051

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/201,590 Expired - Fee Related US6380096B2 (en) 1998-07-09 1998-11-30 In-situ integrated oxide etch process particularly useful for copper dual damascene

Country Status (4)

Country Link
US (1) US6380096B2 (en)
JP (1) JP2002525840A (en)
KR (1) KR20010079765A (en)
WO (1) WO2000014793A2 (en)

Cited By (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6399483B1 (en) * 1999-08-12 2002-06-04 Taiwan Semiconductor Manufacturing Company Method for improving faceting effect in dual damascene process
US6444570B2 (en) * 2000-03-13 2002-09-03 Kabushiki Kaisha Toshiba Method of manufacturing a multi-layered wiring structure for interconnecting semiconductor devices by patterning resist and antireflective films to define wiring grooves
US6455411B1 (en) * 2000-09-11 2002-09-24 Texas Instruments Incorporated Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
US6576550B1 (en) 2000-06-30 2003-06-10 Infineon, Ag ‘Via first’ dual damascene process for copper metallization
US20030164354A1 (en) * 1999-12-28 2003-09-04 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6632738B2 (en) * 2000-06-07 2003-10-14 Nec Electronics Corporation Method of manufacturing semiconductor device
WO2003090270A1 (en) * 2002-04-16 2003-10-30 Tokyo Electron Limited Method for removing photoresist and etch residues
US20040110368A1 (en) * 2002-12-10 2004-06-10 Hui Angela T. Method and system for reducing contact defects using non conventional contact formation method for semiconductor cells
US20040121621A1 (en) * 2002-12-23 2004-06-24 Hartmut Ruelke Method of forming a cap layer having anti-reflective characteristics on top of a low-k dielectric
US20040130029A1 (en) * 1999-10-15 2004-07-08 Ivo Raaijmakers Conformal lining layers for damascene metallization
WO2004061949A1 (en) * 2002-12-23 2004-07-22 Advanced Micro Devices, Inc. Method of forming a cap layer having anti-reflective characteristics on top of a low-k dielectric
US20040161942A1 (en) * 2003-02-17 2004-08-19 Renesas Technology Corp. Method of manufacturing semiconductor device
US20050001323A1 (en) * 2000-07-21 2005-01-06 Fujitsu Limited Semiconductor device with dual damascene wiring
US6841481B2 (en) * 1999-08-11 2005-01-11 Infineon Technologies Ag Etching process for a two-layer metallization
US20050029659A1 (en) * 2003-08-08 2005-02-10 Ting-Chu Ko Low resistance and reliable copper interconnects by variable doping
EP1460677A3 (en) * 2003-03-20 2005-04-06 Texas Instruments Incorporated BARC etch comprising a selective etch chemistry and a high polymerizing gas for CD control
US20050233583A1 (en) * 2004-03-31 2005-10-20 Hideshi Miyajima Method of manufacturing semiconductor device
US20060199370A1 (en) * 2005-03-01 2006-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of in-situ ash strip to eliminate memory effect and reduce wafer damage
US20070029284A1 (en) * 2000-04-19 2007-02-08 Matsushita Electric Industrial Co., Ltd. Dry etching method, fabrication method for semiconductor device, and dry etching apparatus
US20070049013A1 (en) * 2005-08-25 2007-03-01 Tokyo Electron Limited Method and apparatus for manufacturing semiconductor device, control program and computer storage medium
US20080119054A1 (en) * 2006-11-16 2008-05-22 Nec Electronics Corporation Method of manufacturing semiconductor device
US20100129982A1 (en) * 2008-11-24 2010-05-27 Applied Materials, Inc. Integration sequences with top surface profile modification
US20100130007A1 (en) * 2008-11-24 2010-05-27 Applied Materials, Inc. Bottom up plating by organic surface passivation and differential plating retardation
US20120001334A1 (en) * 2007-12-21 2012-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and Process for the Formation of TSVs
KR101134327B1 (en) 2003-11-12 2012-04-09 램 리써치 코포레이션 Line edge roughness reduction for trench etch
DE102010063775A1 (en) * 2010-12-21 2012-06-21 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Semiconductor device with self-aligned contact bars and metal lines with enlarged recording areas for contact bushings
CN103000482A (en) * 2011-09-16 2013-03-27 台湾积体电路制造股份有限公司 Etching method and apparatus
WO2014035826A1 (en) * 2012-08-27 2014-03-06 Applied Materials, Inc. Mask residue removal for substrate dicing by laser and plasma etch
CN103832968A (en) * 2014-03-17 2014-06-04 上海华虹宏力半导体制造有限公司 Manufacturing method of MEMS (micro-electro-mechanical system) device
US8801892B2 (en) 2002-12-13 2014-08-12 Lam Research Corporation Uniform etch system
US20150064880A1 (en) * 2013-08-30 2015-03-05 Applied Materials, Inc. Post etch treatment technology for enhancing plasma-etched silicon surface stability in ambient
US20150214171A1 (en) * 2014-01-24 2015-07-30 Zhuhai Advanced Chip Carriers & Electronic Substrate Solutions Technologies Co., Ltd. Substrates with Protruding Copper Termination Posts
US20160379856A1 (en) * 2015-06-23 2016-12-29 Tokyo Electron Limited Etching method and plasma processing apparatus
CN108511332A (en) * 2017-02-28 2018-09-07 Imec 非营利协会 The method that semiconductor substrate is bound directly
US11205577B2 (en) 2015-02-24 2021-12-21 Tokyo Electron Limited Method of selectively etching silicon oxide film on substrate

Families Citing this family (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6599829B2 (en) * 1998-11-25 2003-07-29 Texas Instruments Incorporated Method for photoresist strip, sidewall polymer removal and passivation for aluminum metallization
US6828250B1 (en) * 2000-10-13 2004-12-07 Lam Research Corporation Process for etching vias in organosilicate glass materials without causing RIE lag
JP3998373B2 (en) * 1999-07-01 2007-10-24 株式会社ルネサステクノロジ Manufacturing method of semiconductor integrated circuit device
US6872665B1 (en) * 1999-07-12 2005-03-29 Texas Instruments Incorporated Process flow for dual damescene interconnect structures
JP4471243B2 (en) * 1999-08-27 2010-06-02 東京エレクトロン株式会社 Etching method and plasma processing method
US6391756B1 (en) * 1999-08-31 2002-05-21 Micron Technology, Inc. Semiconductor processing methods of forming contact openings
US6500357B1 (en) 1999-12-28 2002-12-31 Applied Materials Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
JP3346475B2 (en) * 2000-01-18 2002-11-18 日本電気株式会社 Manufacturing method of semiconductor integrated circuit, semiconductor integrated circuit
JP5569353B2 (en) * 2000-04-28 2014-08-13 ダイキン工業株式会社 Dry etching gas and dry etching method
US6635566B1 (en) * 2000-06-15 2003-10-21 Cypress Semiconductor Corporation Method of making metallization and contact structures in an integrated circuit
WO2002003457A2 (en) * 2000-06-30 2002-01-10 Infineon Technologies Ag Via first dual damascene process for copper metallization
US6426304B1 (en) * 2000-06-30 2002-07-30 Lam Research Corporation Post etch photoresist strip with hydrogen for organosilicate glass low-κ etch applications
US6410437B1 (en) * 2000-06-30 2002-06-25 Lam Research Corporation Method for etching dual damascene structures in organosilicate glass
US6677242B1 (en) * 2000-08-12 2004-01-13 Applied Materials Inc. Integrated shallow trench isolation approach
US6566270B1 (en) * 2000-09-15 2003-05-20 Applied Materials Inc. Integration of silicon etch and chamber cleaning processes
US6797633B2 (en) * 2000-11-09 2004-09-28 Texas Instruments Incorporated In-situ plasma ash/treatment after via etch of low-k films for poison-free dual damascene trench patterning
DE10059143B4 (en) * 2000-11-29 2006-12-28 Advanced Micro Devices, Inc., Sunnyvale Surface treatment and cover layer method for producing a copper interface in a semiconductor device
US6514860B1 (en) * 2001-01-31 2003-02-04 Advanced Micro Devices, Inc. Integration of organic fill for dual damascene process
US6554002B2 (en) * 2001-02-21 2003-04-29 United Microelectronics Corp. Method for removing etching residues
US20020139771A1 (en) * 2001-02-22 2002-10-03 Ping Jiang Gas switching during an etch process to modulate the characteristics of the etch
US6962879B2 (en) * 2001-03-30 2005-11-08 Lam Research Corporation Method of plasma etching silicon nitride
US6630407B2 (en) * 2001-03-30 2003-10-07 Lam Research Corporation Plasma etching of organic antireflective coating
US6617257B2 (en) * 2001-03-30 2003-09-09 Lam Research Corporation Method of plasma etching organic antireflective coating
JP2003007689A (en) 2001-06-27 2003-01-10 Seiko Epson Corp Ashing apparatus/method, and method for manufacturing semiconductor device
US6605540B2 (en) * 2001-07-09 2003-08-12 Texas Instruments Incorporated Process for forming a dual damascene structure
US6727183B1 (en) * 2001-07-27 2004-04-27 Taiwan Semiconductor Manufacturing Company Prevention of spiking in ultra low dielectric constant material
JP4108310B2 (en) * 2001-09-28 2008-06-25 富士通株式会社 Method for manufacturing semiconductor device having silicon-containing insulating film
US6573175B1 (en) * 2001-11-30 2003-06-03 Micron Technology, Inc. Dry low k film application for interlevel dielectric and method of cleaning etched features
US6867145B2 (en) * 2001-12-17 2005-03-15 Hynix Semiconductor Inc. Method for fabricating semiconductor device using photoresist pattern formed with argon fluoride laser
US6849559B2 (en) * 2002-04-16 2005-02-01 Tokyo Electron Limited Method for removing photoresist and etch residues
US6743713B2 (en) * 2002-05-15 2004-06-01 Institute Of Microelectronics Method of forming dual damascene pattern using dual bottom anti-reflective coatings (BARC)
US7119006B2 (en) * 2002-11-26 2006-10-10 Texas Instruments Incorporated Via formation for damascene metal conductors in an integrated circuit
US7534363B2 (en) * 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
KR100483594B1 (en) * 2002-12-27 2005-04-15 매그나칩 반도체 유한회사 Method of forming metal line of semiconductor device
US7083903B2 (en) * 2003-06-17 2006-08-01 Lam Research Corporation Methods of etching photoresist on substrates
KR100606532B1 (en) * 2003-08-02 2006-07-31 동부일렉트로닉스 주식회사 Method For Manufacturing Semiconductor Devices
US6946391B2 (en) * 2003-09-08 2005-09-20 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming dual damascenes
US6916697B2 (en) * 2003-10-08 2005-07-12 Lam Research Corporation Etch back process using nitrous oxide
US6967156B2 (en) * 2003-10-22 2005-11-22 Chartered Semiconductor Manufacturing Ltd. Method to fabricate aligned dual damascene openings
KR100545220B1 (en) 2003-12-31 2006-01-24 동부아남반도체 주식회사 Method for fabricating the dual damascene interconnection in semiconductor device
KR100580794B1 (en) * 2003-12-31 2006-05-17 동부일렉트로닉스 주식회사 Method for fabricating contact hole of semiconductor device
KR100568864B1 (en) * 2004-01-12 2006-04-10 삼성전자주식회사 Methode for forming interconnection line of Semiconductor device
JP2005236062A (en) * 2004-02-20 2005-09-02 Nec Electronics Corp Manufacturing method for nonvolatile semiconductor memory apparatus
US20050245074A1 (en) * 2004-04-29 2005-11-03 Ping Jiang In-situ etch-stop etch and ashing in association with damascene processing in forming semiconductor interconnect structures
US7700494B2 (en) * 2004-12-30 2010-04-20 Tokyo Electron Limited, Inc. Low-pressure removal of photoresist and etch residue
US20060246727A1 (en) * 2005-04-27 2006-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated dual damascene clean apparatus and process
DE102005020060B4 (en) * 2005-04-29 2012-02-23 Advanced Micro Devices, Inc. A method of patterning a low-k dielectric using a hardmask
US20060286792A1 (en) * 2005-06-20 2006-12-21 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene process
KR100642486B1 (en) * 2005-06-27 2006-11-02 동부일렉트로닉스 주식회사 Method for fabricating a trench of dual damascene interconnection in semiconductor device
US20070224827A1 (en) * 2006-03-22 2007-09-27 Ying Xiao Methods for etching a bottom anti-reflective coating layer in dual damascene application
US8263498B2 (en) * 2006-03-28 2012-09-11 Tokyo Electron Limited Semiconductor device fabricating method, plasma processing system and storage medium
US7605063B2 (en) * 2006-05-10 2009-10-20 Lam Research Corporation Photoresist stripping chamber and methods of etching photoresist on substrates
US7618889B2 (en) * 2006-07-18 2009-11-17 Applied Materials, Inc. Dual damascene fabrication with low k materials
US7977244B2 (en) * 2006-12-18 2011-07-12 United Microelectronics Corp. Semiconductor manufacturing process
JP5014878B2 (en) * 2007-05-18 2012-08-29 日本メクトロン株式会社 Multilayer printed wiring board manufacturing method and wiring board
JP5218214B2 (en) * 2009-03-31 2013-06-26 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
US8642475B2 (en) 2010-12-21 2014-02-04 Globalfoundries Singapore Pte. Ltd. Integrated circuit system with reduced polysilicon residue and method of manufacture thereof
US8399359B2 (en) 2011-06-01 2013-03-19 United Microelectronics Corp. Manufacturing method for dual damascene structure
US8735295B2 (en) 2012-06-19 2014-05-27 United Microelectronics Corp. Method of manufacturing dual damascene structure
US8647991B1 (en) 2012-07-30 2014-02-11 United Microelectronics Corp. Method for forming dual damascene opening
US8921226B2 (en) 2013-01-14 2014-12-30 United Microelectronics Corp. Method of forming semiconductor structure having contact plug
US8962490B1 (en) 2013-10-08 2015-02-24 United Microelectronics Corp. Method for fabricating semiconductor device
JP6230930B2 (en) * 2014-02-17 2017-11-15 東京エレクトロン株式会社 Manufacturing method of semiconductor device
JP2017059750A (en) * 2015-09-18 2017-03-23 東京エレクトロン株式会社 Method for processing workpiece
US9917027B2 (en) * 2015-12-30 2018-03-13 Globalfoundries Singapore Pte. Ltd. Integrated circuits with aluminum via structures and methods for fabricating the same
CN108346617A (en) * 2017-01-23 2018-07-31 联华电子股份有限公司 The method for making dual-damascene structure

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5143820A (en) * 1989-10-31 1992-09-01 International Business Machines Corporation Method for fabricating high circuit density, self-aligned metal linens to contact windows
US5562801A (en) * 1994-04-28 1996-10-08 Cypress Semiconductor Corporation Method of etching an oxide layer
JPH08241858A (en) * 1995-01-25 1996-09-17 Toshiba Corp Anti-reflection film of semiconductor and manufacture of semiconductor by use thereof
JPH0950986A (en) * 1995-05-29 1997-02-18 Sony Corp Formation of connecting hole
US5910453A (en) * 1996-01-16 1999-06-08 Advanced Micro Devices, Inc. Deep UV anti-reflection coating etch
US5843847A (en) * 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US6090697A (en) * 1997-06-30 2000-07-18 Texas Instruments Incorporated Etchstop for integrated circuits
US5965463A (en) * 1997-07-03 1999-10-12 Applied Materials, Inc. Silane etching process
US6051504A (en) * 1997-08-15 2000-04-18 International Business Machines Corporation Anisotropic and selective nitride etch process for high aspect ratio features in high density plasma
US5935762A (en) * 1997-10-14 1999-08-10 Industrial Technology Research Institute Two-layered TSI process for dual damascene patterning
TW394989B (en) * 1997-10-29 2000-06-21 Matsushita Electronics Corp Semiconductor device manufacturing and reaction room environment control method for dry etching device
US6027959A (en) * 1997-12-18 2000-02-22 Advanced Micro Devices, Inc. Methods for in-situ removal of an anti-reflective coating during a nitride resistor protect etching process
JPH11186236A (en) * 1997-12-24 1999-07-09 Mitsubishi Electric Corp Etching method
US6037213A (en) * 1998-06-03 2000-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making cylinder-shaped capacitors for dynamic random access memory
US6037266A (en) * 1998-09-28 2000-03-14 Taiwan Semiconductor Manufacturing Company Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher

Cited By (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6841481B2 (en) * 1999-08-11 2005-01-11 Infineon Technologies Ag Etching process for a two-layer metallization
US6399483B1 (en) * 1999-08-12 2002-06-04 Taiwan Semiconductor Manufacturing Company Method for improving faceting effect in dual damascene process
US7102235B2 (en) * 1999-10-15 2006-09-05 Asm International N.V. Conformal lining layers for damascene metallization
US20040130029A1 (en) * 1999-10-15 2004-07-08 Ivo Raaijmakers Conformal lining layers for damascene metallization
US20030164354A1 (en) * 1999-12-28 2003-09-04 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6949203B2 (en) 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6444570B2 (en) * 2000-03-13 2002-09-03 Kabushiki Kaisha Toshiba Method of manufacturing a multi-layered wiring structure for interconnecting semiconductor devices by patterning resist and antireflective films to define wiring grooves
US7402527B2 (en) * 2000-04-19 2008-07-22 Matsushita Electric Industrial Co., Ltd. Dry etching method, fabrication method for semiconductor device, and dry etching apparatus
US20070029284A1 (en) * 2000-04-19 2007-02-08 Matsushita Electric Industrial Co., Ltd. Dry etching method, fabrication method for semiconductor device, and dry etching apparatus
US6632738B2 (en) * 2000-06-07 2003-10-14 Nec Electronics Corporation Method of manufacturing semiconductor device
US6576550B1 (en) 2000-06-30 2003-06-10 Infineon, Ag ‘Via first’ dual damascene process for copper metallization
US7119009B2 (en) * 2000-07-21 2006-10-10 Fujitsu Limited Semiconductor device with dual damascene wiring
US20050001323A1 (en) * 2000-07-21 2005-01-06 Fujitsu Limited Semiconductor device with dual damascene wiring
US6455411B1 (en) * 2000-09-11 2002-09-24 Texas Instruments Incorporated Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
WO2003081645A2 (en) * 2002-03-19 2003-10-02 Applied Materials, Inc. An integrated in-situ etch process performed in a multichamber substrate processing system
WO2003081645A3 (en) * 2002-03-19 2004-04-15 Applied Materials Inc An integrated in-situ etch process performed in a multichamber substrate processing system
US7169440B2 (en) 2002-04-16 2007-01-30 Tokyo Electron Limited Method for removing photoresist and etch residues
CN100380604C (en) * 2002-04-16 2008-04-09 东京电子株式会社 Method for removing photoresist and etch residues
WO2003090270A1 (en) * 2002-04-16 2003-10-30 Tokyo Electron Limited Method for removing photoresist and etch residues
GB2410614A (en) * 2002-12-10 2005-08-03 Advanced Micro Devices Inc Method for reducing contact defects in semiconductor cells
US7015135B2 (en) 2002-12-10 2006-03-21 Advanced Micro Devices, Inc. Method and system for reducing contact defects using non conventional contact formation method for semiconductor cells
WO2004053980A1 (en) * 2002-12-10 2004-06-24 Advanced Micro Devices, Inc. Method for reducing contact defects in semiconductor cells
US20040110368A1 (en) * 2002-12-10 2004-06-10 Hui Angela T. Method and system for reducing contact defects using non conventional contact formation method for semiconductor cells
CN100365797C (en) * 2002-12-10 2008-01-30 斯班逊有限公司 Method for reducing contact defects in semiconductor cells
DE10393870B4 (en) * 2002-12-10 2012-05-16 Spansion Llc (N.D.Ges.D. Staates Delaware) Method for reducing contact defects in semiconductor cells
KR101078439B1 (en) * 2002-12-10 2011-11-01 어드밴스드 마이크로 디바이시즈, 인코포레이티드 Method for reducing contact defects in semiconductor cells
US8801892B2 (en) 2002-12-13 2014-08-12 Lam Research Corporation Uniform etch system
CN100437971C (en) * 2002-12-23 2008-11-26 先进微装置公司 Method of forming a cap layer having anti-reflective characteristics on top of a low-K dielectric
US7030044B2 (en) 2002-12-23 2006-04-18 Advanced Micro Devices, Inc. Method of forming a cap layer having anti-reflective characteristics on top of a low-k dielectric
WO2004061949A1 (en) * 2002-12-23 2004-07-22 Advanced Micro Devices, Inc. Method of forming a cap layer having anti-reflective characteristics on top of a low-k dielectric
US20040121621A1 (en) * 2002-12-23 2004-06-24 Hartmut Ruelke Method of forming a cap layer having anti-reflective characteristics on top of a low-k dielectric
US20060258160A1 (en) * 2003-02-17 2006-11-16 Renesas Technology Corp. Method of manufacturing semiconductor device
US7098139B2 (en) 2003-02-17 2006-08-29 Renesas Technology Corp. Method of manufacturing a semiconductor device with copper wiring treated in a plasma discharge
US20040161942A1 (en) * 2003-02-17 2004-08-19 Renesas Technology Corp. Method of manufacturing semiconductor device
US7462565B2 (en) 2003-02-17 2008-12-09 Renesas Technology Corp. Method of manufacturing semiconductor device
US20090075479A1 (en) * 2003-02-17 2009-03-19 Renesas Technology Corp. Method of manufacturing semiconductor device
EP1460677A3 (en) * 2003-03-20 2005-04-06 Texas Instruments Incorporated BARC etch comprising a selective etch chemistry and a high polymerizing gas for CD control
US20050029659A1 (en) * 2003-08-08 2005-02-10 Ting-Chu Ko Low resistance and reliable copper interconnects by variable doping
US20070054488A1 (en) * 2003-08-08 2007-03-08 Ting-Chu Ko Low resistance and reliable copper interconnects by variable doping
US8785321B2 (en) 2003-08-08 2014-07-22 Taiwan Semiconductor Manufacturing Co., Ltd. Low resistance and reliable copper interconnects by variable doping
US7026244B2 (en) * 2003-08-08 2006-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Low resistance and reliable copper interconnects by variable doping
US8053892B2 (en) 2003-08-08 2011-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Low resistance and reliable copper interconnects by variable doping
KR101134327B1 (en) 2003-11-12 2012-04-09 램 리써치 코포레이션 Line edge roughness reduction for trench etch
US7329601B2 (en) * 2004-03-31 2008-02-12 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US20050233583A1 (en) * 2004-03-31 2005-10-20 Hideshi Miyajima Method of manufacturing semiconductor device
US20060199370A1 (en) * 2005-03-01 2006-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of in-situ ash strip to eliminate memory effect and reduce wafer damage
US7569478B2 (en) * 2005-08-25 2009-08-04 Tokyo Electron Limited Method and apparatus for manufacturing semiconductor device, control program and computer storage medium
US20070049013A1 (en) * 2005-08-25 2007-03-01 Tokyo Electron Limited Method and apparatus for manufacturing semiconductor device, control program and computer storage medium
US20080119054A1 (en) * 2006-11-16 2008-05-22 Nec Electronics Corporation Method of manufacturing semiconductor device
US9466503B2 (en) 2006-11-16 2016-10-11 Renesas Electronics Corporation Method of manufacturing semiconductor device
US20120001334A1 (en) * 2007-12-21 2012-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and Process for the Formation of TSVs
US8456008B2 (en) * 2007-12-21 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and process for the formation of TSVs
US8043933B2 (en) 2008-11-24 2011-10-25 Applied Materials, Inc. Integration sequences with top surface profile modification
US20100129982A1 (en) * 2008-11-24 2010-05-27 Applied Materials, Inc. Integration sequences with top surface profile modification
US20100130007A1 (en) * 2008-11-24 2010-05-27 Applied Materials, Inc. Bottom up plating by organic surface passivation and differential plating retardation
US8293647B2 (en) 2008-11-24 2012-10-23 Applied Materials, Inc. Bottom up plating by organic surface passivation and differential plating retardation
DE102010063775A1 (en) * 2010-12-21 2012-06-21 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Semiconductor device with self-aligned contact bars and metal lines with enlarged recording areas for contact bushings
US8399352B2 (en) 2010-12-21 2013-03-19 Globalfoundries Inc. Semiconductor device comprising self-aligned contact bars and metal lines with increased via landing regions
DE102010063775B4 (en) * 2010-12-21 2019-11-28 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Method for producing a semiconductor device with self-aligned contact bars and metal lines with enlarged receiving areas for contact bushings
US8716126B2 (en) 2010-12-21 2014-05-06 Globalfoundries Inc. Semiconductor device comprising self-aligned contact bars and metal lines with increased via landing regions
CN103000482A (en) * 2011-09-16 2013-03-27 台湾积体电路制造股份有限公司 Etching method and apparatus
TWI483309B (en) * 2011-09-16 2015-05-01 Taiwan Semiconductor Mfg Co Ltd Etching method and apparatus
US9252023B2 (en) 2011-09-16 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etching method and apparatus
WO2014035826A1 (en) * 2012-08-27 2014-03-06 Applied Materials, Inc. Mask residue removal for substrate dicing by laser and plasma etch
US20150064880A1 (en) * 2013-08-30 2015-03-05 Applied Materials, Inc. Post etch treatment technology for enhancing plasma-etched silicon surface stability in ambient
US20150214171A1 (en) * 2014-01-24 2015-07-30 Zhuhai Advanced Chip Carriers & Electronic Substrate Solutions Technologies Co., Ltd. Substrates with Protruding Copper Termination Posts
US9642261B2 (en) * 2014-01-24 2017-05-02 Zhuhai Advanced Chip Carriers & Electronic Substrate Solutions Technologies Co. Ltd. Composite electronic structure with partially exposed and protruding copper termination posts
CN103832968A (en) * 2014-03-17 2014-06-04 上海华虹宏力半导体制造有限公司 Manufacturing method of MEMS (micro-electro-mechanical system) device
US11205577B2 (en) 2015-02-24 2021-12-21 Tokyo Electron Limited Method of selectively etching silicon oxide film on substrate
US20160379856A1 (en) * 2015-06-23 2016-12-29 Tokyo Electron Limited Etching method and plasma processing apparatus
US9922806B2 (en) * 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
CN108511332A (en) * 2017-02-28 2018-09-07 Imec 非营利协会 The method that semiconductor substrate is bound directly

Also Published As

Publication number Publication date
WO2000014793A2 (en) 2000-03-16
KR20010079765A (en) 2001-08-22
JP2002525840A (en) 2002-08-13
US6380096B2 (en) 2002-04-30
WO2000014793A3 (en) 2000-06-02

Similar Documents

Publication Publication Date Title
US6380096B2 (en) In-situ integrated oxide etch process particularly useful for copper dual damascene
US6211092B1 (en) Counterbore dielectric plasma etch process particularly useful for dual damascene
US7125792B2 (en) Dual damascene structure and method
US6613689B2 (en) Magnetically enhanced plasma oxide etch using hexafluorobutadiene
US6583065B1 (en) Sidewall polymer forming gas additives for etching processes
US6379574B1 (en) Integrated post-etch treatment for a dielectric etch process
US6284149B1 (en) High-density plasma etching of carbon-based low-k materials in a integrated circuit
US6168726B1 (en) Etching an oxidized organo-silane film
US6602434B1 (en) Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6670278B2 (en) Method of plasma etching of silicon carbide
US7311852B2 (en) Method of plasma etching low-k dielectric materials
US6174451B1 (en) Oxide etch process using hexafluorobutadiene and related unsaturated hydrofluorocarbons
US20070026665A1 (en) Method of fabricating a dual damascene interconnect structure
US20050153538A1 (en) Method for forming novel BARC open for precision critical dimension control
US20030068582A1 (en) Method of manufacturing semiconductor device having silicon carbide film
US6849193B2 (en) Highly selective process for etching oxide over nitride using hexafluorobutadiene
US20060199370A1 (en) Method of in-situ ash strip to eliminate memory effect and reduce wafer damage
US20070224827A1 (en) Methods for etching a bottom anti-reflective coating layer in dual damascene application
US20030027427A1 (en) Integrated system for oxide etching and metal liner deposition
US7129171B2 (en) Selective oxygen-free etching process for barrier materials
JP4451934B2 (en) Method and integrated circuit for etching a conductive layer
KR100917291B1 (en) Two step etching oa f bottom anti-reflective coating layer in dual damascene application
US7091612B2 (en) Dual damascene structure and method
US7510965B2 (en) Method for fabricating a dual damascene structure
JP4948278B2 (en) Manufacturing method of semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:HUNG, HOIMAN;CAULFIELD, JOSEPH P.;TANG, SUM-YEE BETTY;AND OTHERS;REEL/FRAME:009760/0507

Effective date: 19990122

FPAY Fee payment

Year of fee payment: 4

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Expired due to failure to pay maintenance fee

Effective date: 20100430