US20010009139A1 - Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system - Google Patents

Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system Download PDF

Info

Publication number
US20010009139A1
US20010009139A1 US09/797,212 US79721201A US2001009139A1 US 20010009139 A1 US20010009139 A1 US 20010009139A1 US 79721201 A US79721201 A US 79721201A US 2001009139 A1 US2001009139 A1 US 2001009139A1
Authority
US
United States
Prior art keywords
wafer support
process kit
plasma
power supply
support pedestal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US09/797,212
Inventor
Hongqing Shan
Claes Bjorkman
Paul Luscher
Richard Mett
Michael Welch
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US09/797,212 priority Critical patent/US20010009139A1/en
Publication of US20010009139A1 publication Critical patent/US20010009139A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies

Definitions

  • the present invention is generally related to semiconductor wafer processing equipment and, more particularly, to an improved apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system.
  • plasma-enhanced reactive ion etching systems contain an anode and cathode within a vacuum chamber.
  • the cathode typically forms a pedestal for supporting a semiconductor wafer within the chamber and the anode is formed of the walls and/or top of the chamber.
  • a reactive gas is pumped into the vacuum chamber and the anode and cathode are driven by a single sinusoidal frequency (RF) source to excite the reactive gas into a plasma.
  • RF sinusoidal frequency
  • the single frequency is typically 13.56 MHz, although frequencies from 100 kHz to 2.45 GHz are often used, with the occasional use of other frequencies.
  • a single frequency, sinusoidal RF signal is generally applied to the reactive gas within the chamber at a relatively high-power level, e.g., 3 kilowatts.
  • the RF power excites the reactive gas, producing a plasma within the chamber proximate to the semiconductor wafer being processed.
  • plasma-enhanced reactive ion processing has been used, for example, in etch and chemical vapor deposition processes.
  • FIG. 1 is a schematic diagram of a MERIE system 100 of the prior art.
  • the system 100 includes a processing chamber 101 .
  • the chamber 101 comprises a set of side-walls 102 , a floor 104 and a lid 106 , defining an enclosed volume.
  • a gas panel 110 supplies reactive gases (an etch chemistry) to the enclosed volume defined by the chamber 101 .
  • the system 100 further includes an RF power supply 122 and a matching circuit 120 that drives a pedestal assembly 108 such that an electric field is established between the pedestal assembly 108 and the chamber walls 102 and lid 106 .
  • a set of coils 124 are arranged about the sides 102 of the chamber 101 to facilitate magnetic control of the plasma 124 .
  • a pedestal assembly 108 comprises a pedestal 114 centrally mounted within the chamber 101 to a cathode 112 and surrounded by a collar 118 .
  • the pedestal retains a workpiece 116 such as a semiconductor wafer which is to be processed in the chamber 101 .
  • the plasma reaction chamber 101 employs capacitively coupled RF power to generate and maintain a high density, low energy plasma 124 .
  • RF power is coupled from the RF power supply 122 producing one or more RF frequencies through matching network 120 .
  • the lid 106 and walls 102 are grounded and serves as a ground reference (anode) for the RF power. With the configuration shown in FIG. 1, plasma density is controlled by the RF power provided by the power supply 122 via the matching circuit 120 .
  • the cathode 112 is typically fabricated from a conductive material such as aluminum.
  • the pedestal 114 is typically fabricated from a polymer such as polyimide or a ceramic material such as aluminum nitride or boron nitride.
  • the workpiece 116 i.e., a semiconductor wafer
  • the electric field that couples to the plasma passes through both the workpiece and the pedestal. Since the cathode is made of a different materials than the workpiece, the different materials have different effects on the plasma. Consequently, there is an abrupt change of plasma parameters, and process uniformity, at the wafer edge 126 .
  • a collar 116 surrounds and partially overlaps the pedestal and pedestal 114 .
  • the collar 116 (also known as a process kit) is typically made of a material such as quartz.
  • the disadvantages associated with the prior art are overcome by the present invention of an apparatus and method for controlling a uniformity of a plasma, including ion energy uniformity and radical component density within a semiconductor wafer processing system such as an etch reactor.
  • the apparatus comprises a wafer support, a conductive process kit surrounding the wafer support and an RF supply coupled to the process kit.
  • the process kit or collar is biased by an RF signal having a frequency that can be the same as a cathode drive signal or a different frequency.
  • the apparatus establishes a primary plasma in response to the cathode drive signal and a secondary plasma in response to the process kit drive signal.
  • the secondary plasma is established proximate the process kit and, as such, it circumscribes the primary plasma which is located central to the reactor, above the wafer.
  • the secondary plasma supplies electrons to the periphery of the primary such that the primary plasma is substantially uniform over the entire wafer surface.
  • the secondary plasma also facilitates a uniform distribution of ion energy and radical components.
  • the method and apparatus of the present invention includes a computerized control system for establishing the primary and secondary plasmas with the reactor.
  • the control apparatus also provides for utilizing various waveforms, frequencies and combinations of frequencies to establish and maintain the plasmas such that optimal wafer processing is obtained.
  • FIG. 1 illustrates a cross sectional schematic view of a semiconductor wafer processing system of the prior art
  • FIG. 2 illustrates a cross sectional schematic view of a semiconductor wafer processing system of a first embodiment of the present invention
  • FIG. 3 illustrates a cross sectional view of an apparatus of a second embodiment of the present invention
  • FIG. 4 illustrates a cross sectional view of an apparatus of a third embodiment of the present invention
  • FIG. 5 illustrates a system block diagram of a semiconductor wafer processing system of the present invention
  • FIG. 6 illustrates a flow diagram for a plasma processing method of the present invention.
  • FIG. 7 depicts a detailed cross-sectional view of a process kit that defines cooling gas passageways such that a heat transfer medium can be applied to the process kit to control the temperature of the process kit.
  • FIG. 2 depicts a first embodiment of the apparatus of the present invention. Specifically, a semiconductor wafer processing system 190 comprising a deposition chamber 200 , a wafer support 210 , a process kit 220 , dual output RF source 239 and a system controller 250 .
  • the chamber 200 comprises a set of side walls 201 , a floor 202 and a lid 203 .
  • a robot arm (not shown), transfers a wafer 116 in and out of the chamber 200 through a slit valve 204 in the side wall 201 .
  • the chamber 200 has showerhead 206 and a gas panel 207 for introducing process gases to the chamber 200 .
  • An exhaust system 208 regulates a pressure within the chamber 200 .
  • a plurality of vertically oriented coils 270 are provided adjacent the outside surface of the chamber walls 201 . These coils 262 are optional accessories for such a plasma chamber.
  • the illustrative chamber 200 is a magnetically enhanced reactive ion etch (MERIE) chamber.
  • MMERIE magnetically enhanced reactive ion etch
  • the invention described below can benefit any type of process chamber suitable for performing plasma-based wafer process steps such as etch, physical vapor deposition (PVD), plasma enhanced chemical vapor deposition (PECVD), plasma cleaning and the like.
  • the plasma process performed by the system 190 is an etch process and the chamber 200 is an eMxP chamber made by Applied Materials, Inc. of Santa Clara, Calif.
  • the wafer support 210 comprises an electrostatic chuck 212 (or other wafer retaining apparatus such as a vacuum chuck or mechanical chuck) mounted to a pedestal 213 .
  • the pedestal 213 is typically fabricated from a metal such as aluminum.
  • the chuck 212 is typically fabricated from a dielectric material such as a polyimide or ceramic.
  • a workpiece, such as a semiconductor wafer 116 rests on the chuck 212 during processing.
  • the chuck 212 generally includes one or more RF bias electrodes 215 .
  • the chuck 212 may also include such components as resistive heaters or electrostatic chuck electrodes (not shown).
  • the latter can be implemented using any number of chucking electrodes and any type of chucking electrode structure including monopolar, bipolar, tripolar, interdigitated, zonal and the like.
  • any number or arrangement of heaters can be used including a single heater, or two or more heaters can be used for zoned heating and the like.
  • the chuck 212 has a radially extending, peripheral flange 216 with an upper surface 217 and an outer edge 218 .
  • the flange 216 supports the process kit 220 .
  • the process kit 220 is generally annular in shape with top surface 222 , a bottom surface 224 , a depending extension 226 and an inner edge 228 .
  • the process kit is manufactured of a conductive material such as stainless steel. The process kit is supported by the upper surface 217 of the flange 216 .
  • the showerhead 206 is typically grounded and serves as an anode.
  • RF power is supplied by the dual output RF source 239 to the bias electrodes 215 within the wafer support 210 to form a cathode.
  • a process gas is coupled from the gas panel 207 via conduit 232 to the chamber 200 via the showerhead 206 .
  • the process gas may be a single gas or a mixture of multiple gases.
  • the dual output RF source 239 contains a pair of RF power sources 240 and 242 and their associated matching circuits 241 and 243 .
  • the first radio frequency (RF) power supply 240 provides a first RF signal to the bias electrodes 215 through a first matching circuit 241 .
  • the first RF signal has a frequency f 1 .
  • This signal provides power that generates and maintains a primary plasma 230 proximate the wafer 116 .
  • a combination of direct current (DC) and RF bias voltage may be applied to the bias electrodes 215 or to the pedestal 213 .
  • the first RF signal frequency f 1 is typically 13.56 megahertz (MHz), although frequencies from 100 kilohertz kHz to 2.45 gigahertz (GHz) are often used, with the occasional use of other frequencies.
  • the primary plasma 230 a portion of the process gas molecules are dissociated to form ions. Electric fields from the RF signal accelerate the ions toward the wafer 116 . The ions bombard the wafer 116 thereby etching the top surface of the wafer 116 . Etching may be enhanced by a chemical reaction between the ions and the wafer 116 . The etch rate is controlled by plasma parameters such as the density of ions in the primary plasma 230 and the energy of ions bombarding the wafer 116 . To uniformly etch the wafer, the ion density and ion energy must be uniform across the wafer 116 between a center 164 and an edge 166 . To magnetically enhance the plasma, a low frequency AC signal (e.g., 60 Hz) having rotating phase is optionally supplied to the magnets 270 such that a plasma stirring effect is created.
  • a low frequency AC signal e.g. 60 Hz
  • a second RF power supply 242 is coupled to the process kit 220 through a second matching circuit 243 .
  • the second power supply 242 provides a second RF signal, having a frequency f 2 , to the process kit 220 .
  • the second RF signal provides power to the process kit 220 that sustains a secondary plasma 235 proximate the top surface 222 of the process kit 220 .
  • the secondary plasma 235 provides electrons 237 to the primary plasma 230 proximate the wafer edge 166 . Interaction between the electrons 237 and the plasma 230 produces additional ions.
  • the supply of electrons 237 regulates the ion density and/or ion energy in the primary plasma 230 proximate the wafer edge 166 .
  • ion energy and the density of radical components can be controlled and made more uniform.
  • the supply of electrons 237 can be controlled by adjusting the power provided to the process kit 220 .
  • the second RF power supply 242 provides an additional control over uniformity of the plasma 230 between the center 164 and the edge 166 of the wafer 116 .
  • the second signal frequency f 2 may be between 100 kHz and 2.45 GHz.
  • the second RF signal frequency f 2 is 400 kHz.
  • a portion of the signal produced by the first RF power supply 240 can be coupled to the process kit 220 .
  • a portion of the signal produced by the second RF power supply 242 is coupled to the pedestal 213 .
  • the magnitude of the cross-coupled signals are selected by the weighing values in the attenuators or amplifiers 245 and 247 .
  • a second embodiment of the invention utilizes a dual output RF source 239 having only a single RF power source 302 that is coupled to an RF power splitter 304 .
  • the two output signals from the splitter 304 are coupled to first and second matching networks 306 and 308 .
  • the wafer support bias electrode 215 is coupled to the first matching circuit 306
  • the process kit 220 is coupled to the second matching circuit 308 .
  • Power delivered to the wafer support electrode 215 drives a primary plasma and power delivered to the process kit 220 drives a secondary plasma in a manner analogous to that described with respect to FIG. 2.
  • the RF power supply 302 provides a signal having a single frequency to both the wafer support and the process kit.
  • the frequency of the signal is typically 100 kHz to 2.45 GHz.
  • the RF power source 302 could provide a broad band signal that encompasses a broad spectrum of frequencies and the match circuits would selectively respective couple subbands of the signal to the process kit and bias electrode.
  • the RF power supply 302 may be a complex waveform generator coupled to a high-power amplifier.
  • the matching networks 306 and 308 select particular frequencies (or bands of frequencies) of RF power to be coupled to the wafer support and process kit. Thus signals having different frequencies may be supplied to the wafer support and process kit.
  • Complex waveform generators produce waveforms of any form using a Fourier waveform analysis technique.
  • the complex waveform generator may be a digital waveform generator which produces digitally synthesized waveforms.
  • Such complex waveform generators are widely available in the signal processing arts. Using such waveform generators facilitates optimal tailoring of the excitation waveform to the chamber environment and plasma load impedance.
  • a chuck 400 is provided with separate bias electrodes for powering primary and secondary plasmas for processing a wafer 116 .
  • the chuck 400 schematically depicted in FIG. 4, comprises a dielectric body 402 with a central electrode 404 disposed within the body 402 .
  • An annular peripheral electrode 406 is disposed within the body 402 proximate the periphery of the chuck 400 .
  • the body 402 includes a peripheral flange 408 that supports a process kit 220 .
  • the dual output RF power source 239 provides a first RF signal to the central electrode 404 and a second RF signal to the peripheral electrode 406 .
  • the second RF signal is capacitively coupled to the process kit 220 via the peripheral electrode 406 .
  • a secondary plasma is established above the process kit 220 .
  • the second RF signal may be produced in any of the ways that have previously been discussed.
  • FIG. 7 depicts a detailed cross-sectional view of an improved process kit 700 for the chamber 200 of FIG. 2.
  • the temperature of the process kit 700 may be controlled, for example, by flowing a heat transfer medium such as an inert gas (e.g., helium or argon) from the gas panel 207 through the pathway 302 to the underside surface 304 of the process kit 700 .
  • the underside surface 704 is spaced apart from the surface 217 of the chuck 212 to form a gap 706 through which the gas may flow.
  • the gap 706 is maintained by a plurality of protrusions 708 extending from the underside surface 702 of the process kit 300 .
  • the process kit is sized to have an inner diameter that is slightly larger than the outer diameter of the wafer support surface 710 of the chuck 212 such that a gap 716 is formed between the peripheral surface 712 of the wafer support surface 710 and the inner surface 716 of the process kit 700 .
  • the heat transfer medium that is coupled to gap 706 is also coupled to gap 712 to provide further heat transfer form the process kit 700 .
  • FIG. 5 shows a block diagram of the plasma processing system 190 depicted in FIG. 2, wherein the system controller 250 is employed in such a capacity.
  • the system controller unit 250 includes a programmable central processing unit (CPU) 502 that is operable with a memory 504 , a mass storage device 506 , an input control unit 508 , and a display unit 510 .
  • the system controller further includes well-known support circuits 514 such as power supplies 516 , clocks 518 , cache 520 , input/output (I/O) circuits 522 and the like.
  • the controller 250 also includes hardware for monitoring wafer processing through sensors 524 in the chamber 200 .
  • the sensors 524 measure system parameters such as wafer temperature, chamber atmosphere pressure, plasma temperature, plasma voltage and plasma current. All of the above elements are coupled to a control system bus 512 .
  • the memory 504 contains instructions that the processor unit 502 executes to facilitate the performance of the wafer processing system 190 .
  • the instructions in the memory 504 are in the form of program code.
  • the program code may conform to any one of a number of different programming languages. For example, the program code can be written in C+, C++, BASIC, Pascal, or a number of other languages.
  • the mass storage device 506 stores data and instructions and retrieves data and program code instructions from a processor readable storage medium, such as a magnetic disk or magnetic tape.
  • a processor readable storage medium such as a magnetic disk or magnetic tape.
  • the mass storage device 506 can be a hard disk drive, floppy disk drive, tape drive, or optical disk drive.
  • the mass storage device 506 stores and retrieves the instructions in response to directions that it receives from the processor unit 502 .
  • Data and program code instructions that are stored and retrieved by the mass storage device 506 are employed by the processor unit 502 for operating the plasma processing system 190 .
  • the data and program code instructions are first retrieved by the mass storage device 506 from a medium and then transferred to the memory 504 for use by the processor unit 502 .
  • the input control unit 508 couples a data input device, such as a keyboard, mouse, or light pen, to the processor unit 502 to provide for the receipt of a chamber operator's inputs.
  • the display unit 510 provides information to a chamber operator in the form of graphical displays and alphanumeric characters under control of the processor unit 502 .
  • the control system bus 512 provides for the transfer of data and control signals between all of the devices that are coupled to the control system bus 512 .
  • the control system bus is displayed as a single bus that directly connects the devices in the processor unit 502
  • the control system bus 512 can also be a collection of busses.
  • the display unit 510 , input control unit 508 and mass storage device 506 can be coupled to an input-output peripheral bus, while the processor unit 502 and memory 504 are coupled to a local processor bus.
  • the local processor bus and input-output peripheral bus are coupled together to form the control system bus 512 .
  • the system controller 250 is coupled to the elements of the deposition system 190 , employed in plasma processing in accordance with the present invention via the system bus 512 and the I/O circuits 522 . These elements include the following: the robot arm 204 , the slit valve 205 , the gas panel 207 , the exhaust system 208 , the sensors 524 and the RF power supplies 240 and 242 .
  • the system controller 250 provides signals to the chamber elements that cause these elements to perform operations for processing a semiconductor wafer in the subject apparatus.
  • An improved method for plasma processing is also described as part of the subject invention.
  • Those skilled in the art would be readily able to devise a computer program such as a program 600 depicted in the flow diagram of FIG. 6.
  • the program 600 is suitable for monitoring and controlling a plasma process.
  • the program 600 is described herein with respect to an etch process, those skilled in the art will recognize that the method of the present invention can be applied to any plasma enhanced wafer process.
  • the program begins at step 602 .
  • System operating parameters are established in step 604 .
  • the processor unit 502 directs the operation of the chamber elements in response to the program code instructions that it retrieves from the memory 504 .
  • the processor unit 502 executes instructions retrieved from the memory 504 such as activating the robot arm to insert the wafer 116 into the chamber 200 and place it on the chuck 212 , controlling the gas panel 207 to permit the flow of process gas, moving chuck 212 (if applicable) into position for processing and the like.
  • Process parameters such as frequencies f 1 and f 2 , process gas flow rates, chamber pressure and etch duration T e are initialized at this time. These values can be part of the code of program 600 , or entered by an operator at the input control unit 508 , or otherwise retrieved from the memory 504 or the mass storage device 506 .
  • Etching commences at step 606 .
  • the processor unit 502 executes instructions directing the gas panel 207 to permit the flow of process gas.
  • the program may also direct the exhaust system 208 to control the atmosphere pressure in the chamber 200 .
  • the processor unit 502 instructs dual output RF source 239 to apply power to the chamber 200 .
  • the first power supply 240 to provide a first RF signal having a frequency f 1 to the wafer support (i.e., to bias electrode 215 ). Energy from the first RF signal ignites and sustains the primary plasma 230 as described above with respect to FIG. 2.
  • step 610 the processor unit 502 instructs the second power supply 242 to provide a second RF signal having a frequency f 1 to the process kit 220 thus producing the secondary plasma 235 as described above with respect to FIG. 2.
  • the program 600 keeps track of elapsed time T by, for example, referring to a signal from the clock 518 .
  • the program 600 executes instructions for optimizing the plasma uniformity. For example, in step 612 , the program 600 executes instructions for determining plasma uniformity based on signals from the sensors 524 . In step 614 , the program 600 optimizes process uniformity by adjusting the power level and/or frequency delivered by power supplies 240 and 242 . Additionally, the program further optimizes plasma uniformity by controlling the temperature of the process kit 220 . For example, in step 616 , the program executes a set of instructions to direct the gas panel 207 to flow the cooling gas 260 between the chuck 212 and the process kit 220 as described above with respect to FIG. 7.
  • the etch process can also be stopped using an etch end point detection system.
  • the program 600 may execute a set of instructions that signal the power supplies 240 and 242 to turn off.
  • the program then ends at step 620 .
  • the execution of these instructions results in the elements of the semiconductor processing system 190 being operated to etch material on the surface of a substrate.
  • the respective matching circuits 306 and 308 control the power levels transmitted to the wafer support 310 and process kit 320 .
  • Such matching circuits may be coupled to the processor and the two power levels controlled by program similar to the program 600 .

Abstract

An apparatus and method for controlling a plasma in a plasma processing system. The apparatus comprises a wafer support pedestal surrounded by a process kit that is driven by an RF signal. Both an electrode (cathode) in the pedestal and the process kit are driven with an RF signal to establish a primary plasma above the pedestal and a secondary plasma above the process kit.

Description

    BACKGROUND OF THE DISCLOSURE
  • 1. Field of the Invention [0001]
  • The present invention is generally related to semiconductor wafer processing equipment and, more particularly, to an improved apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system. [0002]
  • 2. Description of the Related Art [0003]
  • Traditionally, plasma-enhanced reactive ion etching systems contain an anode and cathode within a vacuum chamber. The cathode typically forms a pedestal for supporting a semiconductor wafer within the chamber and the anode is formed of the walls and/or top of the chamber. To process a wafer, a reactive gas is pumped into the vacuum chamber and the anode and cathode are driven by a single sinusoidal frequency (RF) source to excite the reactive gas into a plasma. The single frequency is typically 13.56 MHz, although frequencies from 100 kHz to 2.45 GHz are often used, with the occasional use of other frequencies. More specifically, a single frequency, sinusoidal RF signal is generally applied to the reactive gas within the chamber at a relatively high-power level, e.g., 3 kilowatts. The RF power excites the reactive gas, producing a plasma within the chamber proximate to the semiconductor wafer being processed. Such plasma-enhanced reactive ion processing has been used, for example, in etch and chemical vapor deposition processes. [0004]
  • The uniformity of the etch process that results from the forgoing etch chamber is poor. As such, an improved version of this etch chamber adds four magnetic coils about the outside of the chamber, i.e., one vertically oriented toroidal coil for each side of the chamber. These coils when driven with an AC signal magnetically control the plasma to facilitate a more uniform etch process. This form of chamber is generally known as a magnetically enhanced reactive ion etch (MERIE) chamber. One such MERIE chamber is manufactured by Applied Materials, inc. of Santa Clara Calif. as they model MxP[0005] + chamber. This chamber is described in commonly assigned U.S. Pat. No. 5,215,619 issued Jun. 1, 1993 to Cheng et al. and U.S. Pat. No. 5,891,350 issued Apr. 6, 1999 to Shan et al., which are herein incorporated by reference.
  • FIG. 1 is a schematic diagram of a [0006] MERIE system 100 of the prior art. The system 100 includes a processing chamber 101. The chamber 101 comprises a set of side-walls 102, a floor 104 and a lid 106, defining an enclosed volume. A gas panel 110 supplies reactive gases (an etch chemistry) to the enclosed volume defined by the chamber 101. The system 100 further includes an RF power supply 122 and a matching circuit 120 that drives a pedestal assembly 108 such that an electric field is established between the pedestal assembly 108 and the chamber walls 102 and lid 106. A set of coils 124 are arranged about the sides 102 of the chamber 101 to facilitate magnetic control of the plasma 124.
  • A [0007] pedestal assembly 108 comprises a pedestal 114 centrally mounted within the chamber 101 to a cathode 112 and surrounded by a collar 118. The pedestal retains a workpiece 116 such as a semiconductor wafer which is to be processed in the chamber 101. The plasma reaction chamber 101 employs capacitively coupled RF power to generate and maintain a high density, low energy plasma 124. RF power, is coupled from the RF power supply 122 producing one or more RF frequencies through matching network 120. The lid 106 and walls 102 are grounded and serves as a ground reference (anode) for the RF power. With the configuration shown in FIG. 1, plasma density is controlled by the RF power provided by the power supply 122 via the matching circuit 120.
  • In semiconductor wafer processing, the [0008] cathode 112 is typically fabricated from a conductive material such as aluminum. The pedestal 114 is typically fabricated from a polymer such as polyimide or a ceramic material such as aluminum nitride or boron nitride. The workpiece 116 (i.e., a semiconductor wafer) is typically made of silicon. The electric field that couples to the plasma passes through both the workpiece and the pedestal. Since the cathode is made of a different materials than the workpiece, the different materials have different effects on the plasma. Consequently, there is an abrupt change of plasma parameters, and process uniformity, at the wafer edge 126. To improve process uniformity at the wafer edge, a collar 116, surrounds and partially overlaps the pedestal and pedestal 114. The collar 116 (also known as a process kit) is typically made of a material such as quartz.
  • Although magnetic enhancement provides a substantial improvement in etch uniformity, the existence of a magnetic field in the chamber causes a phenomenon known as E×B drift where the electrons in the plasma tend to accumulate on one side of the chamber. Such drift causes non-uniform etching. To combat E×B drift, the phase of the AC signal that drives each coil is rotated such that the B field is magnetically rotated. This lessens the E×B drift problem but does not eliminate it. Additionally, non-uniformity of ion energy and radical component density causes non-uniform etching of a wafer. [0009]
  • Therefore, there is a need in the art for an apparatus and method for improved control of the plasma uniformity as well as ion energy and radical component uniformity across the wafer surface to provide for more uniform and repeatable etching of wafers. [0010]
  • SUMMARY OF THE INVENTION
  • The disadvantages associated with the prior art are overcome by the present invention of an apparatus and method for controlling a uniformity of a plasma, including ion energy uniformity and radical component density within a semiconductor wafer processing system such as an etch reactor. The apparatus comprises a wafer support, a conductive process kit surrounding the wafer support and an RF supply coupled to the process kit. The process kit or collar is biased by an RF signal having a frequency that can be the same as a cathode drive signal or a different frequency. The apparatus establishes a primary plasma in response to the cathode drive signal and a secondary plasma in response to the process kit drive signal. The secondary plasma is established proximate the process kit and, as such, it circumscribes the primary plasma which is located central to the reactor, above the wafer. The secondary plasma supplies electrons to the periphery of the primary such that the primary plasma is substantially uniform over the entire wafer surface. The secondary plasma also facilitates a uniform distribution of ion energy and radical components. [0011]
  • The method and apparatus of the present invention includes a computerized control system for establishing the primary and secondary plasmas with the reactor. The control apparatus also provides for utilizing various waveforms, frequencies and combinations of frequencies to establish and maintain the plasmas such that optimal wafer processing is obtained. [0012]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The teachings of the present invention can be readily understood by considering the following detailed description in conjunction with the accompanying drawings, in which: [0013]
  • FIG. 1 illustrates a cross sectional schematic view of a semiconductor wafer processing system of the prior art; [0014]
  • FIG. 2 illustrates a cross sectional schematic view of a semiconductor wafer processing system of a first embodiment of the present invention; [0015]
  • FIG. 3 illustrates a cross sectional view of an apparatus of a second embodiment of the present invention; [0016]
  • FIG. 4 illustrates a cross sectional view of an apparatus of a third embodiment of the present invention; [0017]
  • FIG. 5 illustrates a system block diagram of a semiconductor wafer processing system of the present invention; [0018]
  • FIG. 6 illustrates a flow diagram for a plasma processing method of the present invention; and [0019]
  • FIG. 7 depicts a detailed cross-sectional view of a process kit that defines cooling gas passageways such that a heat transfer medium can be applied to the process kit to control the temperature of the process kit. [0020]
  • To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. [0021]
  • DETAILED DESCRIPTION
  • FIG. 2 depicts a first embodiment of the apparatus of the present invention. Specifically, a semiconductor [0022] wafer processing system 190 comprising a deposition chamber 200, a wafer support 210, a process kit 220, dual output RF source 239 and a system controller 250.
  • The [0023] chamber 200 comprises a set of side walls 201, a floor 202 and a lid 203. A robot arm (not shown), transfers a wafer 116 in and out of the chamber 200 through a slit valve 204 in the side wall 201. The chamber 200 has showerhead 206 and a gas panel 207 for introducing process gases to the chamber 200. An exhaust system 208 regulates a pressure within the chamber 200. To supply a magnetic field to facilitate plasma control, a plurality of vertically oriented coils 270 are provided adjacent the outside surface of the chamber walls 201. These coils 262 are optional accessories for such a plasma chamber.
  • The [0024] illustrative chamber 200 is a magnetically enhanced reactive ion etch (MERIE) chamber. However, the invention described below can benefit any type of process chamber suitable for performing plasma-based wafer process steps such as etch, physical vapor deposition (PVD), plasma enhanced chemical vapor deposition (PECVD), plasma cleaning and the like. In a preferred embodiment, the plasma process performed by the system 190 is an etch process and the chamber 200 is an eMxP chamber made by Applied Materials, Inc. of Santa Clara, Calif.
  • The [0025] wafer support 210 comprises an electrostatic chuck 212 (or other wafer retaining apparatus such as a vacuum chuck or mechanical chuck) mounted to a pedestal 213. The pedestal 213 is typically fabricated from a metal such as aluminum. The chuck 212 is typically fabricated from a dielectric material such as a polyimide or ceramic. A workpiece, such as a semiconductor wafer 116, rests on the chuck 212 during processing. The chuck 212 generally includes one or more RF bias electrodes 215. The chuck 212 may also include such components as resistive heaters or electrostatic chuck electrodes (not shown). The latter can be implemented using any number of chucking electrodes and any type of chucking electrode structure including monopolar, bipolar, tripolar, interdigitated, zonal and the like. Similarly, any number or arrangement of heaters can be used including a single heater, or two or more heaters can be used for zoned heating and the like.
  • The [0026] chuck 212 has a radially extending, peripheral flange 216 with an upper surface 217 and an outer edge 218. The flange 216 supports the process kit 220. The process kit 220 is generally annular in shape with top surface 222, a bottom surface 224, a depending extension 226 and an inner edge 228. The process kit is manufactured of a conductive material such as stainless steel. The process kit is supported by the upper surface 217 of the flange 216.
  • In an etch reactor, the [0027] showerhead 206 is typically grounded and serves as an anode. RF power is supplied by the dual output RF source 239 to the bias electrodes 215 within the wafer support 210 to form a cathode. A process gas is coupled from the gas panel 207 via conduit 232 to the chamber 200 via the showerhead 206. The process gas may be a single gas or a mixture of multiple gases. To provide the most flexibility, the dual output RF source 239 contains a pair of RF power sources 240 and 242 and their associated matching circuits 241 and 243. The first radio frequency (RF) power supply 240 provides a first RF signal to the bias electrodes 215 through a first matching circuit 241. The first RF signal has a frequency f1. This signal provides power that generates and maintains a primary plasma 230 proximate the wafer 116. Alternatively, a combination of direct current (DC) and RF bias voltage may be applied to the bias electrodes 215 or to the pedestal 213. The first RF signal frequency f1 is typically 13.56 megahertz (MHz), although frequencies from 100 kilohertz kHz to 2.45 gigahertz (GHz) are often used, with the occasional use of other frequencies.
  • In the [0028] primary plasma 230, a portion of the process gas molecules are dissociated to form ions. Electric fields from the RF signal accelerate the ions toward the wafer 116. The ions bombard the wafer 116 thereby etching the top surface of the wafer 116. Etching may be enhanced by a chemical reaction between the ions and the wafer 116. The etch rate is controlled by plasma parameters such as the density of ions in the primary plasma 230 and the energy of ions bombarding the wafer 116. To uniformly etch the wafer, the ion density and ion energy must be uniform across the wafer 116 between a center 164 and an edge 166. To magnetically enhance the plasma, a low frequency AC signal (e.g., 60 Hz) having rotating phase is optionally supplied to the magnets 270 such that a plasma stirring effect is created.
  • In a first embodiment of the present invention that facilitates further plasma uniformity, a second [0029] RF power supply 242 is coupled to the process kit 220 through a second matching circuit 243. The second power supply 242 provides a second RF signal, having a frequency f2, to the process kit 220. The second RF signal provides power to the process kit 220 that sustains a secondary plasma 235 proximate the top surface 222 of the process kit 220. The secondary plasma 235 provides electrons 237 to the primary plasma 230 proximate the wafer edge 166. Interaction between the electrons 237 and the plasma 230 produces additional ions. Thus, the supply of electrons 237 regulates the ion density and/or ion energy in the primary plasma 230 proximate the wafer edge 166. As such, ion energy and the density of radical components can be controlled and made more uniform. The supply of electrons 237 can be controlled by adjusting the power provided to the process kit 220. Thus, the second RF power supply 242 provides an additional control over uniformity of the plasma 230 between the center 164 and the edge 166 of the wafer 116. The second signal frequency f2 may be between 100 kHz and 2.45 GHz. Preferably, the second RF signal frequency f2 is 400 kHz.
  • Alternatively, a portion of the signal produced by the first [0030] RF power supply 240 can be coupled to the process kit 220. Similarly, a portion of the signal produced by the second RF power supply 242 is coupled to the pedestal 213. The magnitude of the cross-coupled signals are selected by the weighing values in the attenuators or amplifiers 245 and 247.
  • A second embodiment of the invention, depicted in FIG. 3, utilizes a dual [0031] output RF source 239 having only a single RF power source 302 that is coupled to an RF power splitter 304. The two output signals from the splitter 304 are coupled to first and second matching networks 306 and 308. The wafer support bias electrode 215 is coupled to the first matching circuit 306, while the process kit 220 is coupled to the second matching circuit 308. Power delivered to the wafer support electrode 215 drives a primary plasma and power delivered to the process kit 220 drives a secondary plasma in a manner analogous to that described with respect to FIG. 2. In this embodiment, the RF power supply 302 provides a signal having a single frequency to both the wafer support and the process kit. The frequency of the signal is typically 100 kHz to 2.45 GHz. However, as discussed below, the RF power source 302 could provide a broad band signal that encompasses a broad spectrum of frequencies and the match circuits would selectively respective couple subbands of the signal to the process kit and bias electrode.
  • More specifically, the [0032] RF power supply 302 may be a complex waveform generator coupled to a high-power amplifier. The matching networks 306 and 308 select particular frequencies (or bands of frequencies) of RF power to be coupled to the wafer support and process kit. Thus signals having different frequencies may be supplied to the wafer support and process kit. Complex waveform generators produce waveforms of any form using a Fourier waveform analysis technique. Alternatively, the complex waveform generator may be a digital waveform generator which produces digitally synthesized waveforms. Such complex waveform generators are widely available in the signal processing arts. Using such waveform generators facilitates optimal tailoring of the excitation waveform to the chamber environment and plasma load impedance. The use of such a waveform generator is described in the commonly assigned U.S. patent application Ser. No. 08/991,749, filed Dec. 16, 1997, entitled “Apparatus for Exciting a Plasma in a Semiconductor Wafer Processing System Using a Complex RF Waveform”, incorporated herein by reference.
  • In a third embodiment of the invention, a chuck [0033] 400 is provided with separate bias electrodes for powering primary and secondary plasmas for processing a wafer 116. The chuck 400, schematically depicted in FIG. 4, comprises a dielectric body 402 with a central electrode 404 disposed within the body 402. An annular peripheral electrode 406 is disposed within the body 402 proximate the periphery of the chuck 400. The body 402 includes a peripheral flange 408 that supports a process kit 220. The dual output RF power source 239 provides a first RF signal to the central electrode 404 and a second RF signal to the peripheral electrode 406. The second RF signal is capacitively coupled to the process kit 220 via the peripheral electrode 406. As such, a secondary plasma is established above the process kit 220. The second RF signal may be produced in any of the ways that have previously been discussed.
  • Since the rate of reactions affecting the uniformity of the plasma is partly controlled by the temperature of the process kit, additional control over the plasma uniformity may be achieved by controlling a temperature of the process kit. FIG. 7 depicts a detailed cross-sectional view of an [0034] improved process kit 700 for the chamber 200 of FIG. 2. The temperature of the process kit 700 may be controlled, for example, by flowing a heat transfer medium such as an inert gas (e.g., helium or argon) from the gas panel 207 through the pathway 302 to the underside surface 304 of the process kit 700. The underside surface 704 is spaced apart from the surface 217 of the chuck 212 to form a gap 706 through which the gas may flow. The gap 706 is maintained by a plurality of protrusions 708 extending from the underside surface 702 of the process kit 300. Additionally, the process kit is sized to have an inner diameter that is slightly larger than the outer diameter of the wafer support surface 710 of the chuck 212 such that a gap 716 is formed between the peripheral surface 712 of the wafer support surface 710 and the inner surface 716 of the process kit 700. As such, the heat transfer medium that is coupled to gap 706 is also coupled to gap 712 to provide further heat transfer form the process kit 700.
  • The above-described apparatus can be employed in a plasma processing system that is controlled by a processor based system controller. For example, FIG. 5 shows a block diagram of the [0035] plasma processing system 190 depicted in FIG. 2, wherein the system controller 250 is employed in such a capacity. The system controller unit 250 includes a programmable central processing unit (CPU) 502 that is operable with a memory 504, a mass storage device 506, an input control unit 508, and a display unit 510. The system controller further includes well-known support circuits 514 such as power supplies 516, clocks 518, cache 520, input/output (I/O) circuits 522 and the like. The controller 250 also includes hardware for monitoring wafer processing through sensors 524 in the chamber 200. The sensors 524 measure system parameters such as wafer temperature, chamber atmosphere pressure, plasma temperature, plasma voltage and plasma current. All of the above elements are coupled to a control system bus 512.
  • The [0036] memory 504 contains instructions that the processor unit 502 executes to facilitate the performance of the wafer processing system 190. The instructions in the memory 504 are in the form of program code. The program code may conform to any one of a number of different programming languages. For example, the program code can be written in C+, C++, BASIC, Pascal, or a number of other languages.
  • The [0037] mass storage device 506 stores data and instructions and retrieves data and program code instructions from a processor readable storage medium, such as a magnetic disk or magnetic tape. For example, the mass storage device 506 can be a hard disk drive, floppy disk drive, tape drive, or optical disk drive. The mass storage device 506 stores and retrieves the instructions in response to directions that it receives from the processor unit 502. Data and program code instructions that are stored and retrieved by the mass storage device 506 are employed by the processor unit 502 for operating the plasma processing system 190. The data and program code instructions are first retrieved by the mass storage device 506 from a medium and then transferred to the memory 504 for use by the processor unit 502.
  • The [0038] input control unit 508 couples a data input device, such as a keyboard, mouse, or light pen, to the processor unit 502 to provide for the receipt of a chamber operator's inputs. The display unit 510 provides information to a chamber operator in the form of graphical displays and alphanumeric characters under control of the processor unit 502.
  • The [0039] control system bus 512 provides for the transfer of data and control signals between all of the devices that are coupled to the control system bus 512. Although the control system bus is displayed as a single bus that directly connects the devices in the processor unit 502, the control system bus 512 can also be a collection of busses. For example, the display unit 510, input control unit 508 and mass storage device 506 can be coupled to an input-output peripheral bus, while the processor unit 502 and memory 504 are coupled to a local processor bus. The local processor bus and input-output peripheral bus are coupled together to form the control system bus 512.
  • The [0040] system controller 250 is coupled to the elements of the deposition system 190, employed in plasma processing in accordance with the present invention via the system bus 512 and the I/O circuits 522. These elements include the following: the robot arm 204, the slit valve 205, the gas panel 207, the exhaust system 208, the sensors 524 and the RF power supplies 240 and 242. The system controller 250 provides signals to the chamber elements that cause these elements to perform operations for processing a semiconductor wafer in the subject apparatus.
  • An improved method for plasma processing is also described as part of the subject invention. Those skilled in the art would be readily able to devise a computer program such as a [0041] program 600 depicted in the flow diagram of FIG. 6. The program 600 is suitable for monitoring and controlling a plasma process. Although the program 600 is described herein with respect to an etch process, those skilled in the art will recognize that the method of the present invention can be applied to any plasma enhanced wafer process.
  • The program begins at [0042] step 602. System operating parameters are established in step 604. In operation, the processor unit 502 directs the operation of the chamber elements in response to the program code instructions that it retrieves from the memory 504. For example, once a wafer 116 is placed in the processing chamber 200, the processor unit 502 executes instructions retrieved from the memory 504 such as activating the robot arm to insert the wafer 116 into the chamber 200 and place it on the chuck 212, controlling the gas panel 207 to permit the flow of process gas, moving chuck 212 (if applicable) into position for processing and the like. Process parameters such as frequencies f1 and f2, process gas flow rates, chamber pressure and etch duration Te are initialized at this time. These values can be part of the code of program 600, or entered by an operator at the input control unit 508, or otherwise retrieved from the memory 504 or the mass storage device 506.
  • Etching commences at [0043] step 606. For example, the processor unit 502 executes instructions directing the gas panel 207 to permit the flow of process gas. The program may also direct the exhaust system 208 to control the atmosphere pressure in the chamber 200. At step 608, the processor unit 502 instructs dual output RF source 239 to apply power to the chamber 200. For example, the first power supply 240 to provide a first RF signal having a frequency f1 to the wafer support (i.e., to bias electrode 215). Energy from the first RF signal ignites and sustains the primary plasma 230 as described above with respect to FIG. 2. In step 610, the processor unit 502 instructs the second power supply 242 to provide a second RF signal having a frequency f1 to the process kit 220 thus producing the secondary plasma 235 as described above with respect to FIG. 2. Once etching commences, the program 600 keeps track of elapsed time T by, for example, referring to a signal from the clock 518.
  • During processing, the [0044] program 600 executes instructions for optimizing the plasma uniformity. For example, in step 612, the program 600 executes instructions for determining plasma uniformity based on signals from the sensors 524. In step 614, the program 600 optimizes process uniformity by adjusting the power level and/or frequency delivered by power supplies 240 and 242. Additionally, the program further optimizes plasma uniformity by controlling the temperature of the process kit 220. For example, in step 616, the program executes a set of instructions to direct the gas panel 207 to flow the cooling gas 260 between the chuck 212 and the process kit 220 as described above with respect to FIG. 7.
  • Once the elapsed time T equals the predetermined etch duration T[0045] e, etching stops at step 618. The etch process can also be stopped using an etch end point detection system. For example, the program 600 may execute a set of instructions that signal the power supplies 240 and 242 to turn off. The program then ends at step 620. The execution of these instructions results in the elements of the semiconductor processing system 190 being operated to etch material on the surface of a substrate.
  • Those skilled in the art will realize that such a method may be readily adapted to use with apparatus such as that depicted in FIGS. [0046] 3 or 4. For example, the respective matching circuits 306 and 308 control the power levels transmitted to the wafer support 310 and process kit 320. Such matching circuits may be coupled to the processor and the two power levels controlled by program similar to the program 600.
  • Although various embodiments which incorporate the teachings of the present invention have been shown and described in detail herein, those skilled in the art can readily devise many other varied embodiments that still incorporate these teachings. [0047]

Claims (32)

What is claimed is:
1. Apparatus for controlling a plasma in a plasma processing system, comprising:
a wafer support pedestal;
a process kit surrounding said wafer support pedestal; and
an RF power supply coupled to said process kit.
2. The apparatus of
claim 1
wherein said RF power supply is also coupled to said wafer support pedestal.
3. The apparatus of
claim 1
further comprising a second RF power supply coupled to said wafer support pedestal.
4. The apparatus of
claim 1
wherein said wafer support pedestal contains a chuck with a peripheral flange, said process kit is supported by said flange.
5. The apparatus of
claim 4
wherein said process kit is supported in a spaced apart relationship with respect to said flange to form a gap therebetween.
6. The apparatus of
claim 5
further comprising a cooling gas source coupled to said gap.
7. The apparatus of
claim 1
wherein the wafer support pedestal comprises an annular electrode that is located beneath the process kit and said RF power supply is coupled to said annular electrode.
8. A plasma processing system comprising:
a chamber;
a wafer support pedestal disposed within said chamber;
a process kit surrounding said wafer support pedestal; and
an RF power supply coupled to said process kit.
9. The system of
claim 8
wherein said RF power supply is also coupled to said wafer support pedestal.
10. The system of
claim 8
further comprising a second RF power supply coupled to said wafer support pedestal.
11. The system of
claim 9
wherein said RF power supply provides a signal having a first frequency to said wafer support and a second signal having a second frequency to said process kit.
12. The system of
claim 9
wherein said first and second frequencies are different.
13. The system of
claim 11
wherein said process kit is supported in a spaced apart relationship with respect to said wafer support whereby a gap is formed therebetween.
14. The system of
claim 12
wherein said gap defines a pathway for a cooling gas.
15. The system of
claim 13
further comprising a cooling gas source that supplies said cooling gas to said gap.
16. The apparatus of
claim 8
wherein the wafer support pedestal comprises an annular electrode that is located beneath the process kit and said RF power supply is coupled to said annular electrode.
17. In a semiconductor wafer processing system, having a chamber containing a gas, in a semiconductor processing system having a chamber, a wafer support pedestal, a process kit and an RF power supply, a method for controlling a plasma uniformity comprising the steps of:
(a) supplying a first RF signal to the wafer support pedestal to produce a primary plasma; and
(b) supplying a second RF signal to the process kit to produce a secondary plasma.
18. The method of
claim 17
wherein said first and second RF signals have the same frequency.
19. The method of
claim 17
wherein said first and second RF signals have different frequencies.
20. The method of
claim 19
wherein said first frequency is approximately 400 KHz to 200 MHz.
21. The method of
claim 19
said second frequency is approximately 400 kHz to 60 MHz.
22. The method of
claim 17
further comprising the step of
c) controlling a temperature of said process kit.
23. A computer readable storage medium having program code embodied therein, said program code, when executed by a computer, for controlling a plasma in a semiconductor processing system having a chamber containing a gas, a wafer support pedestal, a process kit and an RF power supply, said program code controlling the semiconductor processing system in accordance with the following steps:
(a) supplying a first RF signal to the wafer support to produce a primary plasma; and
(b) supplying a second RF signal to the process kit to produce a secondary plasma.
24. The computer readable storage medium of
claim 23
wherein said first and second RF signals have the same frequency.
25. The computer readable storage medium of
claim 23
wherein said first and second RF signals have different frequencies.
26. The computer readable storage medium of
claim 24
wherein said first frequency is approximately 400 kHz to 200 MHz.
27. The computer readable storage medium of
claim 24
wherein said second frequency is approximately 400 kHz to 60 MHz.
28. The computer readable storage medium of
claim 24
wherein said program comprises the further step of controlling a temperature of said process kit.
29. Apparatus for supporting a semiconductor wafer, comprising:
a wafer support having a periphery;
a cathode electrode disposed centrally with respect to said wafer support; and
a secondary electrode, disposed within said wafer support, adjacent said periphery.
30. The apparatus of
claim 29
wherein said wafer support is adapted to receive a process kit that surrounds said periphery.
31. The apparatus of
claim 30
wherein said wafer support has a peripheral flange.
32. The apparatus of
claim 30
wherein said electrode is situated proximate said peripheral flange beneath said process kit.
US09/797,212 1999-08-03 2001-03-01 Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system Abandoned US20010009139A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/797,212 US20010009139A1 (en) 1999-08-03 2001-03-01 Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/365,999 US6232236B1 (en) 1999-08-03 1999-08-03 Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
US09/797,212 US20010009139A1 (en) 1999-08-03 2001-03-01 Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/365,999 Continuation US6232236B1 (en) 1999-08-03 1999-08-03 Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system

Publications (1)

Publication Number Publication Date
US20010009139A1 true US20010009139A1 (en) 2001-07-26

Family

ID=23441275

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/365,999 Expired - Fee Related US6232236B1 (en) 1999-08-03 1999-08-03 Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
US09/797,212 Abandoned US20010009139A1 (en) 1999-08-03 2001-03-01 Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/365,999 Expired - Fee Related US6232236B1 (en) 1999-08-03 1999-08-03 Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system

Country Status (1)

Country Link
US (2) US6232236B1 (en)

Cited By (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030097984A1 (en) * 2001-11-27 2003-05-29 Alps Electric Co., Ltd. Plasma processing apparatus, method for operating the same, designing system of matching circuit, and plasma processing method
US20030098127A1 (en) * 2001-11-27 2003-05-29 Alps Electric Co., Ltd. Plasma processing apparatus
WO2004003963A2 (en) * 2002-06-27 2004-01-08 Lam Research Corporation Plasma processor with electrode simultaneously responsive to plural frequencies
US20040035365A1 (en) * 2002-07-12 2004-02-26 Yohei Yamazawa Plasma processing apparatus
US20040233608A1 (en) * 2003-05-21 2004-11-25 Tokyo Electron Limited Of Tbs Broadcast Center Apparatus and methods for compensating plasma sheath non-uniformities at the substrate in a plasma processing system
US20050022933A1 (en) * 2003-08-01 2005-02-03 Howard Bradley J. Multi-frequency plasma reactor and method of etching
US20050039682A1 (en) * 2003-08-22 2005-02-24 Raj Dhindsa Multiple frequency plasma etch reactor
US20050081999A1 (en) * 2003-10-17 2005-04-21 Naoki Yasui Plasma processing apparatus having high frequency power source with sag compensation function and plasma processing method
US20070113981A1 (en) * 2003-11-19 2007-05-24 Tokyo Electron Limited Etch system with integrated inductive coupling
US20090026170A1 (en) * 2007-03-12 2009-01-29 Tokyo Electron Limited Plasma processing apparatus and method of plasma distribution correction
US20090145554A1 (en) * 2001-09-28 2009-06-11 Oc Oerlikon Balzers Ag Procedure and device for the production of a plasma
US20100245214A1 (en) * 2009-03-24 2010-09-30 Applied Materials, Inc. Mixing frequency at multiple feeding points
US20120164834A1 (en) * 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US9088085B2 (en) 2012-09-21 2015-07-21 Novellus Systems, Inc. High temperature electrode connections
US9490105B2 (en) 2004-06-21 2016-11-08 Tokyo Electron Limited Plasma processing apparatus and method
TWI574318B (en) * 2004-06-21 2017-03-11 Tokyo Electron Ltd A plasma processing apparatus, a plasma processing method, and a computer-readable recording medium
US20180174800A1 (en) * 2016-12-15 2018-06-21 Toyota Jidosha Kabushiki Kaisha Plasma device
US10529539B2 (en) 2004-06-21 2020-01-07 Tokyo Electron Limited Plasma processing apparatus and method
US10916408B2 (en) 2019-01-22 2021-02-09 Applied Materials, Inc. Apparatus and method of forming plasma using a pulsed waveform
US20220006015A1 (en) * 2020-07-03 2022-01-06 Samsung Display Co., Ltd. Apparatus and method of manufacturing display apparatus
US11284500B2 (en) 2018-05-10 2022-03-22 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator
US11315767B2 (en) 2017-09-25 2022-04-26 Toyota Jidosha Kabushiki Kaisha Plasma processing apparatus
US11462388B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Plasma processing assembly using pulsed-voltage and radio-frequency power
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999019517A1 (en) * 1997-10-14 1999-04-22 Transgenomic, Inc. Analysis of nicked dna by matched ion polynucleotide chromatography
US20010037770A1 (en) * 2000-04-27 2001-11-08 Toru Otsubo Plasma processing apparatus and processing method
TW469491B (en) * 1999-11-18 2001-12-21 Tokyo Electron Ltd Silylation treatment unit and method
US6741446B2 (en) * 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
US6635144B2 (en) * 2001-04-11 2003-10-21 Applied Materials, Inc Apparatus and method for detecting an end point of chamber cleaning in semiconductor equipment
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
KR20040066170A (en) * 2001-12-13 2004-07-23 어플라이드 머티어리얼스, 인코포레이티드 Self-aligned contact etch with high sensitivity to nitride shoulder
US20030192646A1 (en) * 2002-04-12 2003-10-16 Applied Materials, Inc. Plasma processing chamber having magnetic assembly and method
US6521082B1 (en) 2002-04-16 2003-02-18 Applied Materials Inc. Magnetically enhanced plasma apparatus and method with enhanced plasma uniformity and enhanced ion energy control
US20040040664A1 (en) * 2002-06-03 2004-03-04 Yang Jang Gyoo Cathode pedestal for a plasma etch reactor
JP2005039004A (en) * 2003-07-18 2005-02-10 Hitachi High-Technologies Corp System and method for plasma etching
US20050106888A1 (en) * 2003-11-14 2005-05-19 Taiwan Semiconductor Manufacturing Co. Method of in-situ damage removal - post O2 dry process
US7294224B2 (en) * 2003-12-01 2007-11-13 Applied Materials, Inc. Magnet assembly for plasma containment
US20060172536A1 (en) 2005-02-03 2006-08-03 Brown Karl M Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece
KR101218114B1 (en) * 2005-08-04 2013-01-18 주성엔지니어링(주) Etching apparatus using the plasma
US8012306B2 (en) * 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
JP4988402B2 (en) * 2007-03-30 2012-08-01 株式会社日立ハイテクノロジーズ Plasma processing equipment
US20100123502A1 (en) * 2008-07-09 2010-05-20 Bhutta Imran A System for providing a substantially uniform potential profile
US20110139748A1 (en) * 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
JP5870568B2 (en) 2011-05-12 2016-03-01 東京エレクトロン株式会社 Film forming apparatus, plasma processing apparatus, film forming method, and storage medium
JP5712874B2 (en) 2011-09-05 2015-05-07 東京エレクトロン株式会社 Film forming apparatus, film forming method, and storage medium
US10825708B2 (en) 2011-12-15 2020-11-03 Applied Materials, Inc. Process kit components for use with an extended and independent RF powered cathode substrate for extreme edge tunability
US9412579B2 (en) 2012-04-26 2016-08-09 Applied Materials, Inc. Methods and apparatus for controlling substrate uniformity
CN103715049B (en) * 2012-09-29 2016-05-04 中微半导体设备(上海)有限公司 The method of plasma processing apparatus and adjusting substrate edge region processing procedure speed
JP5939147B2 (en) * 2012-12-14 2016-06-22 東京エレクトロン株式会社 Film forming apparatus, substrate processing apparatus, and film forming method
CN104217914B (en) * 2013-05-31 2016-12-28 中微半导体设备(上海)有限公司 Plasma processing apparatus
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
WO2016060712A1 (en) 2014-10-17 2016-04-21 Applied Materials, Inc. Cmp pad construction with composite material properties using additive manufacturing processes
US10017857B2 (en) 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
US10109464B2 (en) 2016-01-11 2018-10-23 Applied Materials, Inc. Minimization of ring erosion during plasma processes
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10685862B2 (en) 2016-01-22 2020-06-16 Applied Materials, Inc. Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
CN107779845A (en) * 2017-10-30 2018-03-09 武汉华星光电半导体显示技术有限公司 Chemical vapor depsotition equipment and film build method
KR102063108B1 (en) * 2017-10-30 2020-01-08 세메스 주식회사 Apparatus and method for treating substrate
CN109994355B (en) 2017-12-29 2021-11-02 中微半导体设备(上海)股份有限公司 Plasma reactor with low-frequency radio frequency power distribution adjusting function
KR20210042171A (en) 2018-09-04 2021-04-16 어플라이드 머티어리얼스, 인코포레이티드 Formulations for advanced polishing pads
US10784089B2 (en) 2019-02-01 2020-09-22 Applied Materials, Inc. Temperature and bias control of edge ring

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4859908A (en) * 1986-09-24 1989-08-22 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus for large area ion irradiation
US5215619A (en) * 1986-12-19 1993-06-01 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5271963A (en) * 1992-11-16 1993-12-21 Materials Research Corporation Elimination of low temperature ammonia salt in TiCl4 NH3 CVD reaction
US5607542A (en) * 1994-11-01 1997-03-04 Applied Materials Inc. Inductively enhanced reactive ion etching
US5698062A (en) * 1993-11-05 1997-12-16 Tokyo Electron Limited Plasma treatment apparatus and method
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
US6155198A (en) * 1994-11-14 2000-12-05 Applied Materials, Inc. Apparatus for constructing an oxidized film on a semiconductor wafer

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4464223A (en) * 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
DE69226253T2 (en) 1992-01-24 1998-12-17 Applied Materials Inc Plasma etching process and reactor for plasma processing
ATE184919T1 (en) 1994-05-13 1999-10-15 Applied Materials Inc MAGNETICALLY ENHANCED MULTIPLE CAPACITIVE PLASMA GENERATION APPARATUS AND METHOD
US5710486A (en) 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
DE69619075T2 (en) 1995-12-05 2002-10-02 Applied Materials Inc Plasma annealing of thin layers
US6095084A (en) * 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4859908A (en) * 1986-09-24 1989-08-22 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus for large area ion irradiation
US5215619A (en) * 1986-12-19 1993-06-01 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5271963A (en) * 1992-11-16 1993-12-21 Materials Research Corporation Elimination of low temperature ammonia salt in TiCl4 NH3 CVD reaction
US5698062A (en) * 1993-11-05 1997-12-16 Tokyo Electron Limited Plasma treatment apparatus and method
US5607542A (en) * 1994-11-01 1997-03-04 Applied Materials Inc. Inductively enhanced reactive ion etching
US6155198A (en) * 1994-11-14 2000-12-05 Applied Materials, Inc. Apparatus for constructing an oxidized film on a semiconductor wafer
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers

Cited By (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8613828B2 (en) * 2001-09-28 2013-12-24 Oc Oerlikon Balzers Ag Procedure and device for the production of a plasma
US20090145554A1 (en) * 2001-09-28 2009-06-11 Oc Oerlikon Balzers Ag Procedure and device for the production of a plasma
US7095178B2 (en) * 2001-11-27 2006-08-22 Alps Electric Co., Ltd. Plasma processing apparatus, method for operating the same, designing system of matching circuit, and plasma processing method
US20030098127A1 (en) * 2001-11-27 2003-05-29 Alps Electric Co., Ltd. Plasma processing apparatus
US20030097984A1 (en) * 2001-11-27 2003-05-29 Alps Electric Co., Ltd. Plasma processing apparatus, method for operating the same, designing system of matching circuit, and plasma processing method
US6954033B2 (en) * 2001-11-27 2005-10-11 Alps Electric Co., Ltd. Plasma processing apparatus
KR100908588B1 (en) * 2002-06-27 2009-07-22 램 리써치 코포레이션 Plasma processor with electrodes responding to multiple frequencies simultaneously
WO2004003963A3 (en) * 2002-06-27 2004-07-22 Lam Res Corp Plasma processor with electrode simultaneously responsive to plural frequencies
CN100442430C (en) * 2002-06-27 2008-12-10 拉姆研究有限公司 Plasma processor with electrode simultaneously responsive to plural frequencies
WO2004003963A2 (en) * 2002-06-27 2004-01-08 Lam Research Corporation Plasma processor with electrode simultaneously responsive to plural frequencies
US20070236148A1 (en) * 2002-07-12 2007-10-11 Yohei Yamazawa Plasma processing apparatus
US7527016B2 (en) * 2002-07-12 2009-05-05 Tokyo Electron Limited Plasma processing apparatus
US8251011B2 (en) 2002-07-12 2012-08-28 Tokyo Electron Limited Plasma processing apparatus
US20040035365A1 (en) * 2002-07-12 2004-02-26 Yohei Yamazawa Plasma processing apparatus
US7075771B2 (en) 2003-05-21 2006-07-11 Tokyo Electron Limited Apparatus and methods for compensating plasma sheath non-uniformities at the substrate in a plasma processing system
US20040233608A1 (en) * 2003-05-21 2004-11-25 Tokyo Electron Limited Of Tbs Broadcast Center Apparatus and methods for compensating plasma sheath non-uniformities at the substrate in a plasma processing system
US7625460B2 (en) * 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US20060054596A1 (en) * 2003-08-01 2006-03-16 Howard Bradley J Multifrequency plasma reactor and method of etching
US20050022933A1 (en) * 2003-08-01 2005-02-03 Howard Bradley J. Multi-frequency plasma reactor and method of etching
US20050039682A1 (en) * 2003-08-22 2005-02-24 Raj Dhindsa Multiple frequency plasma etch reactor
US7405521B2 (en) * 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US7615132B2 (en) * 2003-10-17 2009-11-10 Hitachi High-Technologies Corporation Plasma processing apparatus having high frequency power source with sag compensation function and plasma processing method
US20070186856A1 (en) * 2003-10-17 2007-08-16 Naoki Yasui Plasma processing apparatus having high frequency power source with sag compensation function and plasma processing method
US20050081999A1 (en) * 2003-10-17 2005-04-21 Naoki Yasui Plasma processing apparatus having high frequency power source with sag compensation function and plasma processing method
US7771562B2 (en) 2003-11-19 2010-08-10 Tokyo Electron Limited Etch system with integrated inductive coupling
US20070113981A1 (en) * 2003-11-19 2007-05-24 Tokyo Electron Limited Etch system with integrated inductive coupling
US10854431B2 (en) 2004-06-21 2020-12-01 Tokyo Electron Limited Plasma processing apparatus and method
US10546727B2 (en) 2004-06-21 2020-01-28 Tokyo Electron Limited Plasma processing apparatus and method
US10529539B2 (en) 2004-06-21 2020-01-07 Tokyo Electron Limited Plasma processing apparatus and method
TWI574318B (en) * 2004-06-21 2017-03-11 Tokyo Electron Ltd A plasma processing apparatus, a plasma processing method, and a computer-readable recording medium
US9490105B2 (en) 2004-06-21 2016-11-08 Tokyo Electron Limited Plasma processing apparatus and method
US8343306B2 (en) * 2007-03-12 2013-01-01 Tokyo Electron Limited Plasma processing apparatus and method of plasma distribution correction
US20090026170A1 (en) * 2007-03-12 2009-01-29 Tokyo Electron Limited Plasma processing apparatus and method of plasma distribution correction
US8312839B2 (en) * 2009-03-24 2012-11-20 Applied Materials, Inc. Mixing frequency at multiple feeding points
US20100245214A1 (en) * 2009-03-24 2010-09-30 Applied Materials, Inc. Mixing frequency at multiple feeding points
US20120164834A1 (en) * 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US9088085B2 (en) 2012-09-21 2015-07-21 Novellus Systems, Inc. High temperature electrode connections
US20180174800A1 (en) * 2016-12-15 2018-06-21 Toyota Jidosha Kabushiki Kaisha Plasma device
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
US11315767B2 (en) 2017-09-25 2022-04-26 Toyota Jidosha Kabushiki Kaisha Plasma processing apparatus
US11284500B2 (en) 2018-05-10 2022-03-22 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US10923321B2 (en) 2019-01-22 2021-02-16 Applied Materials, Inc. Apparatus and method of generating a pulsed waveform
US11699572B2 (en) 2019-01-22 2023-07-11 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
US10916408B2 (en) 2019-01-22 2021-02-09 Applied Materials, Inc. Apparatus and method of forming plasma using a pulsed waveform
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US20220006015A1 (en) * 2020-07-03 2022-01-06 Samsung Display Co., Ltd. Apparatus and method of manufacturing display apparatus
US11462388B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Plasma processing assembly using pulsed-voltage and radio-frequency power
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11776789B2 (en) 2020-07-31 2023-10-03 Applied Materials, Inc. Plasma processing assembly using pulsed-voltage and radio-frequency power
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11887813B2 (en) 2021-06-23 2024-01-30 Applied Materials, Inc. Pulsed voltage source for plasma processing
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Also Published As

Publication number Publication date
US6232236B1 (en) 2001-05-15

Similar Documents

Publication Publication Date Title
US6232236B1 (en) Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
US7164236B2 (en) Method and apparatus for improved plasma processing uniformity
EP1166323B1 (en) Method and apparatus for compensating non-uniform wafer processing in plasma processing
KR100274757B1 (en) Plasma treatment apparatus and plasma treatment method
US6213050B1 (en) Enhanced plasma mode and computer system for plasma immersion ion implantation
EP0035565B1 (en) Methods and apparatus for generating plasmas
KR100498584B1 (en) Plasma Treatment Equipment and Plasma Treatment Methods
KR100447583B1 (en) Plasma processing system and method for processing substrates using the system
JP3411539B2 (en) Plasma processing apparatus and plasma processing method
KR101083624B1 (en) Segmented radio frequency electrode apparatus and method for uniformity control
EP0653775B1 (en) Microwave plasma processing apparatus and method
US6339206B1 (en) Apparatus and method for adjusting density distribution of a plasma
JP3150058B2 (en) Plasma processing apparatus and plasma processing method
US20040168771A1 (en) Plasma reactor coil magnet
JPH08264515A (en) Plasma treatment device, processing device and etching device
JP2000150485A (en) Device and method for plasma treatment
CN111183504B (en) Superlocal and plasma uniformity control in manufacturing processes
JP2004047695A (en) Method and apparatus for plasma doping
JPH1074738A (en) Wafer treating device
JPH09115882A (en) Plasma treating method and apparatus therefor
JP3372244B2 (en) Plasma processing equipment
JPH10510389A (en) Plasma reactor and method of operating the same
JPH07122543A (en) Control method for plasma etching system
JPH07122398A (en) Plasma treatment apparatus
JPH07122399A (en) Plasma treatment apparatus and its controlling method

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION