US20010011913A1 - Apparatus and method for generating a clock within a semiconductor device and devices and systems including same - Google Patents

Apparatus and method for generating a clock within a semiconductor device and devices and systems including same Download PDF

Info

Publication number
US20010011913A1
US20010011913A1 US09/747,568 US74756800A US2001011913A1 US 20010011913 A1 US20010011913 A1 US 20010011913A1 US 74756800 A US74756800 A US 74756800A US 2001011913 A1 US2001011913 A1 US 2001011913A1
Authority
US
United States
Prior art keywords
output
operably coupled
clock signal
latch
input
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/747,568
Other versions
US6445644B2 (en
Inventor
Joseph Sher
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Round Rock Research LLC
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/081,983 external-priority patent/US6169704B1/en
Application filed by Individual filed Critical Individual
Priority to US09/747,568 priority Critical patent/US6445644B2/en
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SHER, JOSEPH C.
Publication of US20010011913A1 publication Critical patent/US20010011913A1/en
Application granted granted Critical
Publication of US6445644B2 publication Critical patent/US6445644B2/en
Assigned to ROUND ROCK RESEARCH, LLC reassignment ROUND ROCK RESEARCH, LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MICRON TECHNOLOGY, INC.
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
    • G11C7/1072Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers for memories with random access ports synchronised on clock signal pulse trains, e.g. synchronous memories, self timed memories
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/4063Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing
    • G11C11/407Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing for memory cells of the field-effect type
    • G11C11/4076Timing circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/22Read-write [R-W] timing or clocking circuits; Read-write [R-W] control signal generators or management 
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/22Read-write [R-W] timing or clocking circuits; Read-write [R-W] control signal generators or management 
    • G11C7/222Clock generating, synchronizing or distributing circuits within memory device
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/04Arrangements for writing information into, or reading information out from, a digital store with means for avoiding disturbances due to temperature effects

Definitions

  • This invention relates in general to semiconductor devices, such as semiconductor memory devices, and, more specifically, to devices and methods for generating a clock within a semiconductor device, such as an internal clock for a Synchronous Dynamic Random Access Memory (SDRAM).
  • SDRAM Synchronous Dynamic Random Access Memory
  • shared clocks are used to latch signals in and out of the SDRAM and to time a wide variety of other internal operations. Since signals appearing at the inputs of the typical SDRAM take some time to rise or fall to their maximum or minimum potential, the shared clocks used by the SDRAM typically have to be delayed within the SDRAM before being used to latch input signals. This delay time is selected to allow the input signals sufficient time to stabilize at the inputs to the SDRAM.
  • the circuit used to delay a shared clock within an SDRAM is typically referred to as a “clock generator” or simply as a “generator.”
  • a clock generator or simply as a “generator.”
  • FIG. 1 One such prior art generator 10 is shown in FIG. 1. As shown, the generator 10 includes an inverting input buffer 12 , an inverting latch 14 , a clock rising edge path 16 , a clock falling edge path 18 , and a switching circuit 29 .
  • a low external clock XCLK provided to an SDRAM causes the input buffer 12 to output a high.
  • an inverter 20 makes the inputs to a NAND gate 22 complementary, which causes the NAND gate 22 to output a high.
  • the highs from the input buffer 12 and the NAND gate 22 cause another NAND gate 24 to output a low which, in turn, causes an inverter 26 to output a high, an inverter 28 to output a low, a NAND gate 30 to output a high, and an inverter 32 to output a low SDRAM internal clock CLKA.
  • the low output from the NAND gate 24 also causes the inverter 20 to output a high which, in turn, causes a NOR gate 34 to output a low, an inverter 36 to output a high, an inverter 38 to output a low, an inverter 40 to output a high, and an inverter 42 to output a low.
  • the rising edge path 16 responds by causing the switching circuit 29 to pulse the internal clock CLKA high after a brief delay
  • the falling edge path 18 responds by later causing the switching circuit 29 to pull the internal clock CLKA low after a slightly longer delay. This provides a clock pulse on the internal clock CLKA for each pulse on the external clock XCLK.
  • the input buffer 12 outputs a low in response to the high external clock XCLK which, in turn, causes the NAND gate 24 to output a high, the inverter 26 to output a low, and the inverter 28 to output a high.
  • the highs from the inverters 28 and 40 then cause the NAND gate 30 to output a low, which causes the inverter 32 to pulse the SDRAM internal clock CLKA high as described above.
  • the high output by the NAND gate 24 causes the inverter 20 to output a low which, after propagating through several delay elements 44 , causes the NOR gate 34 to output a high, the inverter 36 to output a low, the inverter 38 to output a high, the inverter 40 to output a low, and the inverter 42 to output a high.
  • the low from the inverter 40 causes the NAND gate 30 to output a high which, in turn, causes the inverter 32 to pull the internal clock CLKA low.
  • the high output by the inverter 42 activates a pull-down NMOS transistor 46 which helps the inverter 32 pull the internal clock CLKA low.
  • the delay time ( ⁇ t f ) associated with the input buffer 12 , the latch 14 , the falling edge path 18 , and the switching circuit 29 is greater than the delay time ( ⁇ t r ) associated with the rising edge path 16 , which allows the falling edge path 18 to pull the internal clock CLKA low after the rising edge path 16 pulses the internal clock CLKA high, thereby creating a delayed pulse on the internal clock CLKA for each pulse on the external clock XCLK.
  • the delay ⁇ t f associated with the falling edge path 18 can decrease just enough, and the delay ⁇ t r associated with the rising edge path 16 can increase just enough, that the falling edge path 18 pulls the internal clock CLKA low too soon after the rising edge path 16 pulses the internal clock CLKA high, or even before the rising edge path 16 pulses the internal clock CLKA high. in response to the external clock XCLK pulsing high.
  • the generator 10 outputs an unrecognizable internal clock CLKA. This occurs because process variations between the various components that make up the two paths 16 and 18 can cause these paths 16 and 18 to respond differently to temperature and supply voltage variations.
  • the method and apparatus of the present invention utilizes a latch, a switching circuit and a feedback path, where the switching circuit is interposed between the latch and the feedback path.
  • the latch element takes the leading edge of the input clock signal and presents that signal on its output.
  • the switching circuit drives the output clock signal leading edge based on the latch output.
  • the feedback path couples and selectively delays the output clock signal back to the latch and the switching circuit, which in turn, drive the trailing edge of the output clock signal.
  • the trailing edge of the output clock signal will not occur unless the leading edge of the output signal has occurred first.
  • the latch and the switching circuit are returned to their initial states. Once the initial states have been reset, the clock generator circuit is ready for the next input clock signal.
  • the clock generator of the present invention provides an internal clock pulse that corresponds to each pulse on an external clock.
  • the internal clock pulse may be recognizable under even the most extreme temperature and/or supply voltage variations because the clock generator does not drive the internal clock low until it first senses, through feedback, that the internal clock has been driven high.
  • the clock generator of the present invention is suitable for memory circuits, pulse generators, Address Transition Detection circuitry, and any other circuit or electronic system in which a stable output pulse is to be generated from an input pulse signal.
  • the inventive clock generator can be fabricated using conventional techniques on any suitable substrate.
  • FIG. 1 is a circuit schematic illustrating a conventional clock generator.
  • FIG. 2 is a circuit schematic illustrating a clock generator in accordance with this invention.
  • FIG. 3 is a timing diagram illustrating the operation of the clock generator of FIG. 2.
  • FIG. 4 is a block diagram illustrating an electronic system that includes a memory device incorporating a memory controller and a Synchronous Dynamic Random Access Memory (SDRAM) that includes the clock generator of FIG. 2.
  • SDRAM Synchronous Dynamic Random Access Memory
  • FIG. 5 is a diagram illustrating a semiconductor wafer on which the clock generator of FIG. 2 is fabricated.
  • FIG. 6 is a circuit schematic illustrating another embodiment of a clock generator in accordance with this invention.
  • FIG. 7 is a timing diagram illustrating the operation of the clock generator of FIG. 6.
  • FIG. 8 is a circuit schematic illustrating yet another embodiment of a clock generator in accordance with this invention.
  • FIG. 9 is a timing diagram illustrating the operation of the clock generator of FIG. 8.
  • an inventive SDRAM clock generator 1 receives a low external clock XCLK, which causes an inverting input buffer 3 to output a high clock X*.
  • DRAM dynamic random access memory
  • DDR SDRAM double data rate SDRAM
  • RDRAM® RAMBUS® DRAM
  • EEO DRAM extended data-out DRAM
  • FPM DRAM fast-page-mode DRAM
  • SRAM static random access memory
  • SyncBurstTM SRAM Zero Bus TurnaroundTM SRAM (SBTTM SRAM), Quad Data RateTM SRAM (QDRTM SRAM), DDR synchronous SRAM (DDR SRAM) and nonvolatile electrically block-erasable programmable read only memory (Flash).
  • FIG. 2 may be used in a wide variety of signal delay applications as a delay element or buffer and, in particular, may be adapted for use as a signal generator in an Address Transition Detection (ATD) circuit in a semiconductor memory.
  • ATD Address Transition Detection
  • the terms “power”, “power source”, “high voltage potential” and “Vdd” may be used interchangeably herein and refer to a “higher” voltage power source which is logically “high”, “true” or “1”.
  • the terms “ground”, “ground potential”, “GND” and “Vss” may be used interchangeably herein and refer to “lower” voltage source which is logically “low”, “false” or “0”.
  • the generator 1 cannot maintain its output—an internal SDRAM clock CLKY—in a high state for an extended period of time. Rather, the generator 1 is only able to pulse the clock CLKY high for brief periods of time before the clock CLKY is pulled low again. Therefore, in steady state, the internal SDRAM clock CIKY is low.
  • the clock CLKY is low in steady state
  • the input Y to an inverter 13 , and the output Y of a NAND gate 11 is high which, in combination with the high clock X*, causes a NAND gate 5 to output a low at its output A, thereby turning on a PMOS transistor 7 and pulling a node D high.
  • the high on the node D causes an inverter 17 to output a low which, in turn, turns on a PMOS transistor 15 , which then helps maintain the high on the node D.
  • the low clock CLKY causes an inverter 21 to output a high which, in turn, causes an inverter 25 to output a low at its output C.
  • the input buffer 3 When the external clock XCLK transitions high at a time t 1 (see FIG. 3), the input buffer 3 outputs a low clock X*, thereby causing the output A of the NAND gate 5 to go high. In combination with the high on node D, the high at the output A causes the NAND gate 11 to output a low at its output Y which, in turn, causes the inverter 13 to pulse the clock CLKY high.
  • the high clock CLKY causes the inverter 21 to output a low which, after being delayed by a delay element 23 , causes the inverter 25 to output a high at its output C at a time t 2 (see FIG. 3), thereby turning on an NMOS transistor 27 .
  • the high output A of the NAND gate 5 has the PMOS transistor 7 turned off and an NMOS transistor 9 turned on, so that node D is pulled low through NMOS transistors 9 and 27 .
  • the inverter 17 When the node D transitions low, the inverter 17 outputs a high which turns the PMOS transistor 15 off and turns an NMOS transistor 19 on, thereby pulling the clock CLKY low. At the same time, the transition of the node D low causes the output Y of the NAND gate 11 to go high., thereby causing the inverter 13 to assist the clock CLKY in transitioning low. The transition of the clock CLKY low causes the inverter 21 to output a high which, after the delay caused by the delay element 23 , causes the inverter 25 to output a low at its output C at a time t 3 (see FIG. 3), thereby turning the NMOS transistor 27 off.
  • the NAND gates 5 and 11 may be referred to collectively as a “latch” or a “Set-Reset (SR) flip-flop” 45
  • the inverters 13 and 17 and the transistors 15 and 19 may be referred to collectively as a “switching circuit 47 ”
  • the transistors 7 , 9 , and 27 , the inverters 21 and 25 , and the delay element 23 may be referred to collectively as a “falling edge feedback path” 49
  • the inverter 13 may be referred to individually as a “delay element” or “delay path.”
  • additional delay elements may be added to the clock generator 1 , such as between the latch 45 and its input to the switching circuit 47 , to increase the delay time between transitions of the clocks XCLK and CLKY
  • “leading” edge is synonymous with “rising” edge
  • “trailing” edge is synonymous with “falling” edge.
  • the inventive clock generator thus provides an internal clock pulse that corresponds to each pulse on an external clock.
  • the internal clock pulse is recognizable under even the most extreme temperature and supply voltage variations because the clock generator does not drive the internal clock low until it first senses through feedback that the internal clock has been driven high.
  • the invention therefore avoids the problems associated with the conventional generator previously described.
  • the inventive clock generator includes fewer circuit elements than the conventional clock generator previously described, and is therefore more power efficient and requires less die “real estate.”
  • an electronic system 50 includes an input device 52 , an output device 54 , a processor device 56 , and a memory device 58 that includes a memory controller 60 interacting with an SDRAM 62 incorporating the clock generator 1 of FIG. 2.
  • the clock generator 1 may be incorporated into any one of the input, output, processor, and memory devices 52 , 54 , 56 , and 58 .
  • the clock generator 1 of FIG. 2 is fabricated on the surface of a semiconductor wafer 64 .
  • the inventive clock generator 1 may be fabricated on other semiconductor substrates, as well, including, for example, Silicon-on-Glass (SOG) substrates, Silicon-on-Insulator (SOI) substrates, and Silicon-on-Sapphire (SOS) substrates.
  • Silicon is one preferred semiconductor material, although gallium arsenide and indium phosphide substrates may also be employed.
  • FIG. 6 is a circuit diagram of another embodiment of a clock generator 600 in accordance with the present invention.
  • FIG. 7 is a timing diagram associated with the clock generator 600 of FIG. 6.
  • the clock generator 600 shown in FIG. 6 is identical to the clock generator 1 in FIG. 1 except that inverting input buffer 3 has been removed.
  • FIG. 7 illustrates timing for input signal in 1 , nodes al, b 1 , c 1 , d 1 , e 1 , f 1 , g 1 and output signal out 1 , as referenced on the schematic of clock generator 600 in FIG. 6.
  • clock generator 600 operates in a manner analogous to clock generator 1 , except that the input signal inl is not inverted with an inverting input buffer, no further explanation is necessary for one of ordinary skill in the art.
  • One advantage of clock generator 600 versus the SDRAM clock generator 1 is the reduced component count by not including the inverting input buffer 3 .
  • FIG. 8 is circuit diagram of yet another embodiment of a clock generator 800 in accordance with the present invention.
  • FIG. 9 is a timing diagram associated with the clock generator 800 of FIG. 8.
  • Clock generator 800 includes NAND gate 808 , inverters 810 , 814 , 818 and 822 , NMOS transistors 816 , 824 and 826 , and PMOS transistors 812 and 828 .
  • inverters 810 and 814 , PMOS transistor 812 and NMOS transistor 816 may be referred to as “switching circuit 802 ”.
  • inverters 818 and 822 , delay element 820 , NMOS transistors 824 and 826 , and PMOS transistor 828 may be collectively referred to as “feedback path 804 ”.
  • NAND gate 808 is connected at a first input to input signal, in 2 , and at a second input to node e 2 .
  • the output of NAND gate 808 also referred to as node a 2 , is connected to the input of inverter 810 .
  • the output of inverter 810 is also referred to as output signal out 2 .
  • Output signal out 2 is connected to the input of inverter 818 .
  • the output of inverter 818 is also referred to as node b 2 , which in turn, is connected to the input of delay element 820 .
  • the output of delay element is referred to as node c 2 , which in turn, is connected to the input of inverter 822 .
  • the output of inverter 822 is referred to as node d 2 , which is connected to the gate of NMOS transistor 824 .
  • the source of NMOS transistor 824 is connected to ground potential.
  • the drain of NMOS transistor 824 is connected to the source of NMOS transistor 826 .
  • the drain of NMOS transistor 826 is referred to as node e 2 and connected to the drain of PMOS transistor 828 .
  • the source of PMOS transistor 828 is connected to a high voltage potential, or Vdd.
  • the gates of both PMOS transistor 828 and NMOS transistor 826 are connected to input signal in 2 .
  • Node e 2 is connected to the input of inverter 814 .
  • the output of inverter 814 is node f 2 and is also connected to the gate of NMOS transistor 816 .
  • the source of NMOS transistor 816 is connected to ground potential.
  • the drain of NMOS transistor 816 is connected to output signal out 2 .
  • Node f 2 is connected to the gate of PMOS transistor 812 .
  • the source of PMOS transistor 812 is connected to a high voltage potential, or Vdd.
  • the drain of PMOS transistor 812 is connected to node e 2 .
  • the transition of output signal out 2 to a high state propagates through feedback path 804 .
  • a high on the input to inverter 818 drives node b 2 low at time t 8 .
  • the falling edge transition on node b 2 propagates through delay element 820 at time t 9 , see node c 2 in FIG. 9.
  • the time delay through delay element 820 may be a programmable delay element.
  • a programmable delay element may be include poly fuses, anti-fuses or any other kind of electrically fusible link to allow for a variable duty cycle (or pulse width) on the output signal out 2 .
  • Delay element 23 of FIGS. 2 and 6 may also be programmable. The selection of a particular kind of electrically fusible link for a programmable delay element is within the knowledge of one of ordinary skill in the art, and thus, will not be further detailed herein.
  • node c 2 propagates through inverter 822 as a rising edge on node d 2 at time t 10 , which consequently, turns on NMOS transistor 824 . With both NMOS transistors 824 and 826 turned on, node e 2 is pulled low to ground potential at time t 11 .
  • the low input (node e 2 ) to inverter 814 drives node f 2 high at time t 12 , turning off PMOS transistor 812 and also turning on NMOS transistor 816 , and consequently pulling output signal out 2 to ground potential at time t 13 .
  • a falling edge on input signal in 2 at time t 14 , turns on PMOS transistor 828 and turns off NMOS transistor 826 , and consequently pulling node e 2 to a high state at time t 15 .
  • the high state of node e 2 causes the output of inverter 814 (node f 2 ) to fall low at time t 16 , completing the cycle and bringing the clock generator 800 to the initial steady state (the same as at time t 5 ).
  • Clock generator 800 has two fewer components (i.e., the inverting input buffer 3 and NAND gate 5 ) relative to the SDRAM clock generator 1 .
  • another advantage of clock generator 800 versus clock generator 600 is the lack of NAND gate 5 , for a reduced component count. By reducing the number of components, less die space is consumed, ultimately resulting in reduced cost of the integrated circuit for which the inventive clock generators 1 , 600 and 800 are used.

Abstract

A clock generator which provides a stable, programmable pulse width output clock signal based on an input clock signal. The clock generator provides a leading edge of an output clock signal in response to a leading edge of an input clock signal. The trailing edge of the output clock signal is conditioned on feedback of the leading edge output clock signal with the trailing edge of the input signal.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This application is a continuation-in-part of application Ser. No. 09/081,983, filed May 20, 1998, pending. [0001]
  • BACKGROUND OF THE INVENTION
  • 1. Technical Field [0002]
  • This invention relates in general to semiconductor devices, such as semiconductor memory devices, and, more specifically, to devices and methods for generating a clock within a semiconductor device, such as an internal clock for a Synchronous Dynamic Random Access Memory (SDRAM). [0003]
  • 2. State of the Art [0004]
  • Most conventional DRAMs have an asynchronous timing relationship with the electronic components they interact with. The recently popular SDRAMs, on the other hand, are generally synchronized with the electronic components they interact with through the use of one or more shared clocks. [0005]
  • Within a typical SI)RAM, shared clocks are used to latch signals in and out of the SDRAM and to time a wide variety of other internal operations. Since signals appearing at the inputs of the typical SDRAM take some time to rise or fall to their maximum or minimum potential, the shared clocks used by the SDRAM typically have to be delayed within the SDRAM before being used to latch input signals. This delay time is selected to allow the input signals sufficient time to stabilize at the inputs to the SDRAM. [0006]
  • The circuit used to delay a shared clock within an SDRAM is typically referred to as a “clock generator” or simply as a “generator.” One such [0007] prior art generator 10 is shown in FIG. 1. As shown, the generator 10 includes an inverting input buffer 12, an inverting latch 14, a clock rising edge path 16, a clock falling edge path 18, and a switching circuit 29.
  • In a steady state of the [0008] generator 10, a low external clock XCLK provided to an SDRAM (not shown) causes the input buffer 12 to output a high. At the same time, an inverter 20 makes the inputs to a NAND gate 22 complementary, which causes the NAND gate 22 to output a high. The highs from the input buffer 12 and the NAND gate 22 cause another NAND gate 24 to output a low which, in turn, causes an inverter 26 to output a high, an inverter 28 to output a low, a NAND gate 30 to output a high, and an inverter 32 to output a low SDRAM internal clock CLKA. The low output from the NAND gate 24 also causes the inverter 20 to output a high which, in turn, causes a NOR gate 34 to output a low, an inverter 36 to output a high, an inverter 38 to output a low, an inverter 40 to output a high, and an inverter 42 to output a low.
  • In general, when the external clock XCLK pulses high, the rising [0009] edge path 16 responds by causing the switching circuit 29 to pulse the internal clock CLKA high after a brief delay, and the falling edge path 18 responds by later causing the switching circuit 29 to pull the internal clock CLKA low after a slightly longer delay. This provides a clock pulse on the internal clock CLKA for each pulse on the external clock XCLK.
  • More specifically, ithe [0010] input buffer 12 outputs a low in response to the high external clock XCLK which, in turn, causes the NAND gate 24 to output a high, the inverter 26 to output a low, and the inverter 28 to output a high. The highs from the inverters 28 and 40 then cause the NAND gate 30 to output a low, which causes the inverter 32 to pulse the SDRAM internal clock CLKA high as described above. The delay time (Atr) between the rising edge of the external clock XCLK and the rising edge of the SDRAM internal clock CIKA, caused by delays associated with the input buffer 12, the latch 14, the clock rising edge path 16, and the switching circuit 29, gives input signals provided to the SDRAM time to develop at the inputs of the SDRAM before being latched into the SDRAM by the SDRAM internal clock CLKA.
  • Also, while the [0011] switching circuit 29 is pulsing the internal clock CLKA high, the high output by the NAND gate 24 causes the inverter 20 to output a low which, after propagating through several delay elements 44, causes the NOR gate 34 to output a high, the inverter 36 to output a low, the inverter 38 to output a high, the inverter 40 to output a low, and the inverter 42 to output a high. The low from the inverter 40 causes the NAND gate 30 to output a high which, in turn, causes the inverter 32 to pull the internal clock CLKA low. At the same time, the high output by the inverter 42 activates a pull-down NMOS transistor 46 which helps the inverter 32 pull the internal clock CLKA low. The delay time (Δtf) associated with the input buffer 12, the latch 14, the falling edge path 18, and the switching circuit 29 is greater than the delay time (Δtr) associated with the rising edge path 16, which allows the falling edge path 18 to pull the internal clock CLKA low after the rising edge path 16 pulses the internal clock CLKA high, thereby creating a delayed pulse on the internal clock CLKA for each pulse on the external clock XCLK.
  • Unfortunately, under certain extreme temperature and/or supply voltage conditions, the delay Δt[0012] f associated with the falling edge path 18 can decrease just enough, and the delay Δtr associated with the rising edge path 16 can increase just enough, that the falling edge path 18 pulls the internal clock CLKA low too soon after the rising edge path 16 pulses the internal clock CLKA high, or even before the rising edge path 16 pulses the internal clock CLKA high. in response to the external clock XCLK pulsing high. As a result, the generator 10 outputs an unrecognizable internal clock CLKA. This occurs because process variations between the various components that make up the two paths 16 and 18 can cause these paths 16 and 18 to respond differently to temperature and supply voltage variations.
  • Therefore, there is a need in the art for an improved clock generator that produces a recognizable internal clock even under such extreme temperature and supply voltage variations. [0013]
  • BRIEF SUMMARY OF THE INVENTION
  • To overcome the problem of generating a stable and recognizable internal clock signal under extreme operating conditions of temperature and/or supply voltage, the method and apparatus of the present invention utilizes a latch, a switching circuit and a feedback path, where the switching circuit is interposed between the latch and the feedback path. [0014]
  • In the leading edge phase of the input clock signal, the latch element takes the leading edge of the input clock signal and presents that signal on its output. The switching circuit drives the output clock signal leading edge based on the latch output. The feedback path couples and selectively delays the output clock signal back to the latch and the switching circuit, which in turn, drive the trailing edge of the output clock signal. The trailing edge of the output clock signal will not occur unless the leading edge of the output signal has occurred first. During the trailing edge phase of the input clock signal, the latch and the switching circuit are returned to their initial states. Once the initial states have been reset, the clock generator circuit is ready for the next input clock signal. [0015]
  • The clock generator of the present invention provides an internal clock pulse that corresponds to each pulse on an external clock. The internal clock pulse may be recognizable under even the most extreme temperature and/or supply voltage variations because the clock generator does not drive the internal clock low until it first senses, through feedback, that the internal clock has been driven high. [0016]
  • The clock generator of the present invention is suitable for memory circuits, pulse generators, Address Transition Detection circuitry, and any other circuit or electronic system in which a stable output pulse is to be generated from an input pulse signal. The inventive clock generator can be fabricated using conventional techniques on any suitable substrate. These and other embodiments and advantages of the invention will be readily understood by reading the following detailed description in conjunction with the accompanying figures of the drawings. [0017]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • In the drawings, which illustrate what is currently regarded as the best mode for carrying out the invention and in which like reference numerals refer to like parts in different views or embodiments: [0018]
  • FIG. 1 is a circuit schematic illustrating a conventional clock generator. [0019]
  • FIG. 2 is a circuit schematic illustrating a clock generator in accordance with this invention. [0020]
  • FIG. 3 is a timing diagram illustrating the operation of the clock generator of FIG. 2. [0021]
  • FIG. 4 is a block diagram illustrating an electronic system that includes a memory device incorporating a memory controller and a Synchronous Dynamic Random Access Memory (SDRAM) that includes the clock generator of FIG. 2. [0022]
  • FIG. 5 is a diagram illustrating a semiconductor wafer on which the clock generator of FIG. 2 is fabricated. [0023]
  • FIG. 6 is a circuit schematic illustrating another embodiment of a clock generator in accordance with this invention. [0024]
  • FIG. 7 is a timing diagram illustrating the operation of the clock generator of FIG. 6. [0025]
  • FIG. 8 is a circuit schematic illustrating yet another embodiment of a clock generator in accordance with this invention. [0026]
  • FIG. 9 is a timing diagram illustrating the operation of the clock generator of FIG. 8. [0027]
  • DETAILED DESCRIPTION OF THE INVENTION
  • Referring to FIGS. 2 and 3, in a steady state at a time to, an inventive [0028] SDRAM clock generator 1 receives a low external clock XCLK, which causes an inverting input buffer 3 to output a high clock X*. Although this invention will be described in connection with an SDRAM, it will be understood by those having ordinary skill in the technical field of this invention that the invention is applicable to a wide variety of other semiconductor devices, including conventional memory device architectures such as dynamic random access memory (DRAM), double data rate SDRAM (DDR SDRAM), RAMBUS® DRAM (RDRAM®), extended data-out DRAM (EDO DRAM), fast-page-mode DRAM (FPM DRAM), static random access memory (SRAM), SyncBurst™ SRAM, Zero Bus Turnaround™ SRAM (SBT™ SRAM), Quad Data Rate™ SRAM (QDR™ SRAM), DDR synchronous SRAM (DDR SRAM) and nonvolatile electrically block-erasable programmable read only memory (Flash). Also, it will be understood that the circuit disclosed in FIG. 2 may be used in a wide variety of signal delay applications as a delay element or buffer and, in particular, may be adapted for use as a signal generator in an Address Transition Detection (ATD) circuit in a semiconductor memory. The terms “power”, “power source”, “high voltage potential” and “Vdd” may be used interchangeably herein and refer to a “higher” voltage power source which is logically “high”, “true” or “1”. The terms “ground”, “ground potential”, “GND” and “Vss” may be used interchangeably herein and refer to “lower” voltage source which is logically “low”, “false” or “0”.
  • As will be described in more detail below, by design, the [0029] generator 1 cannot maintain its output—an internal SDRAM clock CLKY—in a high state for an extended period of time. Rather, the generator 1 is only able to pulse the clock CLKY high for brief periods of time before the clock CLKY is pulled low again. Therefore, in steady state, the internal SDRAM clock CIKY is low.
  • Accordingly, because the clock CLKY is low in steady state, the input Y to an [0030] inverter 13, and the output Y of a NAND gate 11, is high which, in combination with the high clock X*, causes a NAND gate 5 to output a low at its output A, thereby turning on a PMOS transistor 7 and pulling a node D high. The high on the node D causes an inverter 17 to output a low which, in turn, turns on a PMOS transistor 15, which then helps maintain the high on the node D. Also, the low clock CLKY causes an inverter 21 to output a high which, in turn, causes an inverter 25 to output a low at its output C.
  • When the external clock XCLK transitions high at a time t[0031] 1 (see FIG. 3), the input buffer 3 outputs a low clock X*, thereby causing the output A of the NAND gate 5 to go high. In combination with the high on node D, the high at the output A causes the NAND gate 11 to output a low at its output Y which, in turn, causes the inverter 13 to pulse the clock CLKY high.
  • The high clock CLKY causes the [0032] inverter 21 to output a low which, after being delayed by a delay element 23, causes the inverter 25 to output a high at its output C at a time t2 (see FIG. 3), thereby turning on an NMOS transistor 27. At the same time, the high output A of the NAND gate 5 has the PMOS transistor 7 turned off and an NMOS transistor 9 turned on, so that node D is pulled low through NMOS transistors 9 and 27.
  • When the node D transitions low, the [0033] inverter 17 outputs a high which turns the PMOS transistor 15 off and turns an NMOS transistor 19 on, thereby pulling the clock CLKY low. At the same time, the transition of the node D low causes the output Y of the NAND gate 11 to go high., thereby causing the inverter 13 to assist the clock CLKY in transitioning low. The transition of the clock CLKY low causes the inverter 21 to output a high which, after the delay caused by the delay element 23, causes the inverter 25 to output a low at its output C at a time t3 (see FIG. 3), thereby turning the NMOS transistor 27 off.
  • No further signal changes occur until the external clock XCLK transitions back to low at a time t[0034] 4 (see FIG. 3), thereby causing the input buffer 3 to drive the clock X* high. The high clock X* drives the output A of the NAND gate 5 low which, in turn, turns on the PMOS transistor 7 and turns off the NMOS transistor 9, thus pulling the node D high through the PMOS transistor 7. At this point, a complete clock cycle has taken place and the generator 1 has returned to its steady state condition previously described.
  • It should be understood that the [0035] NAND gates 5 and 11 may be referred to collectively as a “latch” or a “Set-Reset (SR) flip-flop” 45, that the inverters 13 and 17 and the transistors 15 and 19 may be referred to collectively as a “switching circuit 47,” that the transistors 7, 9, and 27, the inverters 21 and 25, and the delay element 23 may be referred to collectively as a “falling edge feedback path” 49, and that the inverter 13 may be referred to individually as a “delay element” or “delay path.” It should also be understood that additional delay elements may be added to the clock generator 1, such as between the latch 45 and its input to the switching circuit 47, to increase the delay time between transitions of the clocks XCLK and CLKY Further, it should be understood that, as used herein, “leading” edge is synonymous with “rising” edge, and “trailing” edge is synonymous with “falling” edge. However, if either of the clocks CLKY and XCLK was a low-pulsing signal rather than the high-pulsing signals shown in FIG. 3, then, with respect to that low-pulsing signal, “leading” edge would be synonymous with “falling” edge, and “trailing” edge would by synonymous with “rising” edge.
  • The inventive clock generator thus provides an internal clock pulse that corresponds to each pulse on an external clock. The internal clock pulse is recognizable under even the most extreme temperature and supply voltage variations because the clock generator does not drive the internal clock low until it first senses through feedback that the internal clock has been driven high. The invention therefore avoids the problems associated with the conventional generator previously described. It should also be noted that the inventive clock generator includes fewer circuit elements than the conventional clock generator previously described, and is therefore more power efficient and requires less die “real estate.”[0036]
  • Referring to FIG. 4, an [0037] electronic system 50 includes an input device 52, an output device 54, a processor device 56, and a memory device 58 that includes a memory controller 60 interacting with an SDRAM 62 incorporating the clock generator 1 of FIG. 2. Of course, it will be understood that the clock generator 1 may be incorporated into any one of the input, output, processor, and memory devices 52, 54, 56, and 58.
  • Referring to FIG. 5, the [0038] clock generator 1 of FIG. 2 is fabricated on the surface of a semiconductor wafer 64. Of course, it will be understood that the inventive clock generator 1 may be fabricated on other semiconductor substrates, as well, including, for example, Silicon-on-Glass (SOG) substrates, Silicon-on-Insulator (SOI) substrates, and Silicon-on-Sapphire (SOS) substrates. Silicon is one preferred semiconductor material, although gallium arsenide and indium phosphide substrates may also be employed.
  • FIG. 6 is a circuit diagram of another embodiment of a clock generator [0039] 600 in accordance with the present invention. FIG. 7 is a timing diagram associated with the clock generator 600 of FIG. 6. The clock generator 600 shown in FIG. 6 is identical to the clock generator 1 in FIG. 1 except that inverting input buffer 3 has been removed. FIG. 7 illustrates timing for input signal in1, nodes al, b1, c1, d1, e1, f1, g1 and output signal out1, as referenced on the schematic of clock generator 600 in FIG. 6. As clock generator 600 operates in a manner analogous to clock generator 1, except that the input signal inl is not inverted with an inverting input buffer, no further explanation is necessary for one of ordinary skill in the art. One advantage of clock generator 600 versus the SDRAM clock generator 1 is the reduced component count by not including the inverting input buffer 3.
  • FIG. 8 is circuit diagram of yet another embodiment of a [0040] clock generator 800 in accordance with the present invention. FIG. 9 is a timing diagram associated with the clock generator 800 of FIG. 8. Clock generator 800 includes NAND gate 808, inverters 810, 814, 818 and 822, NMOS transistors 816, 824 and 826, and PMOS transistors 812 and 828. Collectively, inverters 810 and 814, PMOS transistor 812 and NMOS transistor 816 may be referred to as “switching circuit 802”. Additionally, inverters 818 and 822, delay element 820, NMOS transistors 824 and 826, and PMOS transistor 828 may be collectively referred to as “feedback path 804”.
  • [0041] NAND gate 808 is connected at a first input to input signal, in2, and at a second input to node e2. The output of NAND gate 808, also referred to as node a2, is connected to the input of inverter 810. The output of inverter 810 is also referred to as output signal out2. Output signal out2 is connected to the input of inverter 818. The output of inverter 818 is also referred to as node b2, which in turn, is connected to the input of delay element 820. The output of delay element is referred to as node c2, which in turn, is connected to the input of inverter 822. The output of inverter 822 is referred to as node d2, which is connected to the gate of NMOS transistor 824. The source of NMOS transistor 824 is connected to ground potential. The drain of NMOS transistor 824 is connected to the source of NMOS transistor 826. The drain of NMOS transistor 826 is referred to as node e2 and connected to the drain of PMOS transistor 828. The source of PMOS transistor 828 is connected to a high voltage potential, or Vdd. The gates of both PMOS transistor 828 and NMOS transistor 826 are connected to input signal in2.
  • Node e[0042] 2 is connected to the input of inverter 814. The output of inverter 814 is node f2 and is also connected to the gate of NMOS transistor 816. The source of NMOS transistor 816 is connected to ground potential. The drain of NMOS transistor 816 is connected to output signal out2. Node f2 is connected to the gate of PMOS transistor 812. The source of PMOS transistor 812 is connected to a high voltage potential, or Vdd. The drain of PMOS transistor 812 is connected to node e2.
  • Referring to FIGS. 8 and 9, at steady state during time t[0043] 5, input signal in2, nodes d2 and f2 and output signal out2 are low, nodes a2, b2, c2 and e2 are high, transistors 816, 824 and 826 are off and transistors 812 and 828 are on. At time t6, input signal in1, goes high. With input signal in1 and node e2 both high, the output of NAND gate 808, or node a2, transitions low. A low on the input to inverter 810 drives output signal out2, to a high state at time t7.
  • As input signal in[0044] 2 goes high, PMOS transistor 828 turns off and NMOS transistor 826 turns on. However, node e2 continues to remain at a high state since PMOS transistor 812 is turned on pulling node e2 high and NMOS transistor 824 is off.
  • The transition of output signal out[0045] 2 to a high state propagates through feedback path 804. A high on the input to inverter 818 drives node b2 low at time t8. The falling edge transition on node b2 propagates through delay element 820 at time t9, see node c2 in FIG. 9. The time delay through delay element 820 may be a programmable delay element. A programmable delay element may be include poly fuses, anti-fuses or any other kind of electrically fusible link to allow for a variable duty cycle (or pulse width) on the output signal out2. Delay element 23 of FIGS. 2 and 6 may also be programmable. The selection of a particular kind of electrically fusible link for a programmable delay element is within the knowledge of one of ordinary skill in the art, and thus, will not be further detailed herein.
  • The falling edge of node c[0046] 2 propagates through inverter 822 as a rising edge on node d2 at time t10, which consequently, turns on NMOS transistor 824. With both NMOS transistors 824 and 826 turned on, node e2 is pulled low to ground potential at time t11. The low input (node e2) to inverter 814 drives node f2 high at time t12, turning off PMOS transistor 812 and also turning on NMOS transistor 816, and consequently pulling output signal out2 to ground potential at time t13. A falling edge on input signal in2, at time t14, turns on PMOS transistor 828 and turns off NMOS transistor 826, and consequently pulling node e2 to a high state at time t15. The high state of node e2 causes the output of inverter 814 (node f2) to fall low at time t16, completing the cycle and bringing the clock generator 800 to the initial steady state (the same as at time t5).
  • [0047] Clock generator 800 has two fewer components (i.e., the inverting input buffer 3 and NAND gate 5) relative to the SDRAM clock generator 1. Similarly, another advantage of clock generator 800 versus clock generator 600 is the lack of NAND gate 5, for a reduced component count. By reducing the number of components, less die space is consumed, ultimately resulting in reduced cost of the integrated circuit for which the inventive clock generators 1, 600 and 800 are used.
  • Although this invention has been described with reference to particular embodiments, the invention is not limited to these described embodiments. Rather, it should be understood that the embodiments described herein are merely exemplary and that a person skilled in the art may make many variations and modifications without departing from the spirit and scope of the invention. All such variations and modifications are intended to be included within the scope of the invention as defined in the appended claims. [0048]

Claims (36)

What is claimed is:
1. A clock generator comprising:
a latch for receiving an input clock signal;
a delay path operably coupled to the latch for propagating a leading pulse edge of the input clock signal to an input of the latch and outputting a corresponding leading pulse edge of an output clock signal, said delay path including a programmable delay element; and
a feedback path interposed between the delay path and the latch for returning the leading pulse edge of the output clock signal to the latch to reset the latch and cause the delay path to propagate and output a trailing pulse edge of the output clock signal.
2. The clock generator of
claim 1
, further comprising an inverting input buffer operably coupled to the latch for preconditioning the input clock signal for the latch.
3. The clock generator of
claim 1
wherein the latch comprises a Set-Reset (SR) flip-flop including a pair of NAND gates.
4. The clock generator of
claim 1
wherein the delay path comprises at least one inverter.
5. The clock generator of
claim 1
wherein the feedback path comprises:
a first inverter operably coupled to the delay path;
said programmable delay element operably coupled to an output of the first inverter;
a second inverter operably coupled to an output of the delay element; and
a first NMOS transistor with its gate operably coupled to an output of the second inverter, its source operably coupled to ground, and its drain operably coupled to the latch for returning the leading pulse edge of the output clock signal thereto.
6. A clock generator comprising:
a NAND gate for receiving an input clock signal;
an output switching circuit operably coupled to said NAND gate for outputting a leading pulse edge of an output clock signal in response to a leading pulse edge of an input clock signal; and
a feedback circuit interposed between said NAND gate and said output switching circuit for delaying said leading pulse edge of the output clock signal and selectively resetting said NAND gate in response to said delayed leading pulse edge of said output clock signal to propagate a trailing pulse edge of said output clock signal through said output switching circuit.
7. The clock generator of
claim 6
, wherein said output switching circuit comprises:
a first inverter with input operably coupled to a first input of said NAND gate;
a PMOS transistor with its source operably coupled to power, its drain operably coupled to said first input of said NAND gate, and its gate operably coupled to an output of the first inverter;
an NMOS transistor with its drain operably coupled to said output clock signal, its gate operably coupled to said first inverter output, and its source operably coupled to ground; and
a second inverter operably coupled to an output of said NAND gate and driving said output clock signal.
8. The clock generator of
claim 6
, wherein said feedback circuit comprises:
a first inverter operably coupled to said output clock signal;
a delay element operably coupled to an output of said first inverter;
a second inverter operably coupled to an output of said delay element; and
a first NMOS transistor with its gate operably coupled to an output of said second inverter, its source operably coupled to ground, and its drain operably coupled to said NAND gate for returning said leading pulse edge of said output clock signal thereto.
9. The clock generator of
claim 8
, further comprising:
a PMOS transistor with its source coupled to power and its gate operably coupled to said input clock signal; and
a second NMOS transistor with its drain operably coupled to a drain of said PMOS transistor and also operably coupled to an input of said NAND gate, a source of said second NMOS transistor operably coupled to said drain of said first NMOS transistor, and a gate of said second NMOS operably coupled to said input clock signal.
10. The clock generator of
claim 8
, wherein said delay element is a programmable delay element.
11. The clock generator of
claim 9
, wherein said programmable delay element is selected from the group including poly fuses and anti-fuses.
12. An input buffer comprising:
a latch for receiving an input clock signal;
an output switching circuit operably coupled to said latch for outputting a leading pulse edge of an output clock signal in response to a leading pulse edge of said input clock signal latched by said latch and returning said output leading pulse edge to an input of said latch; and
a feedback circuit interposed between said latch and said output switching circuit including a programmable delay element for selectively delaying said leading pulse edge of said output clock signal and selectively resetting said latch in response to said delayed leading pulse edge of said output clock signal to selectively propagate a trailing pulse edge of said output clock signal through said output switching circuit.
13. The input buffer of
claim 12
, further comprising an inverting input buffer operably coupled to said latch for preconditioning said input clock signal for said latch.
14. The input buffer of
claim 12
, wherein said latch comprises a Set-Reset (SR) flip-flop including a pair of NAND gates.
15. The input buffer of
claim 12
, wherein said latch comprises a NAND gate.
16. The input buffer of
claim 12
, wherein said output switching circuit comprises:
a first inverter with input operably coupled to an input of said latch;
a PMOS transistor with its source operably coupled to power, its drain operably coupled to an input of said latch, and its gate operably coupled to an output of said first inverter;
an NMOS transistor with its drain operably coupled to said output clock signal, its gate operably coupled to said output of said first inverter, and its source operably coupled to ground; and
a second inverter operably coupled to and output of said latch and driving said output clock signal.
17. The input buffer of
claim 12
, wherein said feedback circuit comprises:
a first inverter operably coupled to said output clock signal;
said programmable delay element operably coupled to an output of said first inverter;
a second inverter operably coupled to an output of said programmable delay element; and
a first NMOS transistor with its gate operably coupled to an output of said second inverter, its source operably coupled to ground, and its drain operably coupled to said latch for returning said leading pulse edge of said output clock signal thereto.
18. The input buffer of
claim 17
, wherein said programmable delay element is selected from the group including poly fuses and anti-fuses.
19. The input buffer of
claim 17
, further comprising:
a PMOS transistor with its source coupled to power and its gate operably coupled to said input clock signal; and
a second NMOS transistor with its drain operably coupled to a drain of said PMOS transistor and also operably coupled to an input of said latch, a source of said second NMOS transistor operably coupled to said drain of said first NMOS transistor, and a gate of said second NMOS operably coupled to said input clock signal.
20. A semiconductor memory including a clock generator, said clock generator comprising:
a latch for receiving an input clock signal;
an output switching circuit operably coupled to an output of said latch for outputting a leading pulse edge of an output clock signal in response to a leading pulse edge of said input clock signal and returning said output leading pulse edge to an input of said latch; and
a feedback circuit interposed between said latch and said output switching circuit including a programmable delay element for selectively delaying said leading pulse edge of said output clock signal and selectively resetting said latch in response to said selectively delayed leading pulse edge of said output clock signal to selectively propagate a trailing pulse edge of said output clock signal through said output switching circuit.
21. The semiconductor memory of
claim 20
wherein said feedback circuit comprises:
a first inverter operably coupled to said output clock signal;
said programmable delay element operably coupled to an output of said first inverter;
a second inverter operably coupled to an output of said programmable delay element; and
a first NMOS transistor with its gate operably coupled to an output of said second inverter, its source operably coupled to ground, and its drain operably coupled to said latch for returning said leading pulse edge of said output clock signal thereto.
22. The semiconductor memory of
claim 21
, wherein said programmable delay element is selected from the group including poly fuses and anti-fuses.
23. The semiconductor memory of
claim 21
, further comprising:
a PMOS transistor with its source coupled to power and its gate operably coupled to said input clock signal; and
a second NMOS transistor with its drain operably coupled to a drain of said PMOS transistor and also operably coupled to an input of said latch, a source of said second NMOS transistor operably coupled to said drain of said first NMOS transistor, and a gate of said second NMOS operably coupled to said input clock signal.
24. The semiconductor memory of
claim 20
, wherein said semiconductor memory is selected from the group including dynamic random access memory (DRAM), double data rate SDRAM (DDR SDRAM), RAMBUS® DRAM (RDRAM®), extended data-out DRAM (EDO DRAM), fast-page-mode DRAM (FPM DRAM), static random access memory (SRAM), SyncBurst™ SRAM Zero Bus Turnaround™ SRAM (SBT™ SRAM), Quad Data Rate™ SRAM (QDR™ SRAM), DDR synchronous SRAM (DDR SRAM) and nonvolatile electrically block-erasable programmable read only memory (Flash).
25. An electronic system comprising an input device, an output device, a memory device, and a processor device operably coupled to said input, said output, and said memory devices, said memory device including a clock generator comprising:
a latch for receiving an input clock signal;
an output switching circuit operably coupled to an output of said latch for outputting a leading pulse edge of an output clock signal in response to a leading pulse edge of said input clock signal and returning said output leading pulse edge to an input of said latch; and
a feedback circuit interposed between said latch and said output switching circuit including a programmable delay element for selectively delaying said leading pulse edge of said output clock signal and selectively resetting said latch in response to said selectively delayed leading pulse edge of said output clock signal to selectively propagate a trailing pulse edge of said output clock signal through said output switching circuit.
26. The electronic system of
claim 25
, wherein said feedback circuit comprises:
a first inverter operably coupled to said output clock signal;
said programmable delay element operably coupled to an output of said first inverter;
a second inverter operably coupled to an output of said programmable delay element; and
a first NMOS transistor with its gate operably coupled to an output of said second inverter, its source operably coupled to ground, and its drain operably coupled to said latch for returning said leading pulse edge of said output clock signal thereto.
27. The electronic system of
claim 26
, wherein said programmable delay element is selected from the group including poly fuses and anti-fuses.
28. The electronic system of
claim 26
, further comprising:
a PMOS transistor with its source coupled to power and its gate operably coupled to said input clock signal; and
a second NMOS transistor with its drain operably coupled to a drain of said PMOS transistor and also operably coupled to an input of said latch, a source of said second NMOS transistor operably coupled to said drain of said first NMOS transistor, and a gate of said second NMOS operably coupled to said input clock signal.
29. The electronic system of
claim 26
, wherein said semiconductor memory is selected from the group including dynamic random access memory (DRAM), double data rate SDRAM (DDR SDRAM), RAMBUS® DRAM (RDRAM®g), extended data-out DRAM (EDO DRAM), fast-page-mode DRAM (FPM DRAM), static random access memory (SRAM), SyncBurst™ SRAM, Zero Bus Turnaround™ SRAM (SBT™ SRAM), Quad Data Rate™ SRAM (QDR™ SRAM), DDR synchronous SRAM (DDR SRAM) and nonvolatile electrically block-erasable programmable read only memory (Flash).
30. A semiconductor substrate having a clock generator fabricated thereon, said clock generator comprising:
a latch for receiving an input clock signal;
an output switching circuit operably coupled to an output of said latch for outputting a leading pulse edge of an output clock signal in response to a leading pulse edge of said input clock signal and returning said output leading pulse edge to an input of said latch; and
a feedback circuit interposed between said latch and said output switching circuit including a programmable delay element for selectively delaying said leading pulse edge of said output clock signal and selectively resetting said latch in response to said selectively delayed leading pulse edge of said output clock signal to selectively propagate a trailing pulse edge of said output clock signal through said output switching circuit.
31. The semiconductor substrate of
claim 30
, wherein said feedback circuit comprises:
a first inverter operably coupled to said output clock signal;
said programmable delay element operably coupled to an output of said first inverter;
a second inverter operably coupled to an output of said programmable delay element; and
a first NMOS transistor with its gate operably coupled to an output of said second inverter, its source operably coupled to ground, and its drain operably coupled to said latch for returning said leading pulse edge of said output clock signal thereto.
32. The semiconductor substrate of
claim 31
, further comprising:
a PMOS transistor with its source coupled to power and its gate operably coupled to said input clock signal; and
a second NMOS transistor with its drain operably coupled to a drain of said PMOS transistor and also operably coupled to an input of said latch, a source of said second NMOS transistor operably coupled to said drain of said first NMOS transistor, and a gate of said second NMOS operably coupled to said input clock signal.
33. The semiconductor substrate of
claim 31
, wherein said programmable delay element is selected from the group including poly fuses and anti-fuses.
34. A method of generating a clock signal comprising:
providing a latch for receiving an input clock signal;
providing a programmable delay path for propagating a leading pulse edge of said input clock signal and outputting a corresponding leading pulse edge of an output clock signal;
providing a feedback path for returning said leading pulse edge of said output clock signal to said latch and outputting a trailing pulse edge of said output clock signal.
35. A method of generating a clock signal comprising:
latching a leading edge of an input clock signal;
outputting a leading edge of an output clock signal in response to said leading edge of said input clock signal;
returning and selectively delaying said leading edge of the output clock signal; and
outputting a trailing edge of said output clock signal in response to said delayed leading edge of said output clock signal.
36. A method of generating a delayed pulse signal using an electronic circuit including a NAND gate, a delay path, and a feedback path, said method comprising:
latching an input clock signal with said NAND gate;
outputting a leading edge of an output clock signal through said delay path;
returning and selectively delaying said leading edge of said output clock signal to said NAND gate through said feedback path; and
outputting a trailing edge of said output clock signal in response to said delayed leading edge of said output clock signal.
US09/747,568 1998-05-20 2000-12-21 Apparatus and method for generating a clock within a semiconductor device and devices and systems including same Expired - Lifetime US6445644B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/747,568 US6445644B2 (en) 1998-05-20 2000-12-21 Apparatus and method for generating a clock within a semiconductor device and devices and systems including same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/081,983 US6169704B1 (en) 1998-05-20 1998-05-20 Apparatus and method for generating a clock within a semiconductor device and devices and systems including same
US09/747,568 US6445644B2 (en) 1998-05-20 2000-12-21 Apparatus and method for generating a clock within a semiconductor device and devices and systems including same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/081,983 Continuation-In-Part US6169704B1 (en) 1998-05-20 1998-05-20 Apparatus and method for generating a clock within a semiconductor device and devices and systems including same

Publications (2)

Publication Number Publication Date
US20010011913A1 true US20010011913A1 (en) 2001-08-09
US6445644B2 US6445644B2 (en) 2002-09-03

Family

ID=46257345

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/747,568 Expired - Lifetime US6445644B2 (en) 1998-05-20 2000-12-21 Apparatus and method for generating a clock within a semiconductor device and devices and systems including same

Country Status (1)

Country Link
US (1) US6445644B2 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040001801A1 (en) * 2002-05-23 2004-01-01 Corvas International, Inc. Conjugates activated by cell surface proteases and therapeutic uses thereof
US20050091620A1 (en) * 2003-10-23 2005-04-28 International Business Machines Corporation Simplified method for limiting clock pulse width
US20060232310A1 (en) * 2005-04-19 2006-10-19 Boerstler David W System and method for on/off-chip characterization of pulse-width limiter outputs
US20070236266A1 (en) * 2006-04-06 2007-10-11 Boerstler David W Apparatus and method for extracting a maximum pulse width of a pulse width limiter
US9590602B2 (en) * 2014-06-13 2017-03-07 Stmicroelectronics International N.V. System and method for a pulse generator
WO2017142664A1 (en) * 2016-02-18 2017-08-24 Intel Corporation Reconfigurable clocking architecture
CN110212909A (en) * 2019-04-15 2019-09-06 上海华力集成电路制造有限公司 The non-full method for swinging charger and reducing dynamic readout power using it
CN113383388A (en) * 2021-04-28 2021-09-10 长江存储科技有限责任公司 Clock signal return scheme for data read in page buffer of memory device

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6424178B1 (en) * 2000-08-30 2002-07-23 Micron Technology, Inc. Method and system for controlling the duty cycle of a clock signal
JP3624848B2 (en) * 2000-10-19 2005-03-02 セイコーエプソン株式会社 Clock generation circuit, data transfer control device, and electronic device
US6891399B2 (en) * 2003-03-13 2005-05-10 International Business Machines Corporation Variable pulse width and pulse separation clock generator
US20060115016A1 (en) * 2004-11-12 2006-06-01 Ati Technologies Inc. Methods and apparatus for transmitting and receiving data signals
KR100615596B1 (en) * 2004-12-22 2006-08-25 삼성전자주식회사 Semiconductor device
US7319355B2 (en) * 2006-01-03 2008-01-15 Taiwan Semiconductor Manufacturing Co., Ltd. Pulse generator
TWI658697B (en) * 2018-08-02 2019-05-01 崛智科技有限公司 Data latch circuit and pulse signal generator thereof

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4727519A (en) 1985-11-25 1988-02-23 Motorola, Inc. Memory device including a clock generator with process tracking
EP0262412A1 (en) 1986-09-01 1988-04-06 Siemens Aktiengesellschaft Load-adapted CMOS clock generator
US5204555A (en) 1990-04-05 1993-04-20 Gazelle Microcircuits, Inc. Logic array having high frequency internal clocking
US5272729A (en) 1991-09-20 1993-12-21 International Business Machines Corporation Clock signal latency elimination network
DE4321315C1 (en) 1993-06-26 1995-01-05 Itt Ind Gmbh Deutsche Clock generating circuit for clock-controlled logic circuits
US5757218A (en) 1996-03-12 1998-05-26 International Business Machines Corporation Clock signal duty cycle correction circuit and method
US5812462A (en) 1997-04-03 1998-09-22 Micron Technology, Inc. Integrated circuit clock input buffer
US6169704B1 (en) * 1998-05-20 2001-01-02 Micron Technology, Inc. Apparatus and method for generating a clock within a semiconductor device and devices and systems including same

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040001801A1 (en) * 2002-05-23 2004-01-01 Corvas International, Inc. Conjugates activated by cell surface proteases and therapeutic uses thereof
US20050091620A1 (en) * 2003-10-23 2005-04-28 International Business Machines Corporation Simplified method for limiting clock pulse width
US7242233B2 (en) * 2003-10-23 2007-07-10 International Business Machines Corporation Simplified method for limiting clock pulse width
US20060232310A1 (en) * 2005-04-19 2006-10-19 Boerstler David W System and method for on/off-chip characterization of pulse-width limiter outputs
US8054119B2 (en) 2005-04-19 2011-11-08 International Business Machines Corporation System and method for on/off-chip characterization of pulse-width limiter outputs
US20080136480A1 (en) * 2006-04-06 2008-06-12 Boerstler David W Extracting a Maximum Pulse Width of a Pulse Width Limiter
US7358785B2 (en) 2006-04-06 2008-04-15 International Business Machines Corporation Apparatus and method for extracting a maximum pulse width of a pulse width limiter
US20070236266A1 (en) * 2006-04-06 2007-10-11 Boerstler David W Apparatus and method for extracting a maximum pulse width of a pulse width limiter
US9590602B2 (en) * 2014-06-13 2017-03-07 Stmicroelectronics International N.V. System and method for a pulse generator
WO2017142664A1 (en) * 2016-02-18 2017-08-24 Intel Corporation Reconfigurable clocking architecture
US9786353B2 (en) 2016-02-18 2017-10-10 Intel Corporation Reconfigurable clocking architecture
US10134463B2 (en) 2016-02-18 2018-11-20 Intel Corporation Reconfigurable clocking architecture
CN110212909A (en) * 2019-04-15 2019-09-06 上海华力集成电路制造有限公司 The non-full method for swinging charger and reducing dynamic readout power using it
CN113383388A (en) * 2021-04-28 2021-09-10 长江存储科技有限责任公司 Clock signal return scheme for data read in page buffer of memory device

Also Published As

Publication number Publication date
US6445644B2 (en) 2002-09-03

Similar Documents

Publication Publication Date Title
US6928007B2 (en) ODT mode conversion circuit and method
US6445644B2 (en) Apparatus and method for generating a clock within a semiconductor device and devices and systems including same
US7512033B2 (en) Apparatus and method for controlling clock signal in semiconductor memory device
US5535171A (en) Data output buffer of a semiconducter memory device
KR100480598B1 (en) Semiconductor memory device with pre-amble function
US5936893A (en) Integrated circuit clock input buffer
US6198327B1 (en) Pulse generator with improved high speed performance for generating a constant pulse width
US5767700A (en) Pulse signal transfer unit employing post charge logic
US8023339B2 (en) Pipe latch circuit and semiconductor memory device using the same
KR100272167B1 (en) Reference signal generating circuit & sdram having the same
US6101144A (en) Integrated circuit memory devices having automatically induced standby modes and methods of operating same
US20090237137A1 (en) Flip-Flop Capable of Operating at High-Speed
JP2000100158A (en) Integrated circuit and synchronization-type semiconductor memory device
US6771558B2 (en) Semiconductor memory device
US6169704B1 (en) Apparatus and method for generating a clock within a semiconductor device and devices and systems including same
US6486713B2 (en) Differential input buffer with auxiliary bias pulser circuit
US6992949B2 (en) Method and circuit for controlling generation of column selection line signal
KR0167680B1 (en) Internal voltage occurrence circuit of semiconductor memory apparatus
US6411553B1 (en) Single ended data bus equilibration scheme
US7120083B2 (en) Structure and method for transferring column address
US7263025B2 (en) Semiconductor memory device for stably controlling power mode at high frequency and method of controlling power mode thereof
US20070080719A1 (en) Buffer
KR100192929B1 (en) Data output buffer
JPH09261021A (en) Signal transition detection circuit
US7102933B2 (en) Combined receiver and latch

Legal Events

Date Code Title Description
AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:SHER, JOSEPH C.;REEL/FRAME:011406/0582

Effective date: 20001128

STCF Information on status: patent grant

Free format text: PATENTED CASE

CC Certificate of correction
FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

AS Assignment

Owner name: ROUND ROCK RESEARCH, LLC,NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:023786/0416

Effective date: 20091223

Owner name: ROUND ROCK RESEARCH, LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:023786/0416

Effective date: 20091223

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12