US20010014529A1 - Method of manufacturing metallic interconnects - Google Patents

Method of manufacturing metallic interconnects Download PDF

Info

Publication number
US20010014529A1
US20010014529A1 US09/457,561 US45756199A US2001014529A1 US 20010014529 A1 US20010014529 A1 US 20010014529A1 US 45756199 A US45756199 A US 45756199A US 2001014529 A1 US2001014529 A1 US 2001014529A1
Authority
US
United States
Prior art keywords
copper
layer
trench
line
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/457,561
Other versions
US6352938B2 (en
Inventor
Tong-Yu Chen
Hsi-Ta Chuang
Chan-Lon Yang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US09/457,561 priority Critical patent/US6352938B2/en
Assigned to UNITED MICROELECTRONICS CORP., UNITED SEMICONDUCTOR, CORP. reassignment UNITED MICROELECTRONICS CORP. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHUANG, HIS-TA, CHEN, TONG-YU, YANG, CHAN-LON
Assigned to UNITED MICROELECTRONICS CORP. reassignment UNITED MICROELECTRONICS CORP. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: UNITED SEMICONDUCTOR CORP.
Publication of US20010014529A1 publication Critical patent/US20010014529A1/en
Application granted granted Critical
Publication of US6352938B2 publication Critical patent/US6352938B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating

Definitions

  • the present invention relates to a method of manufacturing a semiconductor device. More particularly, the present invention relates to a method of manufacturing metallic interconnects.
  • copper is a better choice of material than aluminum for forming interconnects. Copper has a low resistivity and a higher resistance to electromigration. Moreover, a copper layer can be deposited by chemical vapor deposition or electroplating. However, copper is also highly resistant towards most conventional gaseous etchants, and hence copper lines are difficult to produce by conventional methods. Typically, copper lines are usually manufactured by a dual damascene process.
  • FIGS. 1A through 1C are schematic cross-sectional views showing the progression of steps in a conventional dual damascene process.
  • a substrate having a copper line 102 therein is provided.
  • a top surface 102 a of the copper line 102 is exposed.
  • An inter-metal dielectric layer 104 is formed over the substrate 100 .
  • the inter-metal dielectric layer 104 is formed, for example, by sequentially depositing a silicon oxide, a silicon nitride and a silicon oxide layer over the substrate 100 .
  • Silicon oxide and silicon nitride have different etching rates with respect to an etchant.
  • Silicon nitride may also be deposited over the substrate 100 to form a silicon nitride layer prior (not shown) to the formation of the inter-metal dielectric layer 104 . This has the advantage of preventing copper atoms from diffusing into the inter-metal dielectric layer 104 leading to device malfunction or undesired bridging between metallic interconnects.
  • a dual damascene opening consisting of a trench 106 and a contact opening 110 is formed in the inter-metal dielectric layer 104 with the contact opening 110 located under the trench 106 .
  • a patterned photoresist layer (not shown) is formed over the inter-metal dielectric layer 104 .
  • the inter-metal-dielectric layer 104 is etched using the patterned photoresist as an etching mask and the silicon nitride layer as an etching stop layer.
  • the trench 106 is first formed in the inter-metal dielectric layer 104 .
  • the patterned photoresist layer is removed, and then another patterned photoresist layer 108 is formed over the inter-metal dielectric layer 104 .
  • the inter-metal dielectric layer 104 is etched again to form the contact opening 110 that exposes the surface 102 a of the copper line 102 .
  • the photoresist layer 108 is removed by ashing using oxygen plasma.
  • the plasma ashing is carried out at a high temperature with oxygen flowing at a rate of about 2000 to 3000 sccm.
  • Oxygen plasma oxidizes organic molecules inside the photoresist material, which contains carbon (C), hydrogen (H), nitrogen (N) and oxygen (O), into gaseous carbon dioxide (CO 2 ), water (H 2 O) and nitrogen oxides (NO x ).
  • the resulting gaseous products including carbon dioxide, water and nitrogen oxide are pumped away.
  • High temperature is used to facilitate the oxidation of the photoresist material and accelerate photoresist removal.
  • the ashing chamber for removing photoresist material is raised to a temperature of about 250° C.
  • the exposed surface 102 a of the copper line 102 is also attacked by oxygen plasma.
  • a portion of the copper near the surface 102 a is oxidized into loose cupric oxide (Cu 2 O) or copper oxide (CuO).
  • electrical conductivity of the copper line decreases and contact resistance at the contact opening increases.
  • voids 112 are often formed on the surface 102 a of the copper line 102 .
  • voids 112 will result in a highly irregular profile.
  • the irregular profile creates a high stress in subsequently deposited seeding layer during high temperature annealing, and may lead to an open contact due to surface tension.
  • the invention provides a dual damascene process.
  • a substrate having a copper line therein is provided.
  • An inter-metal dielectric layer is formed over the substrate and the copper line.
  • a patterned photoresist layer is formed over the inter-metal dielectric layer.
  • the inter-metal dielectric layer is etched to from a contact opening and a trench that exposes a portion of the copper line with the contact opening located under the trench.
  • N 2 H 2 (H 2 :4%)/O 2 as a gaseous mixture for producing a plasma. Due to the presence of oxygen plasma, a surface layer of copper on the copper line is oxidized into copper oxide.
  • the copper oxide layer on the surface of the copper line is reduced back into copper.
  • a barrier layer conformal to the trench and contact opening profile is formed. Copper is deposited to form a conformal first copper layer over the trench and the contact opening.
  • a copper or a copperless electroplating is carried out to form a second copper layer.
  • the second copper plug layer includes a trench line and a contact.
  • This invention also provides a dual damascene process that uses a gaseous mixture N 2 H 2 (H 2 :4%)/O 2 to produce a plasma for removing photoresist material at a low temperature so that the oxidation of copper on the surface of copper lines is greatly reduced.
  • This invention also provides a dual damascene process that uses a gas N 2 H 2 (H 2 :4%) to reduce the copper oxide formed after the removal of photoresist material back into copper so that lowering of electrical conductivity of metallic interconnects and increase of contact resistance are prevented.
  • a gas N 2 H 2 H 2 :4%
  • FIGS. 1A through 1C are schematic cross-sectional views showing the progression of steps in a conventional dual damascene process.
  • FIGS. 2A through 2D are schematic cross-sectional views showing the progression of steps in a dual damascene process according to the invention.
  • FIGS. 2A through 2D are schematic cross-sectional views showing the progression of steps in a dual damascene process according to the invention.
  • a substrate 200 having a copper line 202 therein is provided.
  • the upper surface 202 a of the copper line 202 is exposed.
  • An inter-metal dielectric layer 204 is formed over the substrate 200 and the copper line 202 .
  • the inter-metal dielectric layer 204 is formed, for example, by depositing a silicon oxide layer, a silicon nitride layer and a silicon oxide layer in sequence over the substrate 200 .
  • the silicon oxide layer and the silicon nitride layer have different etching rates with respect to a particular etchant.
  • an additional silicon nitride layer may be formed over the substrate 200 prior to the formation of the inter-metal dielectric layer 204 .
  • This silicon nitride layer serves as a barrier preventing the diffusion of copper atoms into the inter-metal dielectric layer 204 that would lead to device malfunction or unwanted bridging between metallic interconnects.
  • the inter-metal dielectric layer 204 is preferably planarized.
  • a dual damascene opening 211 is formed in the inter-metal dielectric layer 204 .
  • the dual damascene opening 211 includes a trench 206 and a contact opening 210 , wherein the contact opening 210 is formed under the trench 206 .
  • the dual damascene opening 211 is formed by depositing photoresist material over the inter-metal dielectric layer 204 to form a photoresist layer (not shown), and then patterning the photoresist layer.
  • the inter-metal dielectric layer 204 is etched to form the trench 206 .
  • the patterned photoresist layer is removed, and then another patterned photoresist layer 208 is formed over the inter-metal dielectric layer 204 .
  • the inter-metal dielectric layer 204 is again etched to form the contact opening 210 that exposes the surface 202 a of the copper line 202 .
  • the aforementioned method of forming the dual damascene opening 211 is only one of the preferred embodiments of this invention.
  • the contact opening 210 is formed in the inter-metal dielectric layer 204 before the trench 206 is formed.
  • the inter-metal dielectric layer 204 can simply be a silicon oxide layer or a low dielectric constant dielectric layer. If the inter-metal dielectric layer 204 is a silicon oxide or a low dielectric constant layer, depth of the trench can be controlled by a timing model.
  • the method of forming a damascene opening can also be applied to the invention.
  • the photoresist 208 is removed by a low-temperature ashing method.
  • the photoresist layer 208 is removed by placing the substrate 200 in an ashing chamber, introducing N 2 H 2 at a rate of 100 to 300 sccm and oxygen O 2 at a rate of 200 to 600 sccm, heating the chamber to a temperature of about 30 to 90° C., setting the pressure inside the chamber to about 200 to 600 mT, and applying a source power of about 800 to 1300 Watts (2.54 GHz) and a radio frequency (RF) of about 100 to 400 Watts (13.56 MHz).
  • RF radio frequency
  • a low-temperature ashing for the removal of the photoresist layer 208 using a plasma mixture of N 2 H 2 (H 2 :4%)/O 2 can reduce the amount of oxidation of the copper line 202 , a portion of copper on the surface 202 a still reacts with oxygen plasma to form loose copper oxide leading to a lowering of electrical conductivity of the metal line and an increase in contact resistance. Therefore, after the photoresist layer 208 is removed, the copper oxide on the surface 202 a of the copper line 202 is reduced back to copper by the introduction of gaseous N 2 H 2 into the chamber.
  • Reduction is carried out by introducing N 2 H 2 (H2:4%) at a rate of 100 to 300 sccm, heating the chamber to a temperature of about 30 to 90° C., setting the pressure inside the chamber to 200 to 600 mT, and applying a source power of about 800 to 1300 Watts (2.54 GHz) and a radio frequency (RF) of about 100 to 400 Watts (13.56 MHz). Thereafter, electrical conductivity of the metal line can be restored and contact resistance can be reduced.
  • N 2 H 2 H2:4%
  • a cleaning solvent can be applied to remove any residual particles.
  • a conformal barrier layer 212 is formed over the inter-metal dielectric layer 204 and the interior surface of the trench 206 and the contact opening 210 .
  • the barrier layer 212 is formed using a material that can prevent copper atoms from diffusing into the inter-metal dielectric layer 204 . Consequently, the barrier layer 212 is preferably a tantalum silicide layer formed, for example, by chemical vapor deposition. Because tantalum silicide is very effective in stopping the diffusion of copper atoms, the diffusion of copper atoms into the inter-metal dielectric layer 204 is blocked.
  • a conformal copper layer 214 is formed over the barrier layer 212 .
  • the copper layer 214 serves as a seeding layer for growing a copper layer.
  • the copper layer 214 can be formed, for example, by physical vapor deposition or chemical vapor deposition.
  • the inter-metal dielectric layer 204 as a polishing stop layer, the copper layer 214 and the barrier layer 212 above the inter-metal dielectric layer 204 are removed, forming a structure as shown in FIG. 2C.
  • a copper or a copperless electroplating is carried out using the copper layer 214 as a seeding layer. Copper grows anisotropically over the copper layer 214 to form a second copper layer 216 that fills the trench 206 and the contact opening 210 .
  • the second copper layer 216 can be regarded as comprising of a trench line 216 b and a contact 216 a.
  • the second copper layer 216 grows only in these areas. In other words, no second copper layer 216 forms over the barrier layer 212 during a copper or copperless electroplating due to the absence of seeding copper thereon.
  • photoresist material is removed by a low-temperature plasma derived from a gaseous mixture N 2 H 2 (H 2 :4%)/O 2 so that only small amount of copper oxide is formed. Moreover, the copper oxide is immediately reduced back to copper by the introduction of gaseous N 2 H 2 (H 2 :4%). Hence, voids are not formed on the exposed copper line and the subsequent breakage of seeding layer resulting in a lowering of conductivity and an increase in contact resistance is prevented.

Abstract

A method of manufacturing metallic interconnects. A substrate has a copper line formed therein. An inter-metal dielectric layer is formed over the substrate and the copper line. A patterned photoresist layer is formed over the inter-metal dielectric layer. The inter-metal dielectric layer is etched to form a trench and a contact opening that exposes a portion of the copper line, wherein the contact opening is under the trench. At a low temperature and using a plasma derived from a gaseous mixture N2H2 (H2:4%)/O2, the photoresist layer is removed. Any copper oxide layer formed on the copper line in the process of removing photoresist material is reduced back to copper using gaseous N2H2 (H2:4%). A barrier layer conformal to the trench and the contact opening profile is formed. Copper is deposited to form a conformal first copper layer over the trench and the contact opening. Using the first copper layer as a seeding layer, a copper or a copperless electroplating is carried out so that a second copper layer is grown anisotropically over the first copper layer.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • The present invention relates to a method of manufacturing a semiconductor device. More particularly, the present invention relates to a method of manufacturing metallic interconnects. [0002]
  • 2. Description of the Related Art [0003]
  • As the level of integration of integrated circuit devices increases, the number of devices in a silicon chip increases and hence the number of interconnects necessary for linking semiconductor devices also increases. Consequently, integrated circuits, in particular metallic interconnects, are becoming harder to manufacture. In fact, how to produce quality conductive lines with ideal operating properties within the confines of a small contact area is a goal that all semiconductor manufacturers are actively pursuing. [0004]
  • Due to a reduction of line width through miniaturization, current density sustained by each metallic line increases correspondingly. Passing a high current through a narrow conventional aluminum metal line results in electromigration and subsequently leads to a device reliability problem. [0005]
  • To reduce electromigration, especially for sub-micron devices, copper is a better choice of material than aluminum for forming interconnects. Copper has a low resistivity and a higher resistance to electromigration. Moreover, a copper layer can be deposited by chemical vapor deposition or electroplating. However, copper is also highly resistant towards most conventional gaseous etchants, and hence copper lines are difficult to produce by conventional methods. Typically, copper lines are usually manufactured by a dual damascene process. [0006]
  • FIGS. 1A through 1C are schematic cross-sectional views showing the progression of steps in a conventional dual damascene process. [0007]
  • As shown in FIG. 1A, a substrate having a [0008] copper line 102 therein is provided. A top surface 102 a of the copper line 102 is exposed. An inter-metal dielectric layer 104 is formed over the substrate 100. The inter-metal dielectric layer 104 is formed, for example, by sequentially depositing a silicon oxide, a silicon nitride and a silicon oxide layer over the substrate 100. Silicon oxide and silicon nitride have different etching rates with respect to an etchant. Silicon nitride may also be deposited over the substrate 100 to form a silicon nitride layer prior (not shown) to the formation of the inter-metal dielectric layer 104. This has the advantage of preventing copper atoms from diffusing into the inter-metal dielectric layer 104 leading to device malfunction or undesired bridging between metallic interconnects.
  • As shown in FIG. 1B, a dual damascene opening consisting of a [0009] trench 106 and a contact opening 110 is formed in the inter-metal dielectric layer 104 with the contact opening 110 located under the trench 106. To form the dual damascene opening, a patterned photoresist layer (not shown) is formed over the inter-metal dielectric layer 104. The inter-metal-dielectric layer 104 is etched using the patterned photoresist as an etching mask and the silicon nitride layer as an etching stop layer. Hence, the trench 106 is first formed in the inter-metal dielectric layer 104. The patterned photoresist layer is removed, and then another patterned photoresist layer 108 is formed over the inter-metal dielectric layer 104. Using the patterned photoresist layer 108 as a mask, the inter-metal dielectric layer 104 is etched again to form the contact opening 110 that exposes the surface 102 a of the copper line 102.
  • As shown in FIG. IC, the [0010] photoresist layer 108 is removed by ashing using oxygen plasma. The plasma ashing is carried out at a high temperature with oxygen flowing at a rate of about 2000 to 3000 sccm. Oxygen plasma oxidizes organic molecules inside the photoresist material, which contains carbon (C), hydrogen (H), nitrogen (N) and oxygen (O), into gaseous carbon dioxide (CO2), water (H2O) and nitrogen oxides (NOx). The resulting gaseous products including carbon dioxide, water and nitrogen oxide are pumped away. High temperature is used to facilitate the oxidation of the photoresist material and accelerate photoresist removal.
  • Typically, the ashing chamber for removing photoresist material is raised to a temperature of about 250° C. However, at such a high temperature, the exposed [0011] surface 102 a of the copper line 102 is also attacked by oxygen plasma. A portion of the copper near the surface 102 a is oxidized into loose cupric oxide (Cu2O) or copper oxide (CuO). Hence, electrical conductivity of the copper line decreases and contact resistance at the contact opening increases.
  • Although the oxides of copper can be dissolved in an alkali solvent, [0012] voids 112 are often formed on the surface 102 a of the copper line 102. When a barrier layer and a seed layer are subsequently formed over the exposed sidewalls of the trench 106 and the contact opening 110, these voids 112 will result in a highly irregular profile. The irregular profile creates a high stress in subsequently deposited seeding layer during high temperature annealing, and may lead to an open contact due to surface tension. In a subsequent copper electroplating or copperless electroplating process, no copper adheres to the area where there is a break in the seeding layer. Hence, copper will not grow evenly inside the dual damascene opening, and a high contact resistance will result.
  • SUMMARY OF THE INVENTION
  • The invention provides a dual damascene process. A substrate having a copper line therein is provided. An inter-metal dielectric layer is formed over the substrate and the copper line. A patterned photoresist layer is formed over the inter-metal dielectric layer. The inter-metal dielectric layer is etched to from a contact opening and a trench that exposes a portion of the copper line with the contact opening located under the trench. At a low temperature and using N[0013] 2H2 (H2:4%)/O2 as a gaseous mixture for producing a plasma, the photoresist layer is removed. Due to the presence of oxygen plasma, a surface layer of copper on the copper line is oxidized into copper oxide. Using the N2H2 (H2:4%) as a gaseous source, the copper oxide layer on the surface of the copper line is reduced back into copper. A barrier layer conformal to the trench and contact opening profile is formed. Copper is deposited to form a conformal first copper layer over the trench and the contact opening. Using the first copper layer as a seeding layer, a copper or a copperless electroplating is carried out to form a second copper layer. The second copper plug layer includes a trench line and a contact.
  • This invention also provides a dual damascene process that uses a gaseous mixture N[0014] 2H2 (H2:4%)/O2 to produce a plasma for removing photoresist material at a low temperature so that the oxidation of copper on the surface of copper lines is greatly reduced.
  • This invention also provides a dual damascene process that uses a gas N[0015] 2H2 (H2:4%) to reduce the copper oxide formed after the removal of photoresist material back into copper so that lowering of electrical conductivity of metallic interconnects and increase of contact resistance are prevented.
  • It is to be understood that both the foregoing general description and the following detailed description are exemplary, and are intended to provide further explanation of the invention as claimed. [0016]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The accompanying drawings are included to provide a further understanding of the invention, and are incorporated in and constitute a part of this specification. The drawings illustrate embodiments of the invention and, together with the description, serve to explain the principles of the invention. In the drawings, [0017]
  • FIGS. 1A through 1C are schematic cross-sectional views showing the progression of steps in a conventional dual damascene process; and [0018]
  • FIGS. 2A through 2D are schematic cross-sectional views showing the progression of steps in a dual damascene process according to the invention. [0019]
  • DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • Reference will now be made in detail to the present preferred embodiments of the invention, examples of which are illustrated in the accompanying drawings. Wherever possible, the same reference numbers are used in the drawings and the description to refer to the same or like parts. [0020]
  • FIGS. 2A through 2D are schematic cross-sectional views showing the progression of steps in a dual damascene process according to the invention. As shown in FIG. 2A, a [0021] substrate 200 having a copper line 202 therein is provided. The upper surface 202 a of the copper line 202 is exposed. An inter-metal dielectric layer 204 is formed over the substrate 200 and the copper line 202. The inter-metal dielectric layer 204 is formed, for example, by depositing a silicon oxide layer, a silicon nitride layer and a silicon oxide layer in sequence over the substrate 200. The silicon oxide layer and the silicon nitride layer have different etching rates with respect to a particular etchant. In this embodiment, an additional silicon nitride layer may be formed over the substrate 200 prior to the formation of the inter-metal dielectric layer 204. This silicon nitride layer serves as a barrier preventing the diffusion of copper atoms into the inter-metal dielectric layer 204 that would lead to device malfunction or unwanted bridging between metallic interconnects. The inter-metal dielectric layer 204 is preferably planarized.
  • As shown in FIG. 2B, a [0022] dual damascene opening 211 is formed in the inter-metal dielectric layer 204. The dual damascene opening 211 includes a trench 206 and a contact opening 210, wherein the contact opening 210 is formed under the trench 206.
  • Typically, the [0023] dual damascene opening 211 is formed by depositing photoresist material over the inter-metal dielectric layer 204 to form a photoresist layer (not shown), and then patterning the photoresist layer. Using the patterned photoresist layer as an etching mask and the silicon nitride layer as an etching stop layer, the inter-metal dielectric layer 204 is etched to form the trench 206. The patterned photoresist layer is removed, and then another patterned photoresist layer 208 is formed over the inter-metal dielectric layer 204. Using the patterned photoresist layer 208 as a mask, the inter-metal dielectric layer 204 is again etched to form the contact opening 210 that exposes the surface 202 a of the copper line 202.
  • The aforementioned method of forming the [0024] dual damascene opening 211 is only one of the preferred embodiments of this invention. Alternatively, the contact opening 210 is formed in the inter-metal dielectric layer 204 before the trench 206 is formed. Moreover, the inter-metal dielectric layer 204 can simply be a silicon oxide layer or a low dielectric constant dielectric layer. If the inter-metal dielectric layer 204 is a silicon oxide or a low dielectric constant layer, depth of the trench can be controlled by a timing model. In addition, the method of forming a damascene opening can also be applied to the invention.
  • As shown in FIG. 2C, the [0025] photoresist 208 is removed by a low-temperature ashing method. In other words, the photoresist layer 208 is removed by placing the substrate 200 in an ashing chamber, introducing N2H2 at a rate of 100 to 300 sccm and oxygen O2 at a rate of 200 to 600 sccm, heating the chamber to a temperature of about 30 to 90° C., setting the pressure inside the chamber to about 200 to 600 mT, and applying a source power of about 800 to 1300 Watts (2.54 GHz) and a radio frequency (RF) of about 100 to 400 Watts (13.56 MHz). A plasma mixture of N2H2 (H2:4%)/O2 is produced to carry out low-temperature ashing of the photoresist layer 208.
  • Although a low-temperature ashing for the removal of the [0026] photoresist layer 208 using a plasma mixture of N2H2 (H2:4%)/O2 can reduce the amount of oxidation of the copper line 202, a portion of copper on the surface 202 a still reacts with oxygen plasma to form loose copper oxide leading to a lowering of electrical conductivity of the metal line and an increase in contact resistance. Therefore, after the photoresist layer 208 is removed, the copper oxide on the surface 202 a of the copper line 202 is reduced back to copper by the introduction of gaseous N2H2 into the chamber. Reduction is carried out by introducing N2H2 (H2:4%) at a rate of 100 to 300 sccm, heating the chamber to a temperature of about 30 to 90° C., setting the pressure inside the chamber to 200 to 600 mT, and applying a source power of about 800 to 1300 Watts (2.54 GHz) and a radio frequency (RF) of about 100 to 400 Watts (13.56 MHz). Thereafter, electrical conductivity of the metal line can be restored and contact resistance can be reduced.
  • Furthermore, after the copper oxide on the [0027] copper line 202 is reduced back to copper, a cleaning solvent can be applied to remove any residual particles.
  • A [0028] conformal barrier layer 212 is formed over the inter-metal dielectric layer 204 and the interior surface of the trench 206 and the contact opening 210. The barrier layer 212 is formed using a material that can prevent copper atoms from diffusing into the inter-metal dielectric layer 204. Consequently, the barrier layer 212 is preferably a tantalum silicide layer formed, for example, by chemical vapor deposition. Because tantalum silicide is very effective in stopping the diffusion of copper atoms, the diffusion of copper atoms into the inter-metal dielectric layer 204 is blocked.
  • A [0029] conformal copper layer 214 is formed over the barrier layer 212. The copper layer 214 serves as a seeding layer for growing a copper layer. The copper layer 214 can be formed, for example, by physical vapor deposition or chemical vapor deposition. Using the inter-metal dielectric layer 204 as a polishing stop layer, the copper layer 214 and the barrier layer 212 above the inter-metal dielectric layer 204 are removed, forming a structure as shown in FIG. 2C.
  • In the invention, since copper oxide on the [0030] surface 202 a of the copper line 202 is reduced back to copper by the introduction of N2H2 gas, voids are no longer formed as in the conventional process. In the absence of voids on the copper line 202, a smooth copper layer 214 conformal to the surface profile of the trench 206 and the contact opening 210 is formed. Hence, seeding layer breakage due to subsequent high-temperature annealing can be prevented.
  • As shown in FIG. 2D, a copper or a copperless electroplating is carried out using the [0031] copper layer 214 as a seeding layer. Copper grows anisotropically over the copper layer 214 to form a second copper layer 216 that fills the trench 206 and the contact opening 210. The second copper layer 216 can be regarded as comprising of a trench line 216 b and a contact 216 a.
  • Since the seeding [0032] copper layer 214 is deposited over the interior surface of the trench 206 and the contact opening 210, the second copper layer 216 grows only in these areas. In other words, no second copper layer 216 forms over the barrier layer 212 during a copper or copperless electroplating due to the absence of seeding copper thereon.
  • In summary, photoresist material is removed by a low-temperature plasma derived from a gaseous mixture N[0033] 2H2 (H2:4%)/O2 so that only small amount of copper oxide is formed. Moreover, the copper oxide is immediately reduced back to copper by the introduction of gaseous N2H2 (H2:4%). Hence, voids are not formed on the exposed copper line and the subsequent breakage of seeding layer resulting in a lowering of conductivity and an increase in contact resistance is prevented.
  • It will be apparent to those skilled in the art that various modifications and variations can be made to the structure of the present invention without departing from the scope or spirit of the invention. In view of the foregoing, it is intended that the present invention cover modifications and variations of this invention provided they fall within the scope of the following claims and their equivalents. [0034]

Claims (28)

What is claimed is:
1. A method of manufacturing metallic interconnects, comprising the steps of:
providing a substrate having a copper line therein;
forming a dielectric layer over the substrate and the copper line;
forming a patterned photoresist layer over the dielectric layer;
forming a dual damascene opening that exposes a portion of the copper line, wherein the dual damascene opening includes a contact opening and a trench with the contact opening located under the trench;
removing the photoresist layer using a low-temperature plasma of a gaseous mixture N2H2 (H2:4%)/O2 so that an amount of oxidation on a surface of the copper line is minimized;
reducing the copper oxide on the surface of copper line by introducing gaseous N2H2 (H2:4%);
forming a conformal barrier layer over the interior surface of the trench and the contact opening;
forming a first copper layer over the barrier layer inside the trench and the contact opening; and
growing a second copper layer over the first copper layer inside the trench and the contact opening, wherein the second copper layer includes a trench line and a contact, with the contact located under the trench line.
2. The method of
claim 1
, wherein flow rates of components of the gaseous mixture N2H2 (H2:4%)/O2 while removing photoresist material are 100 to 300 sccm for N2H2 (H2:4%) and 200 to 600 sccm for O2.
3. The method of
claim 1
, wherein the step of removing the photoresist layer is carried out at a temperature of about 30 to 90° C., a pressure of about 200 to 600 mT, a working power of about 800 to 1300 Watts (2.54 GHz) and a radio frequency (RF) rating of about 100 to 400 Watts (13.56 MHz).
4. The method of
claim 1
, wherein the step of reducing the copper oxide back to copper is carried out with gaseous N2H2 is introduced at a rate of about 100 to 300 sccm, a temperature to about 30 to 90° C., a pressure of about 200 to 600 mT, a working power of about 800 to 1300 Watts (2.54 GHz) and a radio frequency (RF) rating of about 100 to 400 Watts (13.56 MHz).
5. The method of
claim 1
, wherein the step of forming the second copper layer includes copper electroplating using the first copper layer as a seed layer.
6. The method of
claim 1
, wherein the step of forming the second copper layer includes a copperless electroplating using the first copper layer as a seed layer.
7. The method of
claim 1
, wherein after the step of reducing the copper oxide back to copper using gaseous N2H2 (H2:4%) but before forming the barrier layer, further includes cleaning with a solvent.
8. A dual damascene process, comprising the steps of:
providing a substrate having a metal line therein;
forming an inter-metal dielectric layer over the metal line and the substrate;
forming a patterned photoresist layer over the inter-metal dielectric layer;
forming a dual damascene opening that exposes a portion of the metal line in the inter-metal dielectric layer, wherein the dual damascene opening includes a contact opening and a trench with the contact opening located under the trench;
removing the photoresist layer using a low-temperature plasma of a gaseous mixture N2H2 (H2:4%)/O2 so that an amount of oxidation on a surface of the copper line is minimized;
reducing the copper oxide on the surface of copper line by introducing gaseous N2H2 (H2:4%);
forming a conformal barrier layer over an interior surface of the trench and the contact opening;
forming a conformal seeding layer over the barrier layer inside the trench and the contact opening; and
growing a metallic layer over the seeding layer inside the trench and the contact opening, wherein the metallic layer includes a trench line and a contact, with the contact located under the trench line.
9. The process of
claim 8
, wherein flow rates of the components of the gaseous mixture N2H2 (H2:4%)/O2 while removing photoresist material are 100 to 300 sccm for N2H2 (H2:4%) and 200 to 600 sccm for O2.
10. The process of
claim 8
, wherein the step of removing the photoresist layer is carried out at a temperature of about 30 to 90° C., a pressure of about 200 to 600 mT, a working power of about 800 to 1300 Watts (2.54 GHz) and a radio frequency (RF) rating of about 100 to 400 Watts (13.56 MHz).
11. The process of
claim 8
, wherein the step of reducing copper oxide back to copper is carried out with gaseous N2H2 introduced at a rate of about 100 to 300, a temperature of about 30 to 90° C., a pressure of about 200 to 600 mT, a working power of about 800 to 1300 Watts (2.54 GHz) and a radio frequency (RF) rating of about 100 to 400 Watts (13.56 MHz).
12. The process of
claim 8
, wherein the seeding layer includes a copper layer.
13. The process of
claim 8
, wherein the metallic layer includes a copper layer.
14. The process of
claim 8
, wherein the step of forming the metallic layer includes copper electroplating using the seeding layer as a base.
15. The process of
claim 8
, wherein the step of forming the metallic layer includes copperless electroplating using the seeding layer as a base.
16. The process of
claim 8
, wherein the metal line includes a copper line.
17. A method of removing photoresist material without growing a layer of copper oxide over a copper line, comprising the steps of:
providing a substrate having a copper line therein;
forming a dielectric layer over the copper line and the substrate;
forming a patterned photoresist layer over the dielectric layer;
forming a dual damascene opening that exposes a portion of the copper line in the dielectric layer, wherein the dual damascene opening includes a contact opening and a trench, with the contact opening located under the trench;
removing the photoresist layer using a low-temperature plasma of a gaseous mixture N2H2 (H2:4%)/O2 so that an amount of copper oxide grown on a surface of the copper line is minimized; and
reducing the copper oxide.
18. The method of
claim 17
, wherein flow rates of components of the gaseous mixture N2H2 (H2:4%)/O2 while removing photoresist material are 100 to 300 sccm for N2H2 (H2:4%) and 200 to 600 sccm for O2.
19. The method of
claim 17
, wherein the step of removing the photoresist layer is carried out at a temperature of about 30 to 90° C., a pressure of about 200 to 600 mT, a working power of about 800 to 1300 Watts (2.54 GHz) and a radio frequency (RF) rating of about 100 to 400 Watts (13.56 MHz).
20. The method of
claim 17
, wherein the step of reducing the copper oxide on the copper line includes using gaseous N2H2 (H2:4%).
21. The method of
claim 20
, wherein the step of reducing copper oxide back to copper is carried out with gaseous N2H2 introduced at a rate of about 100 to 300, a temperature of about 30 to 90° C., a pressure of about 200 to 600 mT, a working power of about 800 to 1300 Watts (2.54 GHz) and a radio frequency (RF) rating of about 100 to 400 Watts (13.56 MHz).
22. A copper damascene process, comprising the steps of:
providing a substrate having a copper line therein;
forming an inter-metal dielectric layer over the copper line and the substrate;
forming a patterned photoresist layer over the inter-metal dielectric layer;
forming a copper damascene opening in the inter-metal dielectric layer, wherein the copper damascene opening includes a contact opening and a trench, with the contact opening located under the trench;
removing the photoresist layer using a low-temperature plasma of a gaseous mixture N2H2 (H2:4%)/O2 so that an amount of oxidation on a surface of the copper line is minimized;
reducing the copper oxide on the surface of copper line by passing gaseous N2H2 (H2:4%);
forming a conformal barrier layer over the interior surface of the trench and the contact opening;
forming a conformal seeding layer over the barrier layer inside the trench and the contact opening; and
growing a copper layer over the seeding layer inside the trench and the contact opening, wherein the copper layer includes a trench line and a contact with the contact is located under the trench line.
23. The method of
claim 22
, wherein flow rates of components of the gaseous mixture N2H2 (H2:4%)/O2 while removing photoresist material are 100 to 300 sccm for N2H2 (H2:4%) and 200 to 600 sccm for O2.
24. The method of
claim 22
, wherein the step of removing the photoresist layer is carried out at a temperature of about 30 to 90° C., a pressure of about 200 to 600 mT, a working power of about 800 to 1300 Watts (2.54 GHz) and a radio frequency (RF) rating of about 100 to 400 Watts (13.56 MHz).
25. The method of
claim 22
, wherein the step of reducing copper oxide back to copper includes is carried out with gaseous N2H2 introduced at a rate of about 100 to 300, a temperature of about 30 to 90° C., a pressure of about 200 to 600 mT, a working power of about 800 to 1300 Watts (2.54 GHz) and a radio frequency (RF) rating of about 100 to 400 Watts (13.56 MHz).
26. The method of
claim 22
, wherein the seeding layer includes a copper layer.
27. The method of
claim 22
, wherein the step of forming the copper layer includes copper electroplating using the seeding layer as a base.
28. The method of
claim 22
, wherein the step of forming the copper layer includes a copperless electroplating using the seeding layer as a base.
US09/457,561 1999-12-09 1999-12-09 Method of removing photoresist and reducing native oxide in dual damascene copper process Expired - Lifetime US6352938B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/457,561 US6352938B2 (en) 1999-12-09 1999-12-09 Method of removing photoresist and reducing native oxide in dual damascene copper process

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/457,561 US6352938B2 (en) 1999-12-09 1999-12-09 Method of removing photoresist and reducing native oxide in dual damascene copper process

Publications (2)

Publication Number Publication Date
US20010014529A1 true US20010014529A1 (en) 2001-08-16
US6352938B2 US6352938B2 (en) 2002-03-05

Family

ID=23817208

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/457,561 Expired - Lifetime US6352938B2 (en) 1999-12-09 1999-12-09 Method of removing photoresist and reducing native oxide in dual damascene copper process

Country Status (1)

Country Link
US (1) US6352938B2 (en)

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040048462A1 (en) * 2002-09-09 2004-03-11 Masahiro Joei Method for fabricating semiconductor device
WO2004053978A1 (en) * 2002-12-10 2004-06-24 Applied Materials, Inc. Method for cleaning a metal surface by a dry-etching step
US6806182B2 (en) * 2002-05-01 2004-10-19 International Business Machines Corporation Method for eliminating via resistance shift in organic ILD
US20050179141A1 (en) * 2002-05-30 2005-08-18 Yun Ju-Young Methods of forming metal interconnections of semiconductor devices by treating a barrier metal layer
US20080070405A1 (en) * 2002-05-30 2008-03-20 Park Jae-Hwa Methods of forming metal wiring layers for semiconductor devices
CN102074486A (en) * 2009-10-20 2011-05-25 台湾积体电路制造股份有限公司 Method of forming an integrated circuit structure
US8058178B1 (en) * 2004-07-13 2011-11-15 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US8058181B1 (en) 2002-03-26 2011-11-15 Novellus Systems, Inc. Method for post-etch cleans
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US8444869B1 (en) 2006-10-12 2013-05-21 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US8721797B2 (en) 2009-12-11 2014-05-13 Novellus Systems, Inc. Enhanced passivation process to protect silicon prior to high dose implant strip
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9564344B2 (en) 2009-12-11 2017-02-07 Novellus Systems, Inc. Ultra low silicon loss high dose implant strip
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
EP4068340A4 (en) * 2020-09-03 2023-08-09 Changxin Memory Technologies, Inc. Preparation method for metal connection line

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001077086A (en) * 1999-08-31 2001-03-23 Oki Electric Ind Co Ltd Dry etching method of semiconductor device
JP4535629B2 (en) * 2001-02-21 2010-09-01 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US6479396B1 (en) * 2001-02-28 2002-11-12 Infineon Technologies Richmond, Lp Dry polymer and oxide veil removal for post etch cleaning
US6534865B1 (en) * 2001-06-12 2003-03-18 Advanced Micro Devices, Inc. Method of enhanced fill of vias and trenches
US6919266B2 (en) * 2001-07-24 2005-07-19 Micron Technology, Inc. Copper technology for ULSI metallization
DE10246830A1 (en) * 2002-09-30 2004-02-12 Infineon Technologies Ag Production of a wiring surface on a semiconductor wafer for producing a circuit board comprises applying an insulating layer on a wafer, structuring to form strip conductor trenches, depositing a barrier layer, and further processing
US7273808B1 (en) * 2003-02-03 2007-09-25 Novellus Systems, Inc. Reactive barrier/seed preclean process for damascene process
JP4571785B2 (en) * 2003-05-30 2010-10-27 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US7253116B2 (en) * 2004-11-18 2007-08-07 International Business Machines Corporation High ion energy and reative species partial pressure plasma ash process
US8329575B2 (en) * 2010-12-22 2012-12-11 Applied Materials, Inc. Fabrication of through-silicon vias on silicon wafers
US8962469B2 (en) 2012-02-16 2015-02-24 Infineon Technologies Ag Methods of stripping resist after metal deposition
US9865501B2 (en) 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US20160111342A1 (en) * 2014-10-17 2016-04-21 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction
US9887160B2 (en) * 2015-09-24 2018-02-06 International Business Machines Corporation Multiple pre-clean processes for interconnect fabrication
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2728270B1 (en) * 1994-12-20 1997-01-24 Commissariat Energie Atomique HYBRIDIZATION OVEN FOR INTERCONNECTING COMPONENTS BY A FUSIBLE MATERIAL
US5661083A (en) * 1996-01-30 1997-08-26 Integrated Device Technology, Inc. Method for via formation with reduced contact resistance
US5795831A (en) * 1996-10-16 1998-08-18 Ulvac Technologies, Inc. Cold processes for cleaning and stripping photoresist from surfaces of semiconductor wafers
US5939334A (en) * 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5904565A (en) * 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
JP3300643B2 (en) * 1997-09-09 2002-07-08 株式会社東芝 Method for manufacturing semiconductor device
JPH11150101A (en) * 1997-11-18 1999-06-02 Nec Corp Manufacture of semiconductor device
TW410455B (en) * 1998-02-16 2000-11-01 United Microelectronics Corp Forming method for dual damascene structure
US6043146A (en) * 1998-07-27 2000-03-28 Motorola, Inc. Process for forming a semiconductor device
US6255217B1 (en) * 1999-01-04 2001-07-03 International Business Machines Corporation Plasma treatment to enhance inorganic dielectric adhesion to copper
US6153514A (en) * 1999-01-04 2000-11-28 Advanced Micro Devices, Inc. Self-aligned dual damascene arrangement for metal interconnection with low k dielectric constant materials and nitride middle etch stop layer
US6017817A (en) * 1999-05-10 2000-01-25 United Microelectronics Corp. Method of fabricating dual damascene
US6030901A (en) * 1999-06-24 2000-02-29 Advanced Micro Devices, Inc. Photoresist stripping without degrading low dielectric constant materials
US6177347B1 (en) * 1999-07-02 2001-01-23 Taiwan Semiconductor Manufacturing Company In-situ cleaning process for Cu metallization

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8058181B1 (en) 2002-03-26 2011-11-15 Novellus Systems, Inc. Method for post-etch cleans
US6806182B2 (en) * 2002-05-01 2004-10-19 International Business Machines Corporation Method for eliminating via resistance shift in organic ILD
US20050179141A1 (en) * 2002-05-30 2005-08-18 Yun Ju-Young Methods of forming metal interconnections of semiconductor devices by treating a barrier metal layer
US20080070405A1 (en) * 2002-05-30 2008-03-20 Park Jae-Hwa Methods of forming metal wiring layers for semiconductor devices
US7384866B2 (en) * 2002-05-30 2008-06-10 Samsung Electronics Co., Ltd. Methods of forming metal interconnections of semiconductor devices by treating a barrier metal layer
US6777333B2 (en) * 2002-09-09 2004-08-17 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
US20040048462A1 (en) * 2002-09-09 2004-03-11 Masahiro Joei Method for fabricating semiconductor device
WO2004053978A1 (en) * 2002-12-10 2004-06-24 Applied Materials, Inc. Method for cleaning a metal surface by a dry-etching step
US8058178B1 (en) * 2004-07-13 2011-11-15 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US9941108B2 (en) 2004-12-13 2018-04-10 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US8641862B2 (en) 2004-12-13 2014-02-04 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US8716143B1 (en) 2005-05-12 2014-05-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US8444869B1 (en) 2006-10-12 2013-05-21 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US9373497B2 (en) 2007-04-04 2016-06-21 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
CN102074486A (en) * 2009-10-20 2011-05-25 台湾积体电路制造股份有限公司 Method of forming an integrated circuit structure
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US8721797B2 (en) 2009-12-11 2014-05-13 Novellus Systems, Inc. Enhanced passivation process to protect silicon prior to high dose implant strip
US9564344B2 (en) 2009-12-11 2017-02-07 Novellus Systems, Inc. Ultra low silicon loss high dose implant strip
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
EP4068340A4 (en) * 2020-09-03 2023-08-09 Changxin Memory Technologies, Inc. Preparation method for metal connection line

Also Published As

Publication number Publication date
US6352938B2 (en) 2002-03-05

Similar Documents

Publication Publication Date Title
US6352938B2 (en) Method of removing photoresist and reducing native oxide in dual damascene copper process
KR100416596B1 (en) Method of manufacturing interconnection wire in semiconductor device
US7319071B2 (en) Methods for forming a metallic damascene structure
US8124532B2 (en) Semiconductor device comprising a copper alloy as a barrier layer in a copper metallization layer
JP2008124275A (en) Manufacturing method for semiconductor device
US6037250A (en) Process for forming multilevel interconnection structure
US20070077755A1 (en) Method of forming metal wiring in a semiconductor device
KR100917291B1 (en) Two step etching oa f bottom anti-reflective coating layer in dual damascene application
JP2003163266A (en) Semiconductor device and manufacturing method thereof
KR0185230B1 (en) Metal interconnection and semiconductor device
JP2004000006U (en) Semiconductor device
US6881661B2 (en) Manufacturing method of semiconductor device
KR100444811B1 (en) Method of forming a conductive silicide layer on a silicon comprising substrate and method of forming a conductive silicide contact
US6682999B1 (en) Semiconductor device having multilevel interconnections and method of manufacture thereof
KR100450738B1 (en) Method for forming aluminum metal wiring
US6852624B2 (en) Electroless plating process, and embedded wire and forming process thereof
US7125809B1 (en) Method and material for removing etch residue from high aspect ratio contact surfaces
KR20040101008A (en) Manufacturing method for semiconductor apparatus
JP2005005697A (en) Manufacturing method of semiconductor device
US20110097899A1 (en) Method of forming funnel-shaped opening
JP2000164569A (en) Manufacture of semiconductor device
KR100603703B1 (en) Method for removing photoresist and method for forming metal line in semiconductor device using the same
CN113161284A (en) Method for manufacturing interconnection structure
US6764957B2 (en) Method for forming contact or via plug
JP3780204B2 (en) Barrier metal film or adhesion layer forming method and wiring forming method

Legal Events

Date Code Title Description
AS Assignment

Owner name: UNITED MICROELECTRONICS CORP., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHEN, TONG-YU;CHUANG, HIS-TA;YANG, CHAN-LON;REEL/FRAME:010465/0970;SIGNING DATES FROM 19991122 TO 19991129

Owner name: UNITED SEMICONDUCTOR, CORP., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHEN, TONG-YU;CHUANG, HIS-TA;YANG, CHAN-LON;REEL/FRAME:010465/0970;SIGNING DATES FROM 19991122 TO 19991129

AS Assignment

Owner name: UNITED MICROELECTRONICS CORP., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:UNITED SEMICONDUCTOR CORP.;REEL/FRAME:010579/0570

Effective date: 19991230

STCF Information on status: patent grant

Free format text: PATENTED CASE

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12