US20010023097A1 - Novel cell design and process for making dynamic random access memory (DRAM) having one or more gigabits of memory cells - Google Patents

Novel cell design and process for making dynamic random access memory (DRAM) having one or more gigabits of memory cells Download PDF

Info

Publication number
US20010023097A1
US20010023097A1 US09/859,301 US85930101A US2001023097A1 US 20010023097 A1 US20010023097 A1 US 20010023097A1 US 85930101 A US85930101 A US 85930101A US 2001023097 A1 US2001023097 A1 US 2001023097A1
Authority
US
United States
Prior art keywords
layer
angstroms
deposited
bit lines
thickness
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US09/859,301
Inventor
Jenn Huang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US09/859,301 priority Critical patent/US20010023097A1/en
Publication of US20010023097A1 publication Critical patent/US20010023097A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/09Manufacture or treatment with simultaneous manufacture of the peripheral circuit region and memory cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • H10B12/0335Making a connection between the transistor and the capacitor, e.g. plug
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/485Bit line contacts

Definitions

  • This invention relates to integrated circuit semiconductor devices, and more particularly to a method for fabricating dynamic random access memory (DRAM) devices having one or more Gigabits of memory cells.
  • DRAM dynamic random access memory
  • This novel method improves the memory-cell density using auto self-aligning techniques while reducing electrical shorts between the word lines and polysilicon plug contacts.
  • the method also reduces capacitor node leakage currents, parasitic capacitance. Also reduced is the electrical shorts between the closely spaced polysilicon plugs via keyholes (voids) in the interpolysilicon oxide (IPO) due to poor gap filling with the IPO.
  • voids keyholes
  • FIG. 1A shows a portion of a partially completed DRAM cell.
  • a shallow trench isolation (STI) 12 is formed in a silicon substrate 10 surrounding and electrically isolating device (memory cell) areas.
  • a barrier layer 13 such as silicon nitride (Si 3 N 4 ), is deposited and patterned to form openings over the device areas and a gate oxide 14 is grown on the substrate 10 for field effect transistors (FETs).
  • FETs field effect transistors
  • a doped polysilicon layer 16 , a refractory metal silicide layer 18 and an insulating cap layer 20 is deposited and patterned to form word lines (layers 16 , 18 ) over the STI 12 while concurrently forming FET gate electrodes over the thin gate oxide 14 .
  • Doped source/drain areas 17 (N) are formed adjacent to the gate electrodes by ion implantation and a conformal insulating layer is deposited and anisotropically plasma etched back to form sidewall spacers 22 on the sidewall of the FET gate electrodes (patterned layer 16 and 18 ).
  • an insulating layer 24 is deposited and polished back to form the first interpolysilicon oxide layer (IPO- 1 ) and a photoresist mask and plasma etching are used to etch contact openings that extend over the FET gate electrodes (self-aligned) and down to the source/drain areas.
  • One problem encountered in this conventional self-align process is damage to the source/drain areas 17 when the contact holes are plasma etched.
  • cap oxide layer 20 Another problem is the overetching of the cap oxide layer 20 , as depicted at point B in FIG. 1A, which can result in shorts to the FET gate electrodes when the contact holes are filled with a doped polysilicon (poly plugs) to make electrical contacts. Also the poly plugs also overlap the gate electrode resulting in increased parasitic capacitance, resulting in an increased RC time constants and reduced circuit speed.
  • Another problem encountered is poor gap filling between the closely spaced word lines (patterned layers 16 , 18 & 20 ) having high aspect ratios, as depicted at point A in FIG. 1A.
  • a principal object of the present invention is to form capacitor-over-bit line (COB) dynamic random access memory (DRAM) devices with increased memory cell density for future DRAM devices with one or more Gigabits of memory cells.
  • COB capacitor-over-bit line
  • DRAM dynamic random access memory
  • the increase in cell density is achieved by using a double auto self-aligned polysilicon contact plugs technique.
  • a second objective of this invention is to form these high-density memory cells with reduced electrical shorts, reduced parasitic capacitance to word lines and reduced capacitor node leakage current by reducing plasma etch damage at the substrate contacts.
  • a third objective of this invention is to form the interpolysilicon oxide (IPO) gap filling after the auto-self-aligned polysilicon plugs are formed. This prevents the polysilicon plugs from shorting through keyhole channels (voids) in the IPO while the keyhole cavities between plugs further reduce intralevel capacitance.
  • IP interpolysilicon oxide
  • Still another objective of this invention is to provide a very cost-effective manufacturing process.
  • This novel invention is a method for making DRAM devices with one or more Gigabit of memory cell.
  • the method begins by providing a semiconductor substrate, such as a P ⁇ doped single-crystal silicon having a ⁇ 100> crystallographic orientation.
  • a relatively thick Field OXide (FOX) is formed that surrounds and electrically isolates device areas on the substrate for the DRAM memory cells.
  • One conventional method of forming the field oxide areas is by a shallow trench isolation (STI) method, as commonly practiced in the industry.
  • Field effect transistors (FETs) are formed next by growing a thin gate oxide on the device areas.
  • a first polycide layer is formed by depositing a heavily N + doped polysilicon layer and a refractory metal silicide layer.
  • An insulating layer such as silicon oxide (SiO 2 ), is deposited to form a first cap layer on the polycide layer.
  • the cap layer and first polycide layer are patterned to form the DRAM word lines that also serve as gate electrodes over the device areas.
  • N doped source/drain areas are formed adjacent to the gate electrodes and a conformal insulating is deposited and anisotropically etched back to form first sidewall spacers on the gate electrode.
  • a thin conformal diffusion protection oxide layer such as SiO 2
  • a first conducting layer preferably composed of an N doped polysilicon is deposited and is chemically-mechanically polished (CMP) back to the first cap oxide layer.
  • CMP chemically-mechanically polished
  • a photoresist mask and plasma etching are used to pattern the polished back first conducting layer to form the first contact plugs for bit lines and for capacitor node contacts.
  • the polish-back results in the first contact plugs being auto-self-aligned to the gate electrodes. This eliminates the critical alignment requirement for etching the self-aligning contact openings 2 in the conventional process (see FIG.
  • a first insulating layer preferably SiO 2
  • CMP chemical vapor deposition
  • Capacitor node contact openings are selectively etched in the first insulating layer to the first contact plugs for forming capacitor node contacts while the Si 3 N 4 cap layer and the second sidewall spacers (SiON) protect the bit lines during etching.
  • the polished back layer is then patterned using a photoresist mask and plasma etching to form second contact plugs for capacitor node contacts to the first contact plugs.
  • This second polish back results in a second auto self-aligned contact that eliminates another critical photoresist mask alignment an further increases circuit density.
  • the method for making this high-density array of DRAM cells is now completed by forming a planar second insulating layer, such as SiO 2 . Openings are etched in the second insulating layer over and to the second contact plugs for capacitor bottom electrodes.
  • a conformal third conducting layer is formed in the openings for the capacitor bottom electrodes and a thin interelectrode dielectric layer and a fourth conducting layer are formed over the capacitor bottom electrodes to form the capacitors and to complete the high-density array of memory cells for Gigabit DRAM devices.
  • the invention also includes a method of integrating into the DRAM process an improved borderless self-aligned contact (SAC).
  • SAC borderless self-aligned contact
  • This improved SAC does not need a silicon nitride hard mask to protect the edge of the shallow trench isolation (STI), as in the conventional process when the contact openings are etched in a thick interpolysilicon oxide (IPO) layer to the silicon substrate.
  • STI shallow trench isolation
  • IP interpolysilicon oxide
  • FIG. 1 shows a prior-art schematic cross-sectional view of a partially completed DRAM depicting the shortcomings of the conventional technology, and a prior-art schematic cross-sectional view for a borderless self-aligned contact make concurrently that also depicts the shortcomings of the conventional technology.
  • FIGS. 2 through 9 show a series of schematic cross-sectional views with schematic top views depicting the sequence of process steps for making the high-density array of memory cells for a DRAM using an auto-self-aligned contact method and the method for concurrently making a borderless SAC.
  • FIGS. 3B and 4B depict the only DRAM cell design with the auto self-aligned first polysilicon contacts plugs self aligned to the word lines and contacting the substrate.
  • FIGS. 7B and 8B depict the auto self-aligned second polysilicon plugs to the bit line for completing the capacitor node contact to the underlying first polysilicon plugs.
  • CMOS Complementary Metal-Oxide-Semiconductor
  • the method begins by providing a semiconductor substrate 10 , a portion of which is shown in the Fig. for a partially completed DRAM cell. On the left is the DRAM cell and on the right is the borderless SAC.
  • the substrate is a P ⁇ doped single-crystal silicon having a ⁇ 100> crystallographic orientation.
  • Field OXide (FOX) regions 12 are formed surrounding and electrically isolating the device areas.
  • One conventional method of forming the field oxide regions is by using a shallow trench isolation (STI) method, as commonly practiced in the industry.
  • STI shallow trench isolation
  • the STI is formed by etching trenches in the field oxide regions on the substrate 10 to a depth of between about 2500 and 5000 Angstroms.
  • the trenches are filled with an insulating material such as a chemical vapor deposited SiO 2 , and are made planar with the surface of the substrate 10 , for example, by using a planarizing plasma etchback or chemical/mechanical polishing (CMP) to form the STI 12 .
  • CMP chemical/mechanical polishing
  • a thin gate oxide 14 of about 15 to 70 Angstroms thick is grown on the device areas.
  • a first polycide layer is formed by depositing a heavily N + doped polysilicon layer 16 and a refractory metal silicide layer 18 .
  • the polysilicon layer 16 is deposited by chemical vapor deposition (CVD) using silane (SiH 4 ) as the reactant gas and is deposited to a preferred thickness of between about 500 and 2000 Angstroms.
  • the polysilicon layer 16 is doped with arsenic (As) or phosphorus (P) by ion implantation after deposition or is doped during the CVD polysilicon deposition by adding a dopant gas, such as arsine (AsH 3 ) or phosphine (PH 3 ).
  • Layer 16 is preferable doped to a concentration of between about 1.0 E 20 and 1.0 E 22 atoms/cm 3 .
  • the silicide layer 18 is preferably a tungsten silicide (WSi x ) and is also deposited by CVD using tungsten hexafluoride (WF 6 ) and SiH 4 and the reactant gases and is deposited to a preferred thickness of between about 500 and 1500 Angstroms.
  • An insulating layer 20 such as silicon oxide (SiO 2 ), is deposited to form a first cap layer 20 on the polycide layer 18 .
  • the cap layer 20 is deposited by low pressure CVD (LPCVD) using, for example tetraethosiloxane (TEOS) as the reactant gas, and is deposited to form a relatively thick layer.
  • TEOS tetraethosiloxane
  • the SiO 2 layer 20 is deposited to a thickness of between about 1000 and 2000 Angstroms.
  • the cap layer 20 and first polycide layer (layers 16 and 18 ) are then patterned using conventional photolithographic techniques and anisotropic plasma etching to form the DRAM word lines that also serve as gate electrodes over the device areas.
  • N doped source/drain areas 17 (N) are formed adjacent to the gate electrodes, for example by ion implanting As 75 or p 31 ions.
  • Preferably ions are implanted at a dose and energy to achieve a final concentration of between about 1.0 E 17 and 1.0 E 18 atoms/cm 3 .
  • First sidewall spacers 22 are formed on the sidewalls of the gate electrode (patterned layers 20 , 18 and 16 ) by depositing a conformal insulating layer 22 which is then anisotropically etched back.
  • the conformal layer 22 is preferably SiO 2 and is deposited by LPCVD using TEOS as the reactant gas.
  • the layer 22 is then anisotropically etched back using reactive ion etching (RIE) or a high density plasma (HDP) etching to achieve a sidewall spacer width of between about 500 and 100 Angstroms.
  • RIE reactive ion etching
  • HDP high density plasma
  • a relatively thin conformal diffusion protection oxide layer 13 is deposited.
  • Layer 13 is preferably SiO 2 and is deposited by LPCVD using TEOS as the reactant gas and is deposited to a thickness of between about 100 and 500 Angstroms.
  • Layer 13 is then patterned to form openings where contacts to the substrate are desired, such as for the self-aligned contacts (SAC) 1 (right portion of FIG. 2) and over the DRAM cells, as shown in the left portion of FIG. 2.
  • the patterning of layer 13 is carried out using a patterned photoresist mask (not shown) and wet etching in a dilute solution hydrofluoric acid (HF) and water (H 2 O) to provide essentially damage free contacts on the substrate surface.
  • HF hydrofluoric acid
  • H 2 O water
  • a first conducting layer 24 is deposited.
  • Layer 24 is preferably composed of an N conductively doped polysilicon and is deposited by low pressure chemical vapor deposition (LPCVD) using silane (SiH 4 ) as the reactant gas.
  • Layer 24 is doped in situ by adding a dopant gas such as arsine (AsH 3 ) or phosphine (PH 3 ) during the polysilicon deposition.
  • the layer 24 is preferably doped to a concentration of between about 1.0 E 20 and 1.0 E 22 atoms/cm 3 .
  • the polysilicon layer 24 is deposited to a thickness sufficient to fill the recess between the word lines, but more specifically to a thickness of between about 4000 and 7000 Angstroms.
  • the polysilicon layer 24 is planarized back to the cap layer 20 .
  • layer 20 is chemically-mechanically polished (CMP) using commercially available polishing tools.
  • CMP results in the remaining N doped polysilicon layer 24 between the word lines being automatically aligned to the gate electrodes (patterned layers 16 , 18 and 20 ).
  • This auto self-alignment eliminates the critical alignment requirement for etching the self-aligning contact openings 2 in the conventional process (see FIG. 1A) and avoids the damage due to plasma etching in the contact opening.
  • FIG. 3B a top view after the CMP is depicted in FIG. 3B in which the cross section in FIG. A is through 3 A- 3 A′ of FIG.
  • the Chem-mech polished backed polysilicon layer 24 is self-aligned to the sidewall spacers 22 on the gate electrodes ( 16 , 18 and 20 ).
  • the top view is only depicted for the DRAM portion of FIG. 3. Since there is no critical alignment and etch step, as in the convention process (see FIG. 1), the circuit density is significantly increased as require for Gigabit DRAM devices. Also since the N doped polysilicon 24 is deposited prior to depositing ILD layer and etching contact hole, as in the conventional process of FIG. 1, the method avoids plasma etch damage to the source/drain areas 17 (N) in the DRAM cell areas and to borderless contact areas 19 (N) as depicted in (SAC) portion of FIG. 3A.
  • a non-critical (alignment) photoresist masking step and plasma etching are used to pattern the remaining polysilicon layer 24 to complete the first polysilicon contact plug 24 for both the bit lines and capacitor node contacts.
  • the N doped polysilicon layer 24 is also patterned, at the same time, to form the borderless self-aligned contacts 24 to the substrate contacts 19 (N) and to form interconnections 25 , as depicted in the right portion (SAC) of FIG. 4A.
  • the plasma etching is carried out preferably in a high density plasma (HDP) etcher using a etching gas mixture containing chlorine (C12) and hydrogen bromide (HBr).
  • FIG. 4B A top view of the DRAM cell after the process step carried out in FIG. 4A is depicted in FIG. 4B.
  • the borderless contact (SAC) portion of FIG. 4A is not depicted in the top view.
  • the cross section for the DRAM in FIG. 4A is shown for the region through 4 A- 4 A′ of the top view in FIG. 4B.
  • the photoresist mask is not shown, but the alignment of the mask is non-critical in both the x and y directions for etching the plugs 24 depicted in FIG. 4B.
  • an isotropic plasma etch can be used to effectively remove the polysilicon layer 24 in the recesses between the word lines ( 16 , 18 , 20 ). Further, since the plugs do not extend over the word lines the parasitic capacitance between the plug 24 and the word line is reduced.
  • a first insulating layer 26 is deposited and planarized.
  • the insulating layer 26 is preferably SiO 2 or a doped oxide such as borophoso-silicate glass (BPSG).
  • the SiO 2 is deposited by LPCVD using a reactant gas such as TEOS and the BPSG can be formed by adding a dopant gas during deposition.
  • the insulating layer 26 commonly referred to in the industry as the first inter-polysilicon oxide (IPO- 1 ) layer, is preferably planarized by CMP.
  • the air gaps (or voids) formed are not continuously open between the closely spaced plugs 24 . This avoids the electrical shorts, of the conventional process where contact openings 2 are etched in the insulating layer 24 having the keyhole gaps due to poor gap filling, as depicted in the prior art of FIG. 1. Since the closed voids (point B in FIG. 4A) have an effective relative dielectric k equal to about 1.0, the intralevel parasitic capacitance is also further reduced.
  • the preferred thickness of the polysilicon layer 28 is between about 500 and 1000 Angstroms
  • the thickness of the tungsten silicide layer 30 is between about 500 and 1500 Angstroms
  • the thickness of the cap layer 32 is between about 1000 and 2000 Angstroms.
  • the second insulating cap layer 32 and the sidewall spacers 34 for the bit lines are preferably composed of silicon nitride (Si 3 N 4 ) and/or silicon oxynitride (SiON).
  • Si 3 N 4 can be deposited LPCVD using silane and ammonia (NH 3 ) as the deposition gases
  • the SiON can be deposited by adding nitrous oxide (N 2 O) during LPCVD.
  • FIG. 6 the method of making auto self-aligned second contact plugs are made for capacitor node contacts that are auto self-aligned to the bit lines is now described. Since the bit lines are typically formed orthogonal to the word lines on the actual product the cross sectional views in FIGS. 6 through 9 are cross section that are normal to the cross sections in the earlier FIGS. 2 - 5 , and therefore, the FET gate electrodes are not in view. Referring first to the DRAM portion of FIG. 6, openings 6 are selectively etched in the first insulating layer 26 to the first contact plugs 24 for forming capacitor node contacts.
  • contact openings for the borderless contacts 19 (N) are etched in layer 26 to the polysilicon plugs 24 , as depicted in the SAC portion of FIG. 6.
  • the openings in the photoresist mask (not shown) used for making these contact openings 6 extend over the Si 3 N 4 cap layer 32 and therefore the openings 6 in layer 26 are self-aligned to the bit lines.
  • the contact openings 6 are etched using HDP etching or reactive ion etching and a etchant gas mixture which selectively etches the SiO 2 to the Si 3 N 4 cap layer.
  • one preferred etch gas mixture is a fluorine based gas and oxygen (O 2 ) which has an etch rate selectivity of SiO 2 to Si 3 N 4 of about 5:1.
  • a second conducting layer 36 preferably composed of an N-doped polysilicon, is deposited by LPCVD using silane as the reactant gas and is in situ doped with a N type conductive dopant.
  • layer 36 can be dope with phosphorus (P), by adding a dopant gas, such as phosphine (PH 3 ) during the deposition.
  • Polysilicon layer 36 is doped to a preferred concentration of between about 1.0 E 20 and 1.0 E 22 atoms/cm 3 and is deposited to a thickness sufficient to fill the recesses between the bit lines (patterned layers 28 , 30 and 32 ). More specifically layer 36 is deposited to a thickness of between about 4000 and 7000 Angstroms.
  • the polysilicon layer 36 is chemically-mechanically polished back to the Si 3 N 4 second cap layer 32 .
  • This second polish back results in a second auto self-aligned contact, also labeled 36 , that eliminates another critical photoresist mask alignment and further increases the circuit density for Gigabit DRAM devices.
  • the method forms the capacitor node contacts for the DRAM cells while concurrently forms the auto self-aligned contacts 36 to the first polysilicon plugs 24 for the borderless contact 19 (N), as depicted in the SAC portion of FIG. 7A.
  • FIG. 7B a top view of the DRAM cell area is shown in FIG. 7B with the cross section in FIG. 7A through the region 7 A- 7 A′ in FIG. 7B.
  • the top view depicts the polished back polysilicon layer 36 auto self-aligned to the Si 3 N 4 or SiON sidewall spacers 34 , and therefore self-aligned to the bit lines (patterned layers 28 , 30 and 32 ).
  • the remaining portions of the polished back polysilicon layer 36 is then patterned using a photoresist mask and plasma etching to form second contact plugs 36 to the first contact plugs 24 for capacitor node contacts.
  • Conventional photolithographic techniques and plasma etching a used to pattern the remaining polysilicon layer 36 , similar to the process used to etch the first polysilicon plugs 24 .
  • the N doped polysilicon layer 36 is also patterned, at the same time, to complete auto self-aligned contacts for the borderless self-aligned contacts 24 to the substrate contacts 19 (N), as depicted in the right portion (SAC) of FIG. 8A.
  • the polysilicon layer 36 remaining in the recesses between the bit lines after CMP is patterned using selective etching in a high density plasma (HDP) etcher that etches polysilicon selectively to the Si 3 N 4 insulating cap layer 32 , to the sidewall spacers 34 , and to the underlying silicon oxide layer 26 .
  • the etch rate ratio of polysilicon to silicon nitride is preferably greater than about 5:1, and can be achieve using a etch gas mixture having a Cl 2 base.
  • the photoresist mask (not shown) used to pattern the second polysilicon plugs 36 also extend over the Si 3 N 4 insulating cap 32 , therefore, the alignment of the photoresist etch mask is not critical and full advantage of the auto self-alignment is used to achieve Gigabit DRAM devices.
  • FIG. 8B a top view is shown in FIG. 8B after the patterning of the second polysilicon plugs 36 .
  • the cross section in FIG. 8A is through the region 8 A- 8 A′ in FIG. 8B. Since the second polysilicon plugs 36 are perfectly aligned to the sidewall spacers 34 , and therefore aligned to the bit lines (patterned layers 28 , 30 and 32 ) the maximum density is achieved.
  • planar second insulating 38 is formed over the bit lines as an insulating layer.
  • the preferred second insulating layer 38 is SiO 2 or a doped glass and is deposited by LPCVD using a reactant gas such a TEOS or TEOS and Ozone (O 3 ) to form SiO 2 .
  • the SiO 2 can be doped with boron and/or phosphorus during deposition to form a BSG or a BPSG.
  • Insulating layer 38 is then planarized, for example by CMP to have a preferred thickness of between about 5000 and 15000 Angstroms over the bit lines.
  • any air gaps (voids) due to poor gap fill of layer 38 do not cause electrical shorts between the plugs 36 .
  • an array of DRAM stacked capacitor are formed to complete array of memory cells.
  • Conventional photolithographic techniques and anisotropic plasma etching are used to etch openings 8 in the second insulating layer 38 aligned over the second polysilicon plugs 36 .
  • the openings 8 are etched selectively to the polysilicon plugs 36 using reactive ion etching (RIE) and an etchant gas containing fluorine species (e.g. CHF 3 ) to achieve openings having essentially vertical sidewalls.
  • RIE reactive ion etching
  • an etchant gas containing fluorine species e.g. CHF 3
  • Layer 40 is preferably a doped polysilicon layer, deposited by LPCVD using, for example, SiH 4 as the reactant gas, and is doped in situ with phosphorus by adding a dopant gas such as phosphine (PH 3 ).
  • Layer 44 is deposited to a preferred thickness of between about 500 and 1000 Angstroms, and is doped to a concentration of between about 1.0 E 20 and 1.0 E 22 atoms/cm 3 .
  • Layer is then etched or polished back to form capacitor bottom electrodes in the openings 8 while moving completely the polysilicon layer 40 from the top surface of the insulating 38 .
  • the dielectric layer 42 is preferably composed of layers of SiO 2 Si 3 N 4 /SiO 2 (ONO).
  • the ONO dielectric layer can be formed by first growing a thin thermal oxide (e.g., 5 Angstroms) on the polysilicon bottom electrodes 40 .
  • a Si 3 N 4 layer is deposited by LPCVD.
  • a thin SiO 2 is formed using an oxidation furnace to partially reduce the Si 3 N 4 layer to form a top SiO 2 layer that provides a pin-hole-free ONO layer.
  • the effective thickness of the ONO is about 35 to 50 Angstroms.
  • a fourth conducting layer 44 is deposited over the capacitor bottom electrodes to complete the capacitors and to form a high-density array of memory cells for Gigabit DRAM devices.
  • Layer 44 is preferably an in-situ doped polysilicon layer and is deposited by LPCVD using a reactant gas such SiH 4 , and using a dopant gas such as PH 3 .
  • the polysilicon layer 44 is doped N + to a preferred concentration of between about 1.0 E 19 and 1.0 E 22 atoms/cm 3 .
  • the preferred thickness of the polysilicon layer 44 is between about 500 and 1000 Angstroms.
  • Layer 44 is then patterned to form the capacitor top electrodes.
  • a fifth insulating layer 46 is deposited sufficiently thick to electrically insulate the array of capacitors on the DRAM device prior to subsequent processing to complete the DRAM device.
  • Layer 46 is SiO 2 , and is deposited by LPCVD.

Abstract

A method and novel DRAM cell design are described for making DRAM devices with more than a Gigabit memory cells. After forming the FETs and polycide word lines with a cap oxide and sidewall spacers, a thin diffusion protection oxide is deposited and openings are formed for contacts to the substrate. A conductively doped first polysilicon layer is deposited and polished back to the cap oxide. The first polysilicon remaining in the recesses between word lines is patterned to form first plug that are auto self-aligned (zero alignment error) to the word lines to achieve a very high density (Gigabit) memory. A planar first insulating layer with openings for bit lines is formed. Polycide bit lines are formed having a Si3N4 cap layer and sidewall spacers. Contact openings are selectively etched to first in the first insulating layer to first plugs and self-aligned aligned to the bit lines. A doped second polysilicon layer is deposited and polished back to the Si3N4 cap layer, and the remaining polysilicon between bit lines is patterned to form auto self-aligned capacitor node contacts to further increase memory cell density. A second insulating layer is deposited, in which DRAM capacitors are formed to complete the high density of memory for Gigabit DRAM devices. The auto self-aligned process eliminates critical photomask alignment and etching.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • This invention relates to integrated circuit semiconductor devices, and more particularly to a method for fabricating dynamic random access memory (DRAM) devices having one or more Gigabits of memory cells. This novel method improves the memory-cell density using auto self-aligning techniques while reducing electrical shorts between the word lines and polysilicon plug contacts. The method also reduces capacitor node leakage currents, parasitic capacitance. Also reduced is the electrical shorts between the closely spaced polysilicon plugs via keyholes (voids) in the interpolysilicon oxide (IPO) due to poor gap filling with the IPO. [0002]
  • 2. Description of the Prior Art [0003]
  • As integrated circuit density increases, it becomes increasing difficult to manufacture ultra large scale integrated (ULSI) circuits because of process limitations. This problem is particularly acute for making future DRAM devices having more than a Gigabit of memory cells. These process limitations are best understood by referring to the conventional DRAM structure in the prior art FIGS. 1A and 1B. FIG. 1A shows a portion of a partially completed DRAM cell. Typically a shallow trench isolation (STI) [0004] 12 is formed in a silicon substrate 10 surrounding and electrically isolating device (memory cell) areas. a barrier layer 13, such as silicon nitride (Si3N4), is deposited and patterned to form openings over the device areas and a gate oxide 14 is grown on the substrate 10 for field effect transistors (FETs). A doped polysilicon layer 16, a refractory metal silicide layer 18 and an insulating cap layer 20 is deposited and patterned to form word lines (layers 16, 18) over the STI 12 while concurrently forming FET gate electrodes over the thin gate oxide 14. Doped source/drain areas 17(N) are formed adjacent to the gate electrodes by ion implantation and a conformal insulating layer is deposited and anisotropically plasma etched back to form sidewall spacers 22 on the sidewall of the FET gate electrodes (patterned layer 16 and 18). Next an insulating layer 24 is deposited and polished back to form the first interpolysilicon oxide layer (IPO-1) and a photoresist mask and plasma etching are used to etch contact openings that extend over the FET gate electrodes (self-aligned) and down to the source/drain areas. One problem encountered in this conventional self-align process is damage to the source/drain areas 17 when the contact holes are plasma etched. Another problem is the overetching of the cap oxide layer 20, as depicted at point B in FIG. 1A, which can result in shorts to the FET gate electrodes when the contact holes are filled with a doped polysilicon (poly plugs) to make electrical contacts. Also the poly plugs also overlap the gate electrode resulting in increased parasitic capacitance, resulting in an increased RC time constants and reduced circuit speed. Another problem encountered is poor gap filling between the closely spaced word lines (patterned layers 16, 18 & 20) having high aspect ratios, as depicted at point A in FIG. 1A. When closely spaced contact openings 2 are etched in the insulating layer 24 and into the voids A between the word lines shorts can occur when the contact holes 2 are filled with polysilicon 26 to form the poly plugs. A major shortcoming of the conventional process is the need to align the contact hole extending over the FET gate electrodes, and requires relaxing the alignment rules which makes it difficult to achieved the required density for Gigabit DRAM chips. Still another problem with the conventional process is depicted in FIG. 1B for concurrently making borderless contacts 4 to the silicon substrate, that is contacts that extend over the shallow trench isolation (STI). When contact openings 2 are etched in the insulating layer 24 it is necessary to use an etch stop layer 13 (Si3N4) to prevent over etching the STI at the edge and damaging the contact. However, this requires additional process steps.
  • Numerous methods of making DRAM devices with improved electrical characteristics while increasing memory cell density have been reported. One method is described by Huang in U.S. Pat. No. 5,783,462 in which external contacts for testing stacked capacitors DRAM, but does not address the above problem. Another method for making DRAM devices with increased density and improved sign-to-noise ratio is described by Keeth in U.S. Pat. No. 5,864,181 but also does not address the above concerns. Cherng in U.S. Pat. No. 5,837,577 teaches a method for making DRAM capacitor node contacts self-aligned to the bit lines but and also does not address the above problems. [0005]
  • However, there is still a need in the industry to provide an improved process with novel cell design that is applicable to DRAMs having more than a Gigabit of memory cells. Further while reducing the narrow spacings for Gigabit DRAMS by minimizing the alignment tolerance ground rule, it is also necessary to reduces parasitic capacitance, capacitor node leakage currents, and electrical shorts between closely space polysilicon plug contacts to achieve an acceptable circuit performance and an acceptable product yield. [0006]
  • SUMMARY OF THE INVENTION
  • A principal object of the present invention is to form capacitor-over-bit line (COB) dynamic random access memory (DRAM) devices with increased memory cell density for future DRAM devices with one or more Gigabits of memory cells. The increase in cell density is achieved by using a double auto self-aligned polysilicon contact plugs technique. [0007]
  • A second objective of this invention is to form these high-density memory cells with reduced electrical shorts, reduced parasitic capacitance to word lines and reduced capacitor node leakage current by reducing plasma etch damage at the substrate contacts. [0008]
  • A third objective of this invention is to form the interpolysilicon oxide (IPO) gap filling after the auto-self-aligned polysilicon plugs are formed. This prevents the polysilicon plugs from shorting through keyhole channels (voids) in the IPO while the keyhole cavities between plugs further reduce intralevel capacitance. [0009]
  • Still another objective of this invention is to provide a very cost-effective manufacturing process. [0010]
  • This novel invention is a method for making DRAM devices with one or more Gigabit of memory cell. The method begins by providing a semiconductor substrate, such as a P[0011] doped single-crystal silicon having a <100> crystallographic orientation. A relatively thick Field OXide (FOX) is formed that surrounds and electrically isolates device areas on the substrate for the DRAM memory cells. One conventional method of forming the field oxide areas is by a shallow trench isolation (STI) method, as commonly practiced in the industry. Field effect transistors (FETs) are formed next by growing a thin gate oxide on the device areas. A first polycide layer is formed by depositing a heavily N+ doped polysilicon layer and a refractory metal silicide layer. An insulating layer, such as silicon oxide (SiO2), is deposited to form a first cap layer on the polycide layer. The cap layer and first polycide layer are patterned to form the DRAM word lines that also serve as gate electrodes over the device areas. Next N doped source/drain areas are formed adjacent to the gate electrodes and a conformal insulating is deposited and anisotropically etched back to form first sidewall spacers on the gate electrode.
  • Next a thin conformal diffusion protection oxide layer, such as SiO[0012] 2, is deposited and is patterned to form openings where contacts to the substrate are desired. Then a first conducting layer, preferably composed of an N doped polysilicon is deposited and is chemically-mechanically polished (CMP) back to the first cap oxide layer. A photoresist mask and plasma etching are used to pattern the polished back first conducting layer to form the first contact plugs for bit lines and for capacitor node contacts. The polish-back results in the first contact plugs being auto-self-aligned to the gate electrodes. This eliminates the critical alignment requirement for etching the self-aligning contact openings 2 in the conventional process (see FIG. 1A) and avoids the damage due to plasma etching in the contact opening. Also the damage to borderless contacts 4 (see prior art FIG. 1B) is also avoided. Next a first insulating layer, preferably SiO2, is deposited and planarized by CMP. Because the first insulating layer is deposited after the polysilicon plugs are formed, the gaps (voids) formed are not continuously open between the closely spaced plugs. This avoids the electrical shorts, of the conventional process when contact openings are etched in the insulating layer having the keyhole gaps. Bit-line contact openings are etched in the first insulating layer to the first contact plugs for the bit lines. A second polycide layer and a second cap layer, composed of silicon nitride (Si3N4), are deposited and patterned to form the bit lines. A conformal Si3N4 or silicon oxynitride (SiON) layer is deposited and anisotropically etched back to form second sidewall spacers on the bit lines. Capacitor node contact openings are selectively etched in the first insulating layer to the first contact plugs for forming capacitor node contacts while the Si3N4 cap layer and the second sidewall spacers (SiON) protect the bit lines during etching. A second conducting layer, preferably composed of an N-doped polysilicon, is deposited and polished back to the Si3N4 second cap layer. The polished back layer is then patterned using a photoresist mask and plasma etching to form second contact plugs for capacitor node contacts to the first contact plugs. This second polish back results in a second auto self-aligned contact that eliminates another critical photoresist mask alignment an further increases circuit density. The method for making this high-density array of DRAM cells is now completed by forming a planar second insulating layer, such as SiO2. Openings are etched in the second insulating layer over and to the second contact plugs for capacitor bottom electrodes. A conformal third conducting layer is formed in the openings for the capacitor bottom electrodes and a thin interelectrode dielectric layer and a fourth conducting layer are formed over the capacitor bottom electrodes to form the capacitors and to complete the high-density array of memory cells for Gigabit DRAM devices.
  • The invention also includes a method of integrating into the DRAM process an improved borderless self-aligned contact (SAC). This improved SAC does not need a silicon nitride hard mask to protect the edge of the shallow trench isolation (STI), as in the conventional process when the contact openings are etched in a thick interpolysilicon oxide (IPO) layer to the silicon substrate.[0013]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The objects and advantages of this invention are best understood with reference to the attached drawings in the figures and the embodiment that follows. [0014]
  • FIG. 1 shows a prior-art schematic cross-sectional view of a partially completed DRAM depicting the shortcomings of the conventional technology, and a prior-art schematic cross-sectional view for a borderless self-aligned contact make concurrently that also depicts the shortcomings of the conventional technology. [0015]
  • FIGS. 2 through 9 show a series of schematic cross-sectional views with schematic top views depicting the sequence of process steps for making the high-density array of memory cells for a DRAM using an auto-self-aligned contact method and the method for concurrently making a borderless SAC.[0016]
  • DESCRIPTION OF THE PREFERRED EMBODIMENT
  • The invention is now described in detail for making this array of high-density memory cells for DRAMs having more than a gigabit of memory cells, and for concurrently making improved borderless self-aligned contacts for DRAM devices on a silicon substrate. The series of cross sectional views in the left portions of FIGS. [0017] 2-9 are for making the DRAM cells and is likewise labeled “DRAM” while the right portion of FIGS. 2-9 are for making the self-aligned contact (SAC) and is correspondingly labeled “SAC”. The top views in FIGS. 3B and 4B depict the only DRAM cell design with the auto self-aligned first polysilicon contacts plugs self aligned to the word lines and contacting the substrate. The top views in FIGS. 7B and 8B depict the auto self-aligned second polysilicon plugs to the bit line for completing the capacitor node contact to the underlying first polysilicon plugs.
  • Although the process is described for making memory cells for DRAM devices having N-channel FETs as the access transistors on a P-doped substrate, it should also be well understood by one skilled in the art that by including additional process steps, in addition to those described in this embodiment, other types of devices can be included on the DRAM chip. For example, by forming N-well regions in a P-doped substrate, P-channel FETs can also be provided and Complementary Metal-Oxide-Semiconductor (CMOS) circuits can be formed therefrom, such as are required for the peripheral circuits on the DRAM chip and embedded DRAM circuits. Although the method is described in detail for making auto self-aligned polysilicon plugs, it should also be understood that other electrically conducting materials, such as tungsten or tungsten silicide and the like, can be used to form the plugs when process compatible. [0018]
  • Referring now to FIG. 2, the method begins by providing a [0019] semiconductor substrate 10, a portion of which is shown in the Fig. for a partially completed DRAM cell. On the left is the DRAM cell and on the right is the borderless SAC. Typically the substrate is a P doped single-crystal silicon having a <100> crystallographic orientation. Field OXide (FOX) regions 12 are formed surrounding and electrically isolating the device areas. One conventional method of forming the field oxide regions is by using a shallow trench isolation (STI) method, as commonly practiced in the industry. Generally the STI is formed by etching trenches in the field oxide regions on the substrate 10 to a depth of between about 2500 and 5000 Angstroms. After forming a thin thermal oxide (not shown) in the trenches, the trenches are filled with an insulating material such a chemical vapor deposited SiO2, and are made planar with the surface of the substrate 10, for example, by using a planarizing plasma etchback or chemical/mechanical polishing (CMP) to form the STI 12. Next, a thin gate oxide 14 of about 15 to 70 Angstroms thick is grown on the device areas.
  • Still referring to FIG. 2, a first polycide layer is formed by depositing a heavily N[0020] + doped polysilicon layer 16 and a refractory metal silicide layer 18. The polysilicon layer 16 is deposited by chemical vapor deposition (CVD) using silane (SiH4) as the reactant gas and is deposited to a preferred thickness of between about 500 and 2000 Angstroms. The polysilicon layer 16 is doped with arsenic (As) or phosphorus (P) by ion implantation after deposition or is doped during the CVD polysilicon deposition by adding a dopant gas, such as arsine (AsH3) or phosphine (PH3). Layer 16 is preferable doped to a concentration of between about 1.0 E 20 and 1.0 E 22 atoms/cm3. The silicide layer 18 is preferably a tungsten silicide (WSix) and is also deposited by CVD using tungsten hexafluoride (WF6) and SiH4 and the reactant gases and is deposited to a preferred thickness of between about 500 and 1500 Angstroms. An insulating layer 20, such as silicon oxide (SiO2), is deposited to form a first cap layer 20 on the polycide layer 18. The cap layer 20 is deposited by low pressure CVD (LPCVD) using, for example tetraethosiloxane (TEOS) as the reactant gas, and is deposited to form a relatively thick layer. For example the SiO2 layer 20 is deposited to a thickness of between about 1000 and 2000 Angstroms. The cap layer 20 and first polycide layer (layers 16 and 18) are then patterned using conventional photolithographic techniques and anisotropic plasma etching to form the DRAM word lines that also serve as gate electrodes over the device areas. Next N doped source/drain areas 17(N) are formed adjacent to the gate electrodes, for example by ion implanting As75 or p31 ions. Preferably ions are implanted at a dose and energy to achieve a final concentration of between about 1.0 E 17 and 1.0 E 18 atoms/cm3. First sidewall spacers 22 are formed on the sidewalls of the gate electrode (patterned layers 20, 18 and 16) by depositing a conformal insulating layer 22 which is then anisotropically etched back. The conformal layer 22 is preferably SiO2 and is deposited by LPCVD using TEOS as the reactant gas. The layer 22 is then anisotropically etched back using reactive ion etching (RIE) or a high density plasma (HDP) etching to achieve a sidewall spacer width of between about 500 and 100 Angstroms. Next, as shown in FIG. 2, a relatively thin conformal diffusion protection oxide layer 13 is deposited. Layer 13 is preferably SiO2 and is deposited by LPCVD using TEOS as the reactant gas and is deposited to a thickness of between about 100 and 500 Angstroms. Layer 13 is then patterned to form openings where contacts to the substrate are desired, such as for the self-aligned contacts (SAC) 1 (right portion of FIG. 2) and over the DRAM cells, as shown in the left portion of FIG. 2. The patterning of layer 13 is carried out using a patterned photoresist mask (not shown) and wet etching in a dilute solution hydrofluoric acid (HF) and water (H2O) to provide essentially damage free contacts on the substrate surface. Typically a solution of HF to H2O of between about 1:100 and 5:100 by volume is used to etch layer 13.
  • Still referring to FIG. 2, a [0021] first conducting layer 24 is deposited. Layer 24 is preferably composed of an N conductively doped polysilicon and is deposited by low pressure chemical vapor deposition (LPCVD) using silane (SiH4) as the reactant gas. Layer 24 is doped in situ by adding a dopant gas such as arsine (AsH3) or phosphine (PH3) during the polysilicon deposition. The layer 24 is preferably doped to a concentration of between about 1.0 E 20 and 1.0 E 22 atoms/cm3. The polysilicon layer 24 is deposited to a thickness sufficient to fill the recess between the word lines, but more specifically to a thickness of between about 4000 and 7000 Angstroms.
  • Referring next to FIG. 3A The [0022] polysilicon layer 24 is planarized back to the cap layer 20. For example, layer 20 is chemically-mechanically polished (CMP) using commercially available polishing tools. This CMP results in the remaining N doped polysilicon layer 24 between the word lines being automatically aligned to the gate electrodes (patterned layers 16, 18 and 20). This auto self-alignment eliminates the critical alignment requirement for etching the self-aligning contact openings 2 in the conventional process (see FIG. 1A) and avoids the damage due to plasma etching in the contact opening. To better appreciate this process step, a top view after the CMP is depicted in FIG. 3B in which the cross section in FIG. A is through 3A-3A′ of FIG. 3B. The Chem-mech polished backed polysilicon layer 24 is self-aligned to the sidewall spacers 22 on the gate electrodes (16, 18 and 20). The top view is only depicted for the DRAM portion of FIG. 3. Since there is no critical alignment and etch step, as in the convention process (see FIG. 1), the circuit density is significantly increased as require for Gigabit DRAM devices. Also since the N doped polysilicon 24 is deposited prior to depositing ILD layer and etching contact hole, as in the conventional process of FIG. 1, the method avoids plasma etch damage to the source/drain areas 17(N) in the DRAM cell areas and to borderless contact areas 19(N) as depicted in (SAC) portion of FIG. 3A.
  • Referring now to FIG. 4A, a non-critical (alignment) photoresist masking step and plasma etching are used to pattern the remaining [0023] polysilicon layer 24 to complete the first polysilicon contact plug 24 for both the bit lines and capacitor node contacts. The N doped polysilicon layer 24 is also patterned, at the same time, to form the borderless self-aligned contacts 24 to the substrate contacts 19(N) and to form interconnections 25, as depicted in the right portion (SAC) of FIG. 4A. The plasma etching is carried out preferably in a high density plasma (HDP) etcher using a etching gas mixture containing chlorine (C12) and hydrogen bromide (HBr).
  • A top view of the DRAM cell after the process step carried out in FIG. 4A is depicted in FIG. 4B. The borderless contact (SAC) portion of FIG. 4A is not depicted in the top view. The cross section for the DRAM in FIG. 4A is shown for the region through [0024] 4A-4A′ of the top view in FIG. 4B. The photoresist mask is not shown, but the alignment of the mask is non-critical in both the x and y directions for etching the plugs 24 depicted in FIG. 4B. Since the patterned photoresist mask can overlaps the cap insulating 20 an isotropic plasma etch can be used to effectively remove the polysilicon layer 24 in the recesses between the word lines (16, 18, 20). Further, since the plugs do not extend over the word lines the parasitic capacitance between the plug 24 and the word line is reduced.
  • Still referring to FIG. 4A, a first insulating [0025] layer 26 is deposited and planarized. The insulating layer 26 is preferably SiO2 or a doped oxide such as borophoso-silicate glass (BPSG). The SiO2 is deposited by LPCVD using a reactant gas such as TEOS and the BPSG can be formed by adding a dopant gas during deposition. The insulating layer 26, commonly referred to in the industry as the first inter-polysilicon oxide (IPO-1) layer, is preferably planarized by CMP. Because the first insulating layer is deposited after the polysilicon plugs 24 are formed, the air gaps (or voids) formed are not continuously open between the closely spaced plugs 24. This avoids the electrical shorts, of the conventional process where contact openings 2 are etched in the insulating layer 24 having the keyhole gaps due to poor gap filling, as depicted in the prior art of FIG. 1. Since the closed voids (point B in FIG. 4A) have an effective relative dielectric k equal to about 1.0, the intralevel parasitic capacitance is also further reduced.
  • Referring now to FIG. 5, conventional photolithographic techniques and anisotropic plasma etching are used to etch [0026] contact openings 4 in the first insulating layer 26 to first contact plugs 24 for bit lines contacts while the first contact plugs 24 for node contact are protected with photoresist (not shown). After stripping the photoresist a second polycide layer composed of a N doped polysilicon layer 28, a refractory metal silicide layer 30 and a second cap insulating layer 32 is deposited and patterned to form the bit lines. The process for forming the bit lines is similar to the process for forming the word lines and is therefore not discussed in detail. However, the preferred thickness of the polysilicon layer 28 is between about 500 and 1000 Angstroms, the thickness of the tungsten silicide layer 30 is between about 500 and 1500 Angstroms, and the thickness of the cap layer 32 is between about 1000 and 2000 Angstroms. However, the second insulating cap layer 32 and the sidewall spacers 34 for the bit lines are preferably composed of silicon nitride (Si3N4) and/or silicon oxynitride (SiON). For example the Si3N4 can be deposited LPCVD using silane and ammonia (NH3) as the deposition gases, and the SiON can be deposited by adding nitrous oxide (N2O) during LPCVD.
  • Referring now to FIG. 6, the method of making auto self-aligned second contact plugs are made for capacitor node contacts that are auto self-aligned to the bit lines is now described. Since the bit lines are typically formed orthogonal to the word lines on the actual product the cross sectional views in FIGS. 6 through 9 are cross section that are normal to the cross sections in the earlier FIGS. [0027] 2-5, and therefore, the FET gate electrodes are not in view. Referring first to the DRAM portion of FIG. 6, openings 6 are selectively etched in the first insulating layer 26 to the first contact plugs 24 for forming capacitor node contacts. Concurrently, contact openings (also labeled 6) for the borderless contacts 19(N) are etched in layer 26 to the polysilicon plugs 24, as depicted in the SAC portion of FIG. 6. The openings in the photoresist mask (not shown) used for making these contact openings 6 extend over the Si3N4 cap layer 32 and therefore the openings 6 in layer 26 are self-aligned to the bit lines. Typically the contact openings 6 are etched using HDP etching or reactive ion etching and a etchant gas mixture which selectively etches the SiO2 to the Si3N4 cap layer. For example, one preferred etch gas mixture is a fluorine based gas and oxygen (O2) which has an etch rate selectivity of SiO2 to Si3N4 of about 5:1.
  • Still referring to FIG. 6, a [0028] second conducting layer 36, preferably composed of an N-doped polysilicon, is deposited by LPCVD using silane as the reactant gas and is in situ doped with a N type conductive dopant. For example layer 36 can be dope with phosphorus (P), by adding a dopant gas, such as phosphine (PH3) during the deposition. Polysilicon layer 36 is doped to a preferred concentration of between about 1.0 E 20 and 1.0 E 22 atoms/cm3 and is deposited to a thickness sufficient to fill the recesses between the bit lines (patterned layers 28, 30 and 32). More specifically layer 36 is deposited to a thickness of between about 4000 and 7000 Angstroms.
  • Now as shown in FIG. 7A, the [0029] polysilicon layer 36 is chemically-mechanically polished back to the Si3N4 second cap layer 32. This second polish back results in a second auto self-aligned contact, also labeled 36, that eliminates another critical photoresist mask alignment and further increases the circuit density for Gigabit DRAM devices. The method forms the capacitor node contacts for the DRAM cells while concurrently forms the auto self-aligned contacts 36 to the first polysilicon plugs 24 for the borderless contact 19(N), as depicted in the SAC portion of FIG. 7A. To better appreciate this improved structure a top view of the DRAM cell area is shown in FIG. 7B with the cross section in FIG. 7A through the region 7A-7A′ in FIG. 7B. The top view depicts the polished back polysilicon layer 36 auto self-aligned to the Si3N4 or SiON sidewall spacers 34, and therefore self-aligned to the bit lines (patterned layers 28, 30 and 32).
  • Referring now to FIG. 8A, The remaining portions of the polished [0030] back polysilicon layer 36 is then patterned using a photoresist mask and plasma etching to form second contact plugs 36 to the first contact plugs 24 for capacitor node contacts. Conventional photolithographic techniques and plasma etching a used to pattern the remaining polysilicon layer 36, similar to the process used to etch the first polysilicon plugs 24. The N doped polysilicon layer 36 is also patterned, at the same time, to complete auto self-aligned contacts for the borderless self-aligned contacts 24 to the substrate contacts 19(N), as depicted in the right portion (SAC) of FIG. 8A. The polysilicon layer 36 remaining in the recesses between the bit lines after CMP is patterned using selective etching in a high density plasma (HDP) etcher that etches polysilicon selectively to the Si3N4 insulating cap layer 32, to the sidewall spacers 34, and to the underlying silicon oxide layer 26. The etch rate ratio of polysilicon to silicon nitride is preferably greater than about 5:1, and can be achieve using a etch gas mixture having a Cl2 base.
  • The photoresist mask (not shown) used to pattern the second polysilicon plugs [0031] 36 also extend over the Si3N4 insulating cap 32, therefore, the alignment of the photoresist etch mask is not critical and full advantage of the auto self-alignment is used to achieve Gigabit DRAM devices. To better appreciate this auto self-alignment a top view is shown in FIG. 8B after the patterning of the second polysilicon plugs 36. The cross section in FIG. 8A is through the region 8A-8A′ in FIG. 8B. Since the second polysilicon plugs 36 are perfectly aligned to the sidewall spacers 34, and therefore aligned to the bit lines (patterned layers 28, 30 and 32) the maximum density is achieved.
  • Still referring to FIG. 8, planar second insulating [0032] 38, commonly referred to as an interpolysilicon oxide 2 (IPO-2), is formed over the bit lines as an insulating layer. The preferred second insulating layer 38 is SiO2 or a doped glass and is deposited by LPCVD using a reactant gas such a TEOS or TEOS and Ozone (O3) to form SiO2. The SiO2 can be doped with boron and/or phosphorus during deposition to form a BSG or a BPSG. Insulating layer 38 is then planarized, for example by CMP to have a preferred thickness of between about 5000 and 15000 Angstroms over the bit lines. Since the second insulating layer 38 is deposited after the auto self-aligned polysilicon plugs 36 are formed, any air gaps (voids) due to poor gap fill of layer 38, such as void C depicted in the right (SAC) portion of FIG. 8A do not cause electrical shorts between the plugs 36.
  • Referring now to FIG. 9, an array of DRAM stacked capacitor are formed to complete array of memory cells. Conventional photolithographic techniques and anisotropic plasma etching are used to etch [0033] openings 8 in the second insulating layer 38 aligned over the second polysilicon plugs 36. The openings 8 are etched selectively to the polysilicon plugs 36 using reactive ion etching (RIE) and an etchant gas containing fluorine species (e.g. CHF3) to achieve openings having essentially vertical sidewalls.
  • Next a conformal [0034] third conducting layer 40 is deposited. Layer 40 is preferably a doped polysilicon layer, deposited by LPCVD using, for example, SiH4 as the reactant gas, and is doped in situ with phosphorus by adding a dopant gas such as phosphine (PH3). Layer 44 is deposited to a preferred thickness of between about 500 and 1000 Angstroms, and is doped to a concentration of between about 1.0 E 20 and 1.0 E 22 atoms/cm3. Layer is then etched or polished back to form capacitor bottom electrodes in the openings 8 while moving completely the polysilicon layer 40 from the top surface of the insulating 38. Then a thin interelectrode dielectric layer 42, that has a high dielectric constant (high-k), is formed on the array of bottom electrode 40. The dielectric layer 42 is preferably composed of layers of SiO2Si3N4/SiO2 (ONO). The ONO dielectric layer can be formed by first growing a thin thermal oxide (e.g., 5 Angstroms) on the polysilicon bottom electrodes 40. A Si3N4 layer is deposited by LPCVD. Then a thin SiO2 is formed using an oxidation furnace to partially reduce the Si3N4 layer to form a top SiO2 layer that provides a pin-hole-free ONO layer. The effective thickness of the ONO is about 35 to 50 Angstroms. A fourth conducting layer 44 is deposited over the capacitor bottom electrodes to complete the capacitors and to form a high-density array of memory cells for Gigabit DRAM devices. Layer 44 is preferably an in-situ doped polysilicon layer and is deposited by LPCVD using a reactant gas such SiH4, and using a dopant gas such as PH3. The polysilicon layer 44 is doped N+ to a preferred concentration of between about 1.0 E 19 and 1.0 E 22 atoms/cm3. The preferred thickness of the polysilicon layer 44 is between about 500 and 1000 Angstroms. Layer 44 is then patterned to form the capacitor top electrodes. A fifth insulating layer 46 is deposited sufficiently thick to electrically insulate the array of capacitors on the DRAM device prior to subsequent processing to complete the DRAM device. Layer 46 is SiO2, and is deposited by LPCVD.
  • While the invention has been particularly shown and described with reference to the preferred embodiment thereof, it will be understood by those skilled in the art that various changes in form and details may be made without departing from the spirit and scope of the invention.[0035]

Claims (31)

What is claimed is:
1. A method for making an array of high-density memory cells having auto-self-aligned contacts for DRAM devices on a semiconductor substrate comprising the steps of:
forming device areas for memory cells surrounded and electrically isolated by field oxide areas on said substrate;
forming a gate oxide on said device areas;
depositing a first polycide layer and a first cap oxide layer and patterning to form word lines extending over said device areas to form FET gate electrodes, and forming doped source/drain areas adjacent to said gate electrodes, and forming first sidewall spacers on said word lines;
forming a patterned diffusion protection oxide with openings for contacts to said substrate;
depositing a first conducting layer;
polishing back said first conducting layer to said first cap oxide layer and patterning said first conducting layer to form first contact plugs that are auto-self-aligned to said gate electrodes;
depositing and planarizing a first insulating layer;
etching bit-line contact openings in said first insulating layer to said first contact plugs;
depositing a second polycide layer and a second cap layer and patterning to form bit lines and forming insulating second sidewall spacers on said bit lines;
etching capacitor node contact openings in said first insulating layer to said first contact plugs;
depositing a second conducting layer;
polishing back said second conducting layer to said second cap layer and patterning said second conducting layer to form second contact plugs for capacitor node contacts to said first contact plugs, said second contact plugs auto-self-aligned to said bit lines;
depositing and planarizing a second insulating layer and etching openings for capacitor bottom electrodes to said second contact plugs;
forming a third conducting layer in said openings for said capacitor bottom electrodes, and forming an interelectrode dielectric layer and a fourth conducting layer to form said capacitors and completing said array of high-density memory cells.
2. The method of
claim 1
, wherein said first polycide layer is composed of a doped polysilicon layer having a thickness of between about 500 and 2000 Angstroms and an upper tungsten silicide layer having a thickness of between about 500 and 1500 Angstroms.
3. The method of
claim 1
, wherein said first cap oxide layer is silicon oxide deposited by low-pressure chemical vapor deposition to a thickness of between about 1000 and 2000 Angstroms.
4. The method of
claim 1
, wherein said first sidewall spacers are silicon oxide and have a width of between about 500 and 1000 Angstroms.
5. The method of
claim 1
, wherein said diffusion protection layer is silicon oxide deposited by chemical vapor deposition to a thickness of between about 100 and 500 Angstroms.
6. The method of
claim 1
, wherein said first conducting layer is a polysilicon layer deposited by low-pressure chemical vapor deposition to a thickness sufficient to fill the recesses between said word lines, and is doped with an N type dopant to a concentration of between about 1.0 E 20 and 1.0 E 22 atoms/cm3.
7. The method of
claim 1
, wherein said first insulating layer is silicon oxide deposited by low-pressure chemical vapor deposition and is polished to have a thickness of between about 3000 and 5000 Angstroms over said FET gate electrodes.
8. The method of
claim 1
, wherein said second polycide layer is composed of a doped polysilicon layer having a thickness of between about 500 and 1000 Angstroms and an upper tungsten silicide layer having a thickness of between about 500 and 1500 Angstroms.
9. The method of
claim 1
, wherein said second cap layer is silicon nitride deposited by low-pressure chemical vapor deposition to a thickness of between about 1000 and 2000 Angstroms.
10. The method of
claim 1
, wherein said second sidewall spacers are formed by depositing a conformal layer of silicon oxynitride and anisotropically etching back to form said second sidewall spacers having a width of between about 500 and 1500 Angstroms.
11. The method of
claim 1
, wherein said capacitor node contact openings that are selectively etched in said first insulating layer to said first contact plugs are also selectively etched to said second cap layer and said second sidewall spacers to prevent electrical shorts to said bit lines.
12. The method of
claim 1
, wherein said second conducting layer is a doped polysilicon layer deposited by low-pressure chemical vapor deposition to a thickness sufficient to fill the recesses between said bit lines, and is doped with an N type dopant to a concentration of between about 1.0 E 20 and 1.0 E 22 atoms/cm3.
13. The method of
claim 1
, wherein said second insulating layer is silicon oxide deposited by LPCVD and is planarized to have a thickness of between about 1500 and 4000 Angstroms over said bit lines.
14. A method for making an array of high-density memory cells having auto-self-aligned contacts for DRAM devices on a semiconductor substrate comprising the steps of:
forming device areas for memory cells surrounded and electrically isolated by field oxide areas on said substrate;
forming a gate oxide on said device areas;
depositing a first polycide layer and a first cap oxide layer and patterning to form word lines extending over said device areas to form FET gate electrodes, and forming doped source/drain areas adjacent to said gate electrodes, and forming first sidewall spacers on said word lines;
forming a patterned diffusion protection oxide with openings for contacts to said substrate;
depositing a first conducting layer composed of doped polysilicon;
polishing back said first conducting layer to said first cap oxide layer and patterning said first conducting layer to form first contact plugs that are auto-self-aligned to said gate electrodes;
depositing and planarizing a first insulating layer;
etching bit-line contact openings in said first insulating layer to said first contact plugs;
depositing a second polycide layer and a second cap layer and patterning to form bit lines and forming insulating second sidewall spacers on said bit lines;
etching capacitor node contact openings in said first insulating layer to said first contact plugs;
depositing a second conducting layer composed of doped polysilicon;
polishing back said second conducting layer to said second cap layer and patterning said second conducting layer to form second contact plugs for capacitor node contacts to said first contact plugs, said second contact plugs auto-self-aligned to said bit lines;
depositing and planarizing a second insulating layer and etching openings for capacitor bottom electrodes to said second contact plugs;
forming a third conducting layer in said openings for said capacitor bottom electrodes and forming an interelectrode dielectric layer and a fourth conducting layer to form said capacitors and completing said array of high-density memory cells.
15. The method of
claim 14
, wherein said first polycide layer is composed of a doped polysilicon layer having a thickness of between about 500 and 2000 Angstroms and an upper tungsten silicide layer having a thickness of between about 500 and 1500 Angstroms.
16. The method of
claim 14
, wherein said first cap oxide layer is silicon oxide deposited by low-pressure chemical vapor deposition to a thickness of between about 1000 and 2000 Angstroms.
17. The method of
claim 14
, wherein said first sidewall spacers are silicon oxide and have a width of between about 500 and 1000 Angstroms.
18. The method of
claim 14
, wherein said diffusion protection layer is silicon oxide deposited by chemical vapor deposition to a thickness of between about 100 and 500 Angstroms.
19. The method of
claim 14
wherein said first conducting layer composed of a polysilicon layer is deposited by low-pressure chemical vapor deposition to a thickness sufficient to fill the recesses between said word lines, and is doped with an N type dopant to a concentration of between about 1.0 E 20 and 1.0 E 22 atoms/cm3.
20. The method of
claim 14
, wherein said first insulating layer is silicon oxide deposited by low-pressure chemical vapor deposition and is polished to have a thickness of between about 2000 and 4000 Angstroms over said FET gate electrodes.
21. The method of
claim 14
, wherein said second polycide layer is composed of a doped polysilicon layer having a thickness of between about 500 and 1000 Angstroms and an upper tungsten silicide layer having a thickness of between about 500 and 1500 Angstroms.
22. The method of
claim 14
, wherein said second cap layer is silicon nitride deposited by low-pressure chemical vapor deposition to a thickness of between about 1000 and 2000 Angstroms.
23. The method of
claim 14
, wherein said second sidewall spacers are formed by depositing a conformal layer of silicon oxynitride and anisotropically etching back to form said second sidewall spacers having a width of between about 500 and 1500 Angstroms.
24. The method of
claim 14
, wherein said capacitor node contact openings that are selectively etched in said first insulating layer to said first contact plugs are also selectively etched to said second cap layer and said second sidewall spacers to prevent electrical shorts to said bit lines.
25. The method of
claim 14
, wherein said second conducting layer composed of a doped polysilicon layer is deposited by low-pressure chemical vapor deposition to a thickness sufficient to fill the recesses between said bit lines, and is doped with an N type dopant to a concentration of between about 1.0 E 22 and 1.0 E 22 atoms/cm3.
26. The method of
claim 14
, wherein said second insulating layer is silicon oxide deposited by LPCVD and is planarized to have a thickness of between about 2000 and 4000 Angstroms over said bit lines.
27. An array of high-density memory cells having auto-self-aligned contacts for DRAM devices on a semiconductor substrate comprising of:
device areas for memory cells surrounded and electrically isolated by field oxide areas on said substrate;
a gate oxide on said device areas;
a patterned first polycide layer with a first cap oxide layer for word lines extending over said device areas for FET gate electrodes, and doped source/drain areas adjacent to said gate electrodes, and first sidewall spacers on said word lines;
a patterned diffusion protection oxide with openings for contacts to said substrate;
a patterned first conducting layer in recesses between said word lines, providing first contact plugs that are auto-self-aligned to said gate electrodes;
a planar first insulating layer with bit-line contact openings in said first insulating layer to said first contact plugs;
a patterned second polycide layer with a silicon nitride second cap layer for bit lines and having insulating second sidewall spacers on said bit lines;
capacitor node contact openings in said first insulating layer to said first contact plugs;
a patterned second conducting layer in recesses between said bit lines, providing second contact plugs for capacitor node contacts that are auto-self-aligned to said bit lines;
a planar second insulating layer on said bit lines with openings over and to said second contact plugs;
a third conducting layer in said openings for capacitor bottom electrodes, an interelectrode dielectric layer and a fourth conducting layer on said bottom electrode for capacitors.
28. The DRAM structure of
claim 27
, wherein said first cap oxide is silicon oxide.
29. The DRAM structure of
claim 27
, wherein said diffusion protection layer is silicon oxide.
30. The DRAM structure of
claim 27
, wherein said first and second conducting layers are conductively doped polysilicon.
31. The DRAM structure of
claim 27
, wherein said second cap layer and said second sidewall spacers are silicon nitride.
US09/859,301 1999-10-29 2001-05-18 Novel cell design and process for making dynamic random access memory (DRAM) having one or more gigabits of memory cells Abandoned US20010023097A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/859,301 US20010023097A1 (en) 1999-10-29 2001-05-18 Novel cell design and process for making dynamic random access memory (DRAM) having one or more gigabits of memory cells

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/429,671 US6255160B1 (en) 1999-10-29 1999-10-29 Cell design and process for making dynamic random access memory (DRAM) having one or more Gigabits of memory cells
US09/859,301 US20010023097A1 (en) 1999-10-29 2001-05-18 Novel cell design and process for making dynamic random access memory (DRAM) having one or more gigabits of memory cells

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/429,671 Division US6255160B1 (en) 1999-10-29 1999-10-29 Cell design and process for making dynamic random access memory (DRAM) having one or more Gigabits of memory cells

Publications (1)

Publication Number Publication Date
US20010023097A1 true US20010023097A1 (en) 2001-09-20

Family

ID=23704249

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/429,671 Expired - Lifetime US6255160B1 (en) 1999-10-29 1999-10-29 Cell design and process for making dynamic random access memory (DRAM) having one or more Gigabits of memory cells
US09/859,301 Abandoned US20010023097A1 (en) 1999-10-29 2001-05-18 Novel cell design and process for making dynamic random access memory (DRAM) having one or more gigabits of memory cells

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/429,671 Expired - Lifetime US6255160B1 (en) 1999-10-29 1999-10-29 Cell design and process for making dynamic random access memory (DRAM) having one or more Gigabits of memory cells

Country Status (1)

Country Link
US (2) US6255160B1 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010008796A1 (en) * 2000-01-11 2001-07-19 Masahiko Matsudo Method for depositing tungsten silicide film and method for preparing gate electrode/wiring
US6545307B2 (en) * 2000-11-09 2003-04-08 United Microelectronics Corp. Structure of a DRAM and a manufacturing process therefor
US20040169211A1 (en) * 2003-02-28 2004-09-02 Haoren Zhuang Avoiding shorting in capacitors
US20110186929A1 (en) * 2010-01-29 2011-08-04 Jens Heinrich Soi semiconductor device comprising substrate diodes having a topography tolerant contact structure
US20110189825A1 (en) * 2010-01-29 2011-08-04 Jens Heinrich Soi semiconductor device with reduced topography above a substrate window area
CN102456617A (en) * 2010-10-27 2012-05-16 国际商业机器公司 Method of forming self-aligned local interconnect and structure formed thereby
CN113394223A (en) * 2020-03-11 2021-09-14 铠侠股份有限公司 Semiconductor memory device with a plurality of memory cells

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6174767B1 (en) * 1998-05-11 2001-01-16 Vanguard International Semiconductor Corporation Method of fabrication of capacitor and bit-line at same level for 8F2 DRAM cell with minimum bit-line coupling noise
JP4737789B2 (en) * 1999-06-18 2011-08-03 株式会社東芝 Semiconductor device
JP2001257325A (en) * 2000-03-08 2001-09-21 Nec Corp Semiconductor memory and its manufacturing method
KR100363091B1 (en) * 2000-06-27 2002-11-30 삼성전자 주식회사 Semiconductor memory device having self-aligned contacts and method of fabricating the same
KR100366621B1 (en) * 2000-06-28 2003-01-09 삼성전자 주식회사 Method for manufacturing conductive contact body of semiconductor device
US6413817B1 (en) * 2000-07-24 2002-07-02 United Microelectronic Corp. Method of forming self-aligned stacked capacitor
US6380576B1 (en) * 2000-08-31 2002-04-30 Micron Technology, Inc. Selective polysilicon stud growth
US7118960B2 (en) * 2000-08-31 2006-10-10 Micron Technology, Inc. Selective polysilicon stud growth
KR100338781B1 (en) * 2000-09-20 2002-06-01 윤종용 Semiconductor memory device and method for manufacturing the same
US6552401B1 (en) * 2000-11-27 2003-04-22 Micron Technology Use of gate electrode workfunction to improve DRAM refresh
US6887753B2 (en) * 2001-02-28 2005-05-03 Micron Technology, Inc. Methods of forming semiconductor circuitry, and semiconductor circuit constructions
KR100388682B1 (en) * 2001-03-03 2003-06-25 삼성전자주식회사 Storage electric terminal layer and method for forming thereof
US6372572B1 (en) * 2001-03-09 2002-04-16 Taiwan Semiconductor Manufacturing Co., Ltd Method of planarizing peripheral circuit region of a DRAM
JP2002299587A (en) * 2001-03-30 2002-10-11 Mitsubishi Electric Corp Semiconductor integrated circuit device and method of manufacturing the same
US6479377B1 (en) * 2001-06-05 2002-11-12 Micron Technology, Inc. Method for making semiconductor devices having contact plugs and local interconnects
US6551874B2 (en) * 2001-06-22 2003-04-22 Infineon Technologies, Ag Self-aligned STI process using nitride hard mask
JP2003017590A (en) * 2001-06-29 2003-01-17 Toshiba Corp Semiconductor device and its manufacturing method
KR100492898B1 (en) * 2001-12-14 2005-06-03 주식회사 하이닉스반도체 Method for fabricating semiconductor device
KR100439038B1 (en) * 2002-08-23 2004-07-03 삼성전자주식회사 Bitline of semiconductor device having stud type capping layer and method for fabricating the same
US6939761B2 (en) * 2002-11-22 2005-09-06 Micron Technology, Inc. Methods of forming buried bit line DRAM circuitry
KR100494123B1 (en) * 2002-12-03 2005-06-13 주식회사 하이닉스반도체 Method of manufacturing semiconductor device
KR100487558B1 (en) * 2003-03-03 2005-05-03 삼성전자주식회사 Ferroelectric memory device equipped with semi-cylindrical capacitor and method for thereof
JP2004289046A (en) * 2003-03-25 2004-10-14 Renesas Technology Corp Manufacturing method of semiconductor device with capacitor
KR100532437B1 (en) * 2003-05-26 2005-11-30 삼성전자주식회사 Semiconductor memory device and manufacturing method thereof
US7332389B2 (en) * 2003-07-02 2008-02-19 Micron Technology, Inc. Selective polysilicon stud growth
KR100548996B1 (en) * 2003-07-14 2006-02-02 삼성전자주식회사 DRAM Cells Having Bar-Shaped Storage Node Contact Plugs And Fabrication Method Thereof
US7170736B2 (en) * 2003-08-28 2007-01-30 Tessera, Inc. Capacitor having low resistance electrode including a thin silicon layer
US6974774B1 (en) * 2004-07-22 2005-12-13 Micron Technology, Inc. Methods of forming a contact opening in a semiconductor assembly using a disposable hard mask
US20060278912A1 (en) * 2004-09-02 2006-12-14 Luan Tran Selective polysilicon stud growth
KR100625188B1 (en) * 2005-05-10 2006-09-15 삼성전자주식회사 Method of manufacturing a semiconductor device
KR101185988B1 (en) * 2009-12-30 2012-09-25 에스케이하이닉스 주식회사 Method of fabricating a landing plug contact in semiconductor memory device
CN107946302A (en) * 2017-12-06 2018-04-20 睿力集成电路有限公司 Semiconductor memory and its manufacture method

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6140249A (en) * 1999-08-27 2000-10-31 Micron Technology, Inc. Low dielectric constant dielectric films and process for making the same
US6197670B1 (en) * 1998-08-06 2001-03-06 Samsung Electronics Co., Ltd. Method for forming self-aligned contact
US6287951B1 (en) * 1998-12-07 2001-09-11 Motorola Inc. Process for forming a combination hardmask and antireflective layer
US6376330B1 (en) * 1996-06-05 2002-04-23 Advanced Micro Devices, Inc. Dielectric having an air gap formed between closely spaced interconnect lines
US6429473B1 (en) * 1996-07-30 2002-08-06 International Business Machines Corporation DRAM cell with stacked capacitor self-aligned to bitline
US6806195B1 (en) * 1997-02-05 2004-10-19 Texas Instruments Incorporated Manufacturing method of semiconductor IC device

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5864181A (en) 1993-09-15 1999-01-26 Micron Technology, Inc. Bi-level digit line architecture for high density DRAMs
JP3532325B2 (en) * 1995-07-21 2004-05-31 株式会社東芝 Semiconductor storage device
US5858865A (en) * 1995-12-07 1999-01-12 Micron Technology, Inc. Method of forming contact plugs
US5783462A (en) 1997-01-22 1998-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making an external contact to a MOSFET drain for testing of stacked-capacitor DRAMS
US5837577A (en) 1998-04-24 1998-11-17 Vanguard International Semiconductor Corporation Method for making self-aligned node contacts to bit lines for capacitor-over-bit-line structures on dynamic random access memory (DRAM) devices
US6174767B1 (en) * 1998-05-11 2001-01-16 Vanguard International Semiconductor Corporation Method of fabrication of capacitor and bit-line at same level for 8F2 DRAM cell with minimum bit-line coupling noise
US6037216A (en) * 1998-11-02 2000-03-14 Vanguard International Semiconductor Corporation Method for simultaneously fabricating capacitor structures, for giga-bit DRAM cells, and peripheral interconnect structures, using a dual damascene process

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6376330B1 (en) * 1996-06-05 2002-04-23 Advanced Micro Devices, Inc. Dielectric having an air gap formed between closely spaced interconnect lines
US6429473B1 (en) * 1996-07-30 2002-08-06 International Business Machines Corporation DRAM cell with stacked capacitor self-aligned to bitline
US6806195B1 (en) * 1997-02-05 2004-10-19 Texas Instruments Incorporated Manufacturing method of semiconductor IC device
US6197670B1 (en) * 1998-08-06 2001-03-06 Samsung Electronics Co., Ltd. Method for forming self-aligned contact
US6287951B1 (en) * 1998-12-07 2001-09-11 Motorola Inc. Process for forming a combination hardmask and antireflective layer
US6140249A (en) * 1999-08-27 2000-10-31 Micron Technology, Inc. Low dielectric constant dielectric films and process for making the same

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010008796A1 (en) * 2000-01-11 2001-07-19 Masahiko Matsudo Method for depositing tungsten silicide film and method for preparing gate electrode/wiring
US6821874B2 (en) * 2000-01-11 2004-11-23 Tokyo Electron Limited Method for depositing tungsten silicide film and method for preparing gate electrode/wiring
US6545307B2 (en) * 2000-11-09 2003-04-08 United Microelectronics Corp. Structure of a DRAM and a manufacturing process therefor
US20040169211A1 (en) * 2003-02-28 2004-09-02 Haoren Zhuang Avoiding shorting in capacitors
US6897501B2 (en) * 2003-02-28 2005-05-24 Infineon Technologies Aktiengesellschaft Avoiding shorting in capacitors
US20110186929A1 (en) * 2010-01-29 2011-08-04 Jens Heinrich Soi semiconductor device comprising substrate diodes having a topography tolerant contact structure
US20110189825A1 (en) * 2010-01-29 2011-08-04 Jens Heinrich Soi semiconductor device with reduced topography above a substrate window area
US8048726B2 (en) * 2010-01-29 2011-11-01 Globalfoundries Inc. SOI semiconductor device with reduced topography above a substrate window area
US8436425B2 (en) * 2010-01-29 2013-05-07 Globalfoundries Inc. SOI semiconductor device comprising substrate diodes having a topography tolerant contact structure
CN102456617A (en) * 2010-10-27 2012-05-16 国际商业机器公司 Method of forming self-aligned local interconnect and structure formed thereby
CN113394223A (en) * 2020-03-11 2021-09-14 铠侠股份有限公司 Semiconductor memory device with a plurality of memory cells

Also Published As

Publication number Publication date
US6255160B1 (en) 2001-07-03

Similar Documents

Publication Publication Date Title
US6255160B1 (en) Cell design and process for making dynamic random access memory (DRAM) having one or more Gigabits of memory cells
US5670404A (en) Method for making self-aligned bit line contacts on a DRAM circuit having a planarized insulating layer
US5780338A (en) Method for manufacturing crown-shaped capacitors for dynamic random access memory integrated circuits
US6187624B1 (en) Method for making closely spaced capacitors with reduced parasitic capacitance on a dynamic random access memory (DRAM) device
US5843820A (en) Method of fabricating a new dynamic random access memory (DRAM) cell having a buried horizontal trench capacitor
US5943581A (en) Method of fabricating a buried reservoir capacitor structure for high-density dynamic random access memory (DRAM) circuits
US6008513A (en) Dynamic random access memory (DRAM) cells with minimum active cell areas using sidewall-space bit lines
US6159839A (en) Method for fabricating borderless and self-aligned polysilicon and metal contact landing plugs for multilevel interconnections
US6143604A (en) Method for fabricating small-size two-step contacts for word-line strapping on dynamic random access memory (DRAM)
US6117725A (en) Method for making cost-effective embedded DRAM structures compatible with logic circuit processing
US6037213A (en) Method for making cylinder-shaped capacitors for dynamic random access memory
US5643819A (en) Method of fabricating fork-shaped stacked capacitors for DRAM cells
US5854105A (en) Method for making dynamic random access memory cells having double-crown stacked capacitors with center posts
US6432774B2 (en) Method of fabricating memory cell with trench capacitor and vertical transistor
US5837577A (en) Method for making self-aligned node contacts to bit lines for capacitor-over-bit-line structures on dynamic random access memory (DRAM) devices
US5766994A (en) Dynamic random access memory fabrication method having stacked capacitors with increased capacitance
US6010933A (en) Method for making a planarized capacitor-over-bit-line structure for dynamic random access memory (DRAM) devices
US5731130A (en) Method for fabricating stacked capacitors on dynamic random access memory cells
US6037211A (en) Method of fabricating contact holes in high density integrated circuits using polysilicon landing plug and self-aligned etching processes
US6355529B2 (en) Method of fabricating memory cell with vertical transistor
US6168989B1 (en) Process for making new and improved crown-shaped capacitors on dynamic random access memory cells
US6077743A (en) Method for making dynamic random access memory cells having brush-shaped stacked capacitors patterned from a hemispherical grain hard mask
US6214662B1 (en) Forming self-align source line for memory array
US6403416B1 (en) Method for making a double-cylinder-capacitor structure for dynamic random access memory (DRAM)
US5792693A (en) Method for producing capacitors having increased surface area for dynamic random access memory

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION