US20010042594A1 - Process chamber having improved temperature control - Google Patents

Process chamber having improved temperature control Download PDF

Info

Publication number
US20010042594A1
US20010042594A1 US09/082,430 US8243098A US2001042594A1 US 20010042594 A1 US20010042594 A1 US 20010042594A1 US 8243098 A US8243098 A US 8243098A US 2001042594 A1 US2001042594 A1 US 2001042594A1
Authority
US
United States
Prior art keywords
process chamber
heat transfer
transfer member
heat
ceiling
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/082,430
Other versions
US6440221B2 (en
Inventor
Shamouil Shamouilian
Ananda H. Kumar
Kadthala R. Narendrnath
Eric Askarinam
Edwin C. Weldon
Michael Rice
Kenneth S. Collins
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US08/648,254 external-priority patent/US6165311A/en
Priority claimed from US08/733,555 external-priority patent/US6063233A/en
Priority claimed from US08/893,393 external-priority patent/US6074512A/en
Application filed by Individual filed Critical Individual
Priority to US09/082,430 priority Critical patent/US6440221B2/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KUMAR, ANANDA H., SHAMOUILIAN, SHAMOUIL, ASKARINAM, ERIC, RICE, MICHAEL, COLLINS, KENNETH S., NARENDRNATH, KADTHALA R., WELDON, EDWIN C.
Publication of US20010042594A1 publication Critical patent/US20010042594A1/en
Application granted granted Critical
Publication of US6440221B2 publication Critical patent/US6440221B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Definitions

  • the present invention relates to a process chamber for processing semiconductor substrates.
  • a chamber wall and surfaces are made of ceramic materials, such as silicon, B 4 C or BN.
  • Some ceramic materials have a low thermal shock resistance and crack when subjected to thermal stresses resulting from large variations in temperature across the ceramic component.
  • a chamber wall is made from a ceramic material, such as aluminum oxide which has a low tolerance to thermal stress, when inductor coils are used to couple RF energy into the chamber.
  • other ceramic materials that have high thermal expansion coefficients undergo a large expansion or contraction for even a small temperature change causing the wall to break or crack when subjected to widely different temperatures. It is desirable to control the temperature of the ceramic surfaces of process chambers and to reduce their temperature fluctuations.
  • Forced-air cooling systems as for example, described in U.S. Pat. No. 5,160,545, issued Nov. 3, 1992, use fans to blow cooled air past chamber surfaces. These systems often cause localized hot spots at portions of the chamber that are shielded from the air flow. Also, because the primary mode of heat transfer is conduction by air, forced air cooling systems typically require an extremely large air flow to achieve even a moderately acceptable response time to large temperature fluctuations in the chamber, such as the temperature fluctuations caused by turning on and off the plasma or other heat loads in the chamber. The large air flow rates also typically require large fans, which are more prone to mechanical failure, and upon failure, can severely damage chamber components.
  • a process chamber having a temperature control system capable of providing uniform temperatures and reducing large temperature fluctuations in process chambers. It is further desirable for the temperature control system to control temperatures during widely varying thermal loads. It is also desirable to have a temperature control system that does not interfere with the operation of electrical chamber components, and in particular, does not dissipate or attenuate inductively coupled RF energy. It is further desirable for the temperature control system to reduce or eliminate thermal stresses on the chamber surfaces, particularly the ceramic surfaces.
  • the present invention relates to a process chamber providing improved temperature control during processing of a semiconductor substrate in the chamber.
  • the process chamber comprises a support, a process gas distributor, a heat transfer member having a heat conduction surface bonded to an external surface of the process chamber, and an exhaust.
  • the substrate is held on the support in the process chamber.
  • Process gas is introduced into the process chamber, and optionally, RF energy is coupled to the process gas to sustain a plasma of the process gas.
  • the process gas or plasma is used to process the substrate, and thereafter is exhausted by the exhaust.
  • a flow of heat to and from the process chamber is regulated via the heat transfer member that is bonded to the external surface of the process chamber.
  • the heat transfer member comprises a heat conduction surface having an RMS peak-to-peak roughness of less than about 500 microns.
  • the process chamber further comprises a ceiling comprising semiconductor material having an electrical susceptibility that is sufficiently low to allow an RF induction field to permeate therethrough, and an inductor antenna adjacent to the ceiling to couple an RF induction field through the ceiling into the process chamber.
  • a temperature control system that is capable of maintaining substantially uniform temperatures across the ceiling, comprises a heat exchanger and a heat transfer member having a heat conduction surface bonded to the ceiling and a heat transmitting surface thermally coupled to the heat exchanger.
  • the present invention further comprises a method of processing a substrate in a process chamber.
  • the method comprises the steps of placing a substrate in the process chamber, introducing process gas into the process chamber, charging an inductor antenna adjacent to a ceiling of the process chamber to couple RF energy to the process gas to sustain a plasma in the process chamber, and monitoring the temperature of the ceiling and regulating the flow of heat to and from the process chamber via a heat transfer member bonded to the ceiling, a heater, and a heat exchanger.
  • the present invention further comprises a method of bonding a heat transfer member to an external surface of a process chamber.
  • the method comprises the steps of forming a heat transfer member having a heat conduction surface, providing a thermally conducting adhesive between the heat transfer member and the external surface, pressing the heat transfer member against the external surface, and heating the thermally conducting adhesive to cure the adhesive and form a thermally conducting bond between the heat transfer member and the external surface of the process chamber.
  • FIG. 1 is a schematic sectional side view of a process chamber of the present invention showing a temperature control system along a wall of the chamber;
  • FIG. 2 is a schematic sectional side view of another embodiment of the process chamber of the present invention showing the temperature control system for a dome shaped ceiling;
  • FIG. 3 is a schematic sectional side view of a temperature control system comprising heat transfer rings bonded to a ceiling of a process chamber;
  • FIG. 4 is a schematic sectional side view of a jig holding apparatus for holding and bonding heat transfer rings to the chamber ceiling.
  • An exemplary processing apparatus 20 of the present invention generally comprises a process chamber 25 having sidewalls 30 , a bottom wall 35 , and a ceiling 40 .
  • the chamber 25 is fabricated from metals, ceramics, glasses, polymers, or composite materials.
  • Metals commonly used to fabricate the process chamber 25 include, for example, anodized aluminum, stainless steel, or INCONELTM, of which anodized aluminum is preferred.
  • Ceramic and semiconductor materials used to fabricate the chamber 25 include, for example, silicon, boron carbide, quartz, or aluminum oxide.
  • the processing apparatus 20 is provided only to illustrate the present invention, and should not be used to limit the scope of the invention.
  • Process gas is introduced into the chamber 25 through a process gas distribution system that includes a gas distributor 45 , a process gas supply 50 and gas feed conduits 65 .
  • the gas feed conduits 65 a can extend through the sidewalls 30 to end near a peripheral edge of a substrate 70 , or the gas feed conduits 65 b can be positioned to extend upwardly through the bottom wall 35 and towards the periphery of the substrate 70 , or the gas feed conduits 65 c can extend downward through the center of the ceiling 40 .
  • the gas feed conduits 65 b extend through a heated silicon ring 75 that surrounds the substrate 70 and that has a circular passageway 76 for holding process gas and an array of gas injection holes 78 to distribute the process gas around the periphery of the substrate 70 .
  • An exhaust system 80 comprising one or more exhaust pumps 85 (typically including a 1000 liter/sec roughing pump), and a throttle valve 90 are used to exhaust spent process gas and control the pressure of process gas in the chamber 25 .
  • an annulus 92 surrounding the lower portion of the chamber forms an asymmetric pumping channel that is used to pump gases out of the chamber 25 and to provide a uniform distribution of gaseous species around the surface of the substrate 70 .
  • the process chamber 25 comprises a pedestal or support 95 at the bottom of the chamber for supporting the substrate 70 .
  • a dielectric member 100 having a receiving surface 105 for receiving the substrate 70 is positioned on the support 95 .
  • An electrode 110 is embedded in the dielectric member 100 so that dielectric material completely surrounds the electrode 110 .
  • the dielectric member 100 comprises a monolithic structure fabricated from a thermally fused polymer or ceramic, for example, aluminum oxide, aluminum nitride, silicon carbide, silicon nitride, or mixtures thereof. More preferably, the electrode 110 is fabricated from a conductive refractory metal having a high melting point, such as tungsten, tantalum, or molybdenum. As illustrated in FIG.
  • the dielectric member 100 can also comprise holes 115 extending therethrough for providing heat transfer gas, such as helium, to the receiving surface 105 below the substrate 70 .
  • heat transfer gas such as helium
  • a series of holes 115 is provided around the circumference of the dielectric member 100 to provide a uniform distribution of gas supplied by a heat transfer gas supply 118 to the region below the substrate 70 .
  • the electrode 110 has dual functions, serving both as a plasma generator by capacitively coupling to an electrically biased or grounded surface of the chamber 25 , and also as an electrode of an electrostatic chucking system that generates an electrostatic force for electrostatically holding the substrate 70 .
  • An electrode voltage supply 120 maintains an electrical potential between the electrode 110 and a surface of the chamber 25 , such as the ceiling 40 .
  • both a DC chucking voltage and an RF bias voltage is applied to the electrode 110 through an electrical connector.
  • the RF bias voltage comprises one or more frequencies from 13.56 MHZ to 400 KHz at a power level of from about 50 to about 3000 Watts.
  • the DC voltage is applied to the electrode 110 to generate an electrostatic charge that holds the substrate 70 to the electrostatic chucking system, the DC voltage being typically from about 250 to about 2000 volts.
  • the ceiling 40 of the process chamber 25 can be flat as shown in FIGS. 1 and 3, or dome-shaped as shown in FIG. 2.
  • the dome-shaped hemispherical ceiling 40 serves as a window to the RF induction field transmitted by an inductor antenna 125 adjacent to the ceiling 40 .
  • at least a portion of the ceiling 40 is made from materials permeable to RF, such as dielectric or semiconducting material, that has a low impedance to the RF induction field of the inductor antenna 125 or that have an electric field susceptibility that is sufficiently low to transmit the induction field generated by the inductor antenna 125 through the ceiling 40 with minimum loss of power.
  • the ceiling 40 is made from a semiconducting material that can be biased relative to the electrode 110 to allow it to capacitively couple RF energy to the plasma in the chamber 25 .
  • the semiconductor ceiling 40 is connected to an electrical potential, such as an RF power source, or to electrical ground.
  • an RF power source such as an RF power source
  • a source power supply 140 is connected across the inductor antenna 125
  • a bias power supply 120 is connected to the electrode 110 while the ceiling 40 is grounded.
  • the semiconductor ceiling 40 can also be left unconnected and allowed to float electrically, in which case the plasma is formed solely by the RF power applied to the antenna 125 inductively coupled through the ceiling 40 .
  • the RF conducting ceiling 40 can be used to make the RF conducting ceiling 40 including silicon, silicon carbide, germanium, or Group III-V compound semiconductors such as gallium arsenide and indium phosphide; or Group II-III-V compound semiconductors such as mercury-cadmium-telluride.
  • the semiconductor ceiling 40 comprises a slab of semiconducting silicon having resistivity of less than about 500 ⁇ -cm (at room temperature), more preferably about 10 ⁇ -cm to about 300 ⁇ -cm, and most preferably about 20 ⁇ -cm to about 200 ⁇ -cm.
  • Silicon is preferred since it is less likely to be a source of contamination for processing silicon substrates 70 in comparison with other materials such as Al 2 O 3 or aluminum, which are typically employed to form the chamber ceiling 40 .
  • silicon can serve as a scavenger for gaseous species in the chamber 25 such as fluorine.
  • the all-semiconductor surfaces enclosing and defining a process zone need not be passivated by accumulated polymer deposits, but instead may be left bare during plasma processing. This is possible because interaction between the plasma and the semiconductor surfaces does not produce byproducts harmful to processing of the substrate 70 , but instead produce volatile byproducts that are pumped away by the exhaust system 80 .
  • the ceiling 40 can also be formed from a plurality of tiles or segmented members.
  • the chamber 25 can also comprise instead a dielectric or metal chamber wall 30 or ceiling 40 of any suitable shape including a planar, dome, conical, truncated conical, cylindrical, or combination of such shapes.
  • the ceiling 40 can comprise a dome or sidewall 30 composed of a dielectric material that is transparent to RF fields and is also an electrical insulator material, such as aluminum oxide.
  • the inductor antenna 125 is adjacent to the ceiling 40 , which is transparent to RF energy, inductively couples RF energy into the chamber 25 to form a high density plasma therein.
  • the inductor antenna 125 preferably comprises multiple coils 128 , as shown in FIG. 3, having a circular symmetry with a central axis coincident with the longitudinal axis of the process chamber 25 and perpendicular to the plane of the substrate 70 .
  • the circular symmetry of the inductor coils 128 provide a spatial distribution of inductive electrical field vector components that have a null or minimum along the central axis of symmetry, which reduces the number of electrons over the center of the substrate 70 , as described in U.S. patent application Ser. No. 08/648,254, which is incorporated herein by reference.
  • each coil 128 comprises from about 1 to about 10 turns, and more typically from about 2 to about 6 turns.
  • a temperature control system 145 comprising an assembly of components can be used to control the temperature of a surface of the process chamber 25 , such as the ceiling 40 .
  • the temperature of the ceiling 40 can be maintained at a predefined temperature, or range of temperatures, selected to reduce polymeric etchant residue deposition, provide more uniform temperature gradients for substrate processing, and/or to maintain particular electrical properties in ceilings made from semiconducting materials.
  • the temperature control system 145 will be illustrated in the context of controlling the temperature of a ceiling 40 , it can also be used to control the temperature of any other wall or surface of the process chamber 25 , such as the sidewall 30 of the chamber; and the invention should not be limited to the exemplary embodiments described herein.
  • a suitable temperature range for the sidewall 30 or ceiling 40 of a chamber 25 during processing of a substrate 70 in the chamber 25 depends upon many factors, including the process gas composition and the RF power coupled to the plasma in the chamber.
  • process gas compositions comprising a high flow rate of hydrocarbons, such as C 4 F 8 or CHF 3
  • C 4 F 8 or CHF 3 can cause excessive deposition of polymeric etchant residue which is reduced by maintaining the ceiling 40 at constant temperatures above the condensation temperature of the polymeric residue.
  • conventional temperature control systems as numerous substrates 70 are processed over a period of time, the temperature of the ceiling 40 continues to rise. Additionally, when the plasma is turned off, conventional temperature control systems cause the temperature of the ceiling 40 to drop to extremely low temperatures. As a result of these temperature fluctuations, etch or deposition rates can vary from one wafer to another, and polymeric residue deposits, which can flake-off from the thermal stresses, accumulate on the ceiling 40 , and/or the walls of the chamber 25 .
  • the ceiling 40 in the embodiment in which the ceiling 40 is made of semiconducting material, it must be maintained in a range of temperatures in which the semiconductor material provides semiconducting properties.
  • this range is from about 100 K to about 600 K. At temperatures exceeding this range, silicon provides a conductance similar to that of metal; below this range, it behaves as a very low conductivity dielectric material.
  • active control of the temperature of the semiconductor ceiling 40 is preferred to allow it to function both as an induction field window and as an electrode.
  • active temperature control of the window also provides a consistent and stable plasma and good “cold start” conditions for the plasma.
  • the temperature control system 145 employs direct thermal contact or indirect thermal contact to provide the desired temperature control of the chamber surface.
  • the temperature control system 145 comprises (i) one or more heaters 150 that provide controllable sources of heat, (ii) a heat exchanger 155 that can remove (or provide) heat to the chamber surface, (iii) a heat transfer member 158 for conducting heat between the chamber surface and the heat exchanger 155 , (iv) a temperature sensor 160 , and (v) computer control system 165 for controlling all of these components.
  • the heaters 150 , heat exchanger 155 , and/or heat sinks provide multiple control mechanisms that allow rapid responses to both upward and downward fluctuations in the temperature of the chamber surfaces. Morever, the heat transfer member 158 rapidly transfers heat to and from the chamber surface and increases the available heat radiating surface area of the chamber surface.
  • a suitable heater 150 for providing a source of heat for the temperature control system 145 can comprise a conventional electrical resistive heater (not shown) that directs radiant energy onto the chamber ceiling 40 .
  • the heater 150 comprises a plurality of radiant heat lamps 168 , such as tungsten halogen lamps distributed across the surface of the ceiling 40 , to provide a uniform radiation per unit surface area across the ceiling.
  • the whole interior surface of the upper chamber containing the heat lamps 168 is lined with a reflective material such as an aluminum layer. The large area irradiated by the heat lamps 168 provides greater temperature uniformity and faster and more responsive control over temperature fluctuations inside the chamber 25 .
  • the heat exchanger 155 can be used to either remove or provide heat to the chamber 25 .
  • a suitable heat exchanger 155 comprises channels 170 or passages for flowing a heat transfer fluid therethrough.
  • the heat exchanger 155 typically comprises a plate of aluminum or copper with cooling channels 170 therein, and an inlet 175 and outlet 180 to supply heat transfer fluid to the channels 170 .
  • a heat transfer fluid reservoir 185 supplies heat transfer fluid to the channels 170 to heat or cool the heat exchanger 155 as needed to maintain the chamber 25 at constant temperatures.
  • the heat transfer fluid can be heated by an in-line heater 190 , such as a coil wrapped around the inlet conduit, or can comprise a heater for heating the reservoir 185 containing the heat transfer fluid.
  • the heat transfer fluid can be cooled by a chiller 195 such as a refrigeration unit, condenser, or radiator.
  • the amount of cooling provided by the heat exchanger 155 is sufficient to offset any excess heating of the ceiling 40 by the plasma, and the amount of heat provided by the heaters 150 and/or the in-line heater 190 is sufficient to offset any cooling that occurs when the plasma is turned off.
  • the heat exchanger 155 provides a means to cool the ceiling 40 at a constant cooling rate, while the maximum power of the heater 190 is selected to be able to overcome the cooling power of the heat exchanger 155 .
  • the heat transfer fluid circulated in the heat exchanger 155 comprises a fluid having a high thermal conductivity, high electrical resistance, and low chemical reactivity to the materials of the heat exchanger and components of the recirculating system.
  • Suitable fluids include high resistivity water, Freon®, and perfluorinated liquids such as Galden® or Fluorinert®.
  • the heat transfer member 158 comprises a plurality of highly thermally conducting rings 200 having a lower heat conduction surface 205 thermally coupled to the chamber surface, and an upper heat transmitting surface 210 thermally coupled to the heat exchanger 155 to provide high heat transfer rates from the chamber surface to the heat exchanger 155 .
  • the thermally conducting rings 200 increase the area of the ceiling 40 that is in thermal contact with the heat exchanger 155 .
  • the inductor antenna 125 is positioned around the lower portion of the heat transfer rings 200 , so that a portion of the heat transfer rings extend through gaps in the inductor antenna. More preferably, the inductor antenna 125 is confined to the central region of the ceiling 40 to leave a large portion of the top surface of the chamber ceiling available for contacting and transferring heat by the heat transfer rings 200 .
  • the dimensions of the heat transfer rings 200 are selected to maximize the available area of heat conduction and minimize absorption of RF energy from the inductor antenna 125 or other such powered components adjacent to the rings.
  • the height of the heat transfer rings 200 is selected so that the heat exchanger 155 is supported at a sufficient distance above the inductor antenna 125 to mitigate or eliminate the reduction in inductive coupling between the antenna and the plasma which would otherwise result from their close proximity to the conductive plane of the heat exchanger.
  • the height of the rings 200 above the inductor antenna 125 is a substantial fraction (e.g., 1 ⁇ 2) of the total height of the inductor antenna to displace the metal plate of the heat exchanger 155 , well above the top of the inductor antenna.
  • the width of the heat transfer rings 200 are selected to maximize the available cross-sectional area for heat conduction through the rings.
  • a suitable set of heat transfer rings 200 comprises from 1 to 10 rings, more preferably 2 to 6 rings, and most preferably 4 rings. Each ring has a height of from about 2 to about 10 cm, and a width of from about 2 to about 6 cm. Preferably, the rings 200 are concentric to one another.
  • a set of four rings for a dome-shaped ceiling 40 having an inside diameter of from about 28 to about 38 cm comprises an outer ring 200 a having an outer diameter of from about 28 to about 36 cm, a second ring 200 b having an outer diameter of from about 20 to about 25 cm, a third ring 200 c having an outer diameter of from about 12 to about 18 cm, and the fourth or inner ring 200 d having an outer diameter of from about 5 to about 8 cm.
  • the heat transfer member 158 can also comprise a non-cylindrical cross-section, or a rectangular cross-section, having a shape and dimensions that maximize the available heat conduction area on the ceiling 40 .
  • the heat transfer rings can comprise a triangular or conical cross-section, or form the base of the cone that is thermally coupled to the chamber surface.
  • the heat transfer rings 200 are made from a material that has a high thermally conductivity to provide high thermal transfer rates, and that has a low electrical conductivity to avoid electrically unloading power from the inductor antenna 125 .
  • Suitable highly thermally conducting materials include ceramic materials such as aluminum nitride, aluminum oxide, silicon nitride, silicon carbide; or semiconducting materials, such as silicon either lightly doped or undoped.
  • the rings 200 are concentric to one another with decreasing radii and are spaced apart a sufficient distance from one another to cover a large portion of the semiconductor ceiling 40 .
  • a suitable distance apart for the rings 200 is from about 1 to about 10 cms.
  • the heat transfer rings 200 are sized to fit around the coils 128 of the inductor antenna 125 and provide spaces for fitting the radiant heat lamps 168 or sized to fit around any other chamber component such as the gas distributor 45 extending through the surface of the chamber 25 .
  • the heat transfer member 158 preferably comprises silicon carbide material hot pressed or sintered to the desired shape.
  • the density of the heat transfer rings 200 is preferably at least about 2.9 grams/cc, and the porosity is preferably less than about 2%.
  • the thermal conductivity of the heat transfer rings 200 should be sufficiently high to provide high thermal transfer rates between the chamber surface and the heat exchanger 155 .
  • the thermal conductivity of the heat transfer rings 200 throughout their thickness is at least about 80 watts/m-K, and more preferably at least about 100 watts/m-K, at a temperature of about 150 to 200° C.
  • a further advantage of using silicon carbide for the heat transfer rings 200 , for a chamber 25 comprising a silicon ceiling 40 is that the coefficient of thermal expansion of the silicon carbide approximates that of silicon.
  • the coefficient of thermal expansion of the heat transfer rings 200 is about 4.81E-06/K, in a range of temperatures of from about 150 to about 200° C.
  • the lower surface 205 of the heat transfer rings 200 is bonded to a chamber surface, such as the ceiling 40 , in such a way as to form a highly thermally conducting interface.
  • a chamber surface such as the ceiling 40
  • the heat transfer rings 200 it is necessary for the heat transfer rings 200 to uniformly contact the ceiling 40 across their entire lower surface 205 ; otherwise, high thermal transfer rates only occur at those portions that are in close thermal contact and low thermal transfer rates occur at areas with gaps or a roughened interface.
  • the upper surface 210 and lower surfaces 205 of the heat transfer member 158 comprise a very smooth surface that provides uniform thermal coupling to the chamber surface.
  • the heat transfer member 158 comprises silicon carbide rings 200 having heat conduction or thermal transfer surfaces that are polished to provide surface having an rms peak-to-peak roughness of less than about 500 ⁇ (50 nm), and more preferably less than 50 ⁇ (5 nm).
  • the lower surface 205 of the heat transfer member 158 is bonded to the chamber surface with a thermally conducting adhesive having high thermal conductivity.
  • the upper surface 210 of the heat transfer member 158 which serves as a thermal transfer or coupling surface, is also preferably bonded to the heat exchanger 155 using the thermally conducting adhesive.
  • Suitable thermally conducting adhesives include polymeric or elastomeric materials, such as polyimide or epoxy adhesives, for example, a polyimide adhesive commercially available from Al Technologies, New Jersey.
  • Other suitable thermally conducting adhesives comprise a mixture of silicone rubber impregnated with boron nitride.
  • the conventional temperature control system 145 controls the amount of current flow to the heaters 150 , i.e., the heat lamps 168 or in-line heater 190 , via a closed loop control system to maintain the temperature of the chamber surface at the desired predefined temperature.
  • the temperature sensor 160 can comprise a thermocouple, RTD, or an optical pyrometer attached to or directed at the chamber surface.
  • the radiant heater lamps 168 can be controlled together so that the entire chamber surface constitutes a single temperature controlled zone, or operated individually or in small groups of heaters that are circumferentially arranged at preselected radii from the center of the ceiling 40 . In the latter embodiment, each radial group of heaters 150 is separately controlled from the other groups so that the ceiling 40 is divided into a plurality of annular zones in which temperatures can be adjusted to optimize processing uniformity.
  • the temperature control system 145 is operated by the computer control system 165 coupled to the temperature sensor 160 .
  • a suitable control system 145 comprises a computer program product that runs on a computer system comprising a central processor unit (CPU) interconnected to a memory system with peripheral control components, such as for example, a PENTIUM microprocessor, commercially available from Intel Corporation, Santa Clara, Calif.
  • the program code is written in a conventional computer readable programming language, such as for example, assembly language C ++ or Pascal. Suitable program code is entered into a single file, or multiple files, using a conventional text editor and stored or embodied in a computer-usable medium, such as a memory system of the computer.
  • the code is compiled, and the resultant compiler code is linked with an object code of precompiled windows library routines.
  • the system user invokes the object code, causing the computer system to load the code in memory to perform the tasks identified in the program.
  • the interface between an operator and the computer system is typically a CRT monitor and a light pen.
  • the operator touches a designated area of the display screen with the light pen and pushes the button on the pen. The area touched changes its color, or a new menu or screen is displayed, confirming the communication between the light pen and the display screen.
  • Other devices such as a keyboard, mouse, or pointing communication device can also be used instead of or in addition to the light pen to allow the user to communicate with the computer processor system.
  • the computer program typically comprises multiple routines of program code including a process sequencer routine for sequencing and timing operations in the apparatus 20 , a manager routine for managing priorities of components in the apparatus, a process chamber routine for operating the process chamber 25 , and a temperature control system routine for operating the temperature control system 145 . While described as separate routines performing a set of tasks, it should be understood that each of these routines can be integrated with one another, or the tasks of one routine integrated with the tasks of another routine, to operate the desired set of tasks. Thus, the computer system and program described herein should not be limited to the specific embodiment of the functional routines described herein; and any other set of routines or merged program code that perform equivalent sets of functions are also in the scope of the present invention.
  • the temperature control system routine comprises a set of routines and program code to operate the temperature control system 145 , including a heater routine and a heat exchanger routine.
  • the temperature control system routine comprises program code for monitoring the various temperature control system components, determining which component needs to be operated based on the process parameters passed by the manager routine and operating the components in response to the monitoring and determining steps.
  • the heater routine comprises program code for operating the heaters 150 to heat the chamber surface in response to operator selected parameters and signals from the temperature sensors 160 .
  • the heater routine measures temperature by measuring voltage output of a thermocouple located in the chamber 25 , compares the measured temperature to the set-point temperature, and increases or decreases current applied to the heaters 150 to obtain the desired surface temperature.
  • the heater routines can also be embedded in a PID controller (not shown) that operates the heater 150 .
  • the heat exchanger routine comprises program code for controlling the flow rate and temperature of fluid circulated in the channels 170 of the heat exchanger 155 .
  • the heat exchanger routine comprises a fluid distributor program code for adjusting the power to a recirculating pump and operating the fluid supply valve, according to an input signal from the temperature sensor 160 .
  • the heat exchanger routine further comprises program code for controlling the in-line heater 190 , or program code that operates the chiller 195 to cool the fluid in response to operator selected parameters and signals from system temperature sensors 160 .
  • the heat exchanger routine measures the fluid temperature by a thermocouple placed in the fluid reservoir 185 and increases or decreases current applied to the heater 150 to obtain the desired temperature.
  • the temperature is determined by measuring the voltage across the thermocouple junction, and by looking up the corresponding temperature in a stored conversion table, or by calculating the temperature using a fourth order polynomial.
  • the heat exchanger routine can use a proportional, integration, and differential (PID) controller solely dedicated to controlling the temperature of the heat exchanger 155 or can comprise a part of the computer program running on the computer system.
  • PID controller comprises a single purpose controller having means for monitoring one or more temperature parameters, controlling power supplied to a heat exchanger pump, and means of calculating a parameter in a dynamic model.
  • the radiant heaters 150 are powered to rapidly ramp up the temperature of a surface of the process chamber 25 . Thereafter, the temperature control system 145 regulates the temperature of the chamber surface by circulating fluid in the heat exchanger 155 at a predetermined temperature and controlling the flow rate and temperature of fluid in the heat exchanger 155 . The fluid removes excess heat from the chamber surface 40 or adds heat, depending on the temperature difference between the fluid and the chamber surface, to control its temperature.
  • the heat transfer member 158 transfers heat at high thermal transfer rates to and from the heat exchanger 155 .
  • the temperature control system 145 described herein can be adapted to any semiconductor process chamber 25 .
  • a CVD chamber used to deposit dielectric material by chemical vapor deposition, for example, as described in U.S. Pat. No. 5,500,249, issued Mar. 19, 1996, which is incorporated herein by reference.
  • the temperature control system 145 can also be used to control the temperature of other chambers such as an epitaxial reactor, ion implantation chamber, or sputtering chamber as would be apparent to one of ordinary skill in the art.
  • Alternative cleaning solutions include mixtures of HF and H 2 O 2 , or other acidic mixtures of HCl, H 2 SO 4 , or HNO 3 . Thereafter, the silicon ceiling 40 is removed from the acidic solutions and ultrasonically cleaned in distilled water for 2 to 15 minutes. Prior to assembly, the chamber ceiling 40 , heat transfer member 158 , and bonding jig fixture (as described below) were cleaned free of dust and contaminants using isopropanol.
  • the heat transfer member 158 is bonded to the ceiling 40 using a bonding fixture jig 215 , as shown in FIG. 4, that maintains the correct positional relationship between the different components and the surface of the ceiling during assembly.
  • the bonding fixture jig 215 comprises a flat plate 220 that is used to mount silicon carbide rings to the silicon ceiling 40 .
  • the surface of the flat plate 220 has a flatness with peak-to-peak rms of less than 125 microns (5 mils), and more preferably less than about 50 microns (2 mils).
  • a thin release sheet 225 such as a MylarTM sheet, is placed on the flat surface of the flat plate 220 .
  • the annular silicon carbide rings are placed on the release sheet 225 and aligned to one another using a set of dowel pins 230 that are positioned to guide the outer circumferential surface of the annular rings so that the rings are properly positioned relative to one another, as shown in FIGS. 3 and 4.
  • Each of the dowel pins 230 are tapered to form a cone that does not break off or chip the brittle ceramic silicon carbide rings.
  • the dowel pins 230 are made of a material having a hardness similar to, or less than, the hardness of the SiC ceramic rings to avoid damaging the rings during the alignment procedure.
  • a suitable ceramic material for the dowel pins 230 comprises boron nitride or silicon nitride.
  • a cut-out adhesive preform layer 235 that comprises a temperature-sensitive adhesive is positioned over the bottom thermal coupling surfaces of the annular rings.
  • the silicon ceiling 40 is placed on top of the preform adhesive layer 235 .
  • Three or more circumferentially positioned alignment posts 240 are located on the flat surface of the jig plate to align the silicon ceiling 40 to the silicon carbide annular rings 200 .
  • the alignment posts 240 have chamfered inner edges 245 that align the perimeter of the silicon ceiling 40 to the circumference of the silicon carbide rings 200 .
  • a pressure plate 250 is positioned over the silicon ceiling 40 .
  • the pressure plate 250 has two or three upstanding posts 255 that rest on the inner surface of the silicon ceiling 40 to apply pressure against the silicon ceiling 40 .
  • a spring loaded nut and bolt assembly 260 a , 260 b extends through the jig plate and the pressure plate 250 , as shown in FIG. 4, to securely clamp the assembly together.
  • the spring assembly allows the silicon ceiling 40 and the annular heat transfer rings 200 to thermally expand without breakage during heat treatment.
  • the assembly of bonding fixture, ceiling 40 , and heat transfer rings 200 aligned over the adhesive preform layer is placed in a temperature controlled oven 265 capable of reaching the 650° C.
  • the temperature oven 265 is lined with a material that introduces little or no metallic contamination into the silicon ceiling 40 , such as for example, ceramic fiber insulator of SiO 2 , Al 2 O 3 , ZrO 2 , or mixtures thereof.
  • the temperature is ramped to about 325° C. in about 1 hour.
  • the temperature is maintained for a temperature soak at 325° C. for about 21 ⁇ 2 hours.
  • the oven 265 is allowed to cool to room temperature, and the bonding assembly is disassembled to provide a chamber ceiling 40 with thermally coupled bonded heat transfer rings 200 .
  • the die shear strength of the bonded rings and silicon ceiling 40 is typically from about 200 to about 600 psi.
  • the process chamber 25 and temperature control system 145 provides uniform and constant temperatures across a process chamber surface regardless of varying thermal loads and temperature fluctuations within the chamber.
  • the temperature control system 145 is particularly advantageous for controlling the temperature of a chamber surfaces that have adjacent components such as inductor coils, heating or cooling elements, temperature measurement sensors 160 , or process gas distributors.
  • the temperature control system 145 of the present invention maintains a constant and uniform temperature across the chamber surface, provides low mechanical and thermal stresses on the chamber surface, and provides more reproducible and consistent substrate processing characteristics.
  • the heat transfer member 158 can have any other configuration, structure, or assembly of structures, suitable for conducting heat to or from a particular chamber surface without deviating from the scope of the present invention.
  • upper, lower, center, ceiling, base, floor, and other such terms of spatial orientation or structures can be changed to equivalent or opposite orientations without affecting the scope of the present invention. Therefore, the appended claims should not be limited to the descriptions of the preferred versions, materials, or spatial arrangements described herein to illustrate the invention.

Abstract

A temperature control system 145 is used to control the temperature of a process chamber 25 during processing of a semiconductor substrate 70. The temperature control system 145 comprises a heat exchanger plate 155 for removing heat from the chamber 25, and a heat transfer member 158 for conducting heat to the heat exchanger plate 155. The heat transfer member 158 comprises a lower heat conduction surface 205 bonded to an external surface of the chamber 25, and an upper heat transmitting surface 210 thermally coupled to the heat exchanger plate 155. Preferably, the temperature control assembly comprises a heater 150 for heating the chamber 25, and a computer control system 165 for regulating the heat removed by the heat exchanger plate 155 as well as the heat supplied by the heater 150, to maintain the chamber 25 at substantially uniform temperatures.

Description

    CROSS-REFERENCE
  • This application is a continuation-in-part of U.S. patent application Ser. No. 08/893,393, filed on Jul. 14, 1997, entitled “Inductively Coupled RF Plasma Reactor Having an Overhead Solenoidal Antenna and Modular Confinement Magnet Liners,” which is a continuation-in-part of U.S. patent application Ser. No. 08/733,555 filed Oct. 21, 1996, entitled “Thermal Control Apparatus for Inductively Coupled RF Plasma Reactor Having an Overhead Solenoidal Antenna,” which is a continuation-in-part of U.S. patent application Ser. No. 08/648,254, filed May 13, 1996, entitled “Inductively Coupled RF Plasma Reactor Having an Overhead Solenoidal Antenna,” all of which are incorporated herein by reference.[0001]
  • BACKGROUND
  • The present invention relates to a process chamber for processing semiconductor substrates. [0002]
  • Control of the temperature of process chambers that are used to etch, implant, or deposit material on semiconductor substrates, is necessary to provide reliable and reproducible semiconductor fabrication processes. Many of these processes are highly temperature dependent and provide different processing rates or characteristics at different temperatures. Temperature fluctuations of a chamber are particular undesirable during sequential processing of a batch of substrates, because the substrates are processed with different properties. For example, in etching processes, temperature fluctuations can cause the shape of the etched features on the substrate to vary widely from one substrate to another, and to vary as a function of the temperature profile across the substrate. Also, large temperature fluctuations of the chamber components or walls can cause residues that deposit on the chamber walls or ceiling to flake off and contaminate the substrate. [0003]
  • Another temperature control problem arises when the chamber walls and surfaces are made of ceramic materials, such as silicon, B[0004] 4C or BN. Some ceramic materials have a low thermal shock resistance and crack when subjected to thermal stresses resulting from large variations in temperature across the ceramic component. For example, a chamber wall is made from a ceramic material, such as aluminum oxide which has a low tolerance to thermal stress, when inductor coils are used to couple RF energy into the chamber. Also, other ceramic materials that have high thermal expansion coefficients undergo a large expansion or contraction for even a small temperature change causing the wall to break or crack when subjected to widely different temperatures. It is desirable to control the temperature of the ceramic surfaces of process chambers and to reduce their temperature fluctuations.
  • Conventional temperature control systems for semiconductor process chambers include “water-jacket” liquid-recirculating systems or forced-air cooling systems. However, in many process chambers, complex shaped components, such as inductor coils, which are positioned next to the chamber surfaces make it difficult to transfer heat to and from the chamber surfaces in the gaps or narrow spaces between these components. In addition, liquid recirculating systems typically circulate water through a large number of channels that have small diameters, providing a bulky system that is difficult to attach or couple to the chamber without forming contact areas of high thermal resistance. Also, the channels and circulating liquid absorb RF induction energy and cannot be used near inductor components that transmit RF energy into the chamber. In addition, inadequate arrangement of the channels of the recirculating system often result in instabilities and localized hot spots around the components on the chamber. [0005]
  • Forced-air cooling systems, as for example, described in U.S. Pat. No. 5,160,545, issued Nov. 3, 1992, use fans to blow cooled air past chamber surfaces. These systems often cause localized hot spots at portions of the chamber that are shielded from the air flow. Also, because the primary mode of heat transfer is conduction by air, forced air cooling systems typically require an extremely large air flow to achieve even a moderately acceptable response time to large temperature fluctuations in the chamber, such as the temperature fluctuations caused by turning on and off the plasma or other heat loads in the chamber. The large air flow rates also typically require large fans, which are more prone to mechanical failure, and upon failure, can severely damage chamber components. [0006]
  • Thus it is desirable to have a process chamber having a temperature control system capable of providing uniform temperatures and reducing large temperature fluctuations in process chambers. It is further desirable for the temperature control system to control temperatures during widely varying thermal loads. It is also desirable to have a temperature control system that does not interfere with the operation of electrical chamber components, and in particular, does not dissipate or attenuate inductively coupled RF energy. It is further desirable for the temperature control system to reduce or eliminate thermal stresses on the chamber surfaces, particularly the ceramic surfaces. [0007]
  • SUMMARY
  • The present invention relates to a process chamber providing improved temperature control during processing of a semiconductor substrate in the chamber. The process chamber comprises a support, a process gas distributor, a heat transfer member having a heat conduction surface bonded to an external surface of the process chamber, and an exhaust. The substrate is held on the support in the process chamber. Process gas is introduced into the process chamber, and optionally, RF energy is coupled to the process gas to sustain a plasma of the process gas. The process gas or plasma is used to process the substrate, and thereafter is exhausted by the exhaust. A flow of heat to and from the process chamber is regulated via the heat transfer member that is bonded to the external surface of the process chamber. Preferably, the heat transfer member comprises a heat conduction surface having an RMS peak-to-peak roughness of less than about 500 microns. [0008]
  • In a preferred version, the process chamber further comprises a ceiling comprising semiconductor material having an electrical susceptibility that is sufficiently low to allow an RF induction field to permeate therethrough, and an inductor antenna adjacent to the ceiling to couple an RF induction field through the ceiling into the process chamber. A temperature control system that is capable of maintaining substantially uniform temperatures across the ceiling, comprises a heat exchanger and a heat transfer member having a heat conduction surface bonded to the ceiling and a heat transmitting surface thermally coupled to the heat exchanger. [0009]
  • In another aspect, the present invention further comprises a method of processing a substrate in a process chamber. The method comprises the steps of placing a substrate in the process chamber, introducing process gas into the process chamber, charging an inductor antenna adjacent to a ceiling of the process chamber to couple RF energy to the process gas to sustain a plasma in the process chamber, and monitoring the temperature of the ceiling and regulating the flow of heat to and from the process chamber via a heat transfer member bonded to the ceiling, a heater, and a heat exchanger. [0010]
  • In yet another aspect, the present invention further comprises a method of bonding a heat transfer member to an external surface of a process chamber. The method comprises the steps of forming a heat transfer member having a heat conduction surface, providing a thermally conducting adhesive between the heat transfer member and the external surface, pressing the heat transfer member against the external surface, and heating the thermally conducting adhesive to cure the adhesive and form a thermally conducting bond between the heat transfer member and the external surface of the process chamber.[0011]
  • DRAWINGS
  • These and other features, aspects, and advantages of the present invention will become better understood with regard to the following description, appended claims, and accompanying drawings which illustrate examples of preferred embodiments of the invention, where: [0012]
  • FIG. 1 is a schematic sectional side view of a process chamber of the present invention showing a temperature control system along a wall of the chamber; [0013]
  • FIG. 2 is a schematic sectional side view of another embodiment of the process chamber of the present invention showing the temperature control system for a dome shaped ceiling; [0014]
  • FIG. 3 is a schematic sectional side view of a temperature control system comprising heat transfer rings bonded to a ceiling of a process chamber; and [0015]
  • FIG. 4 is a schematic sectional side view of a jig holding apparatus for holding and bonding heat transfer rings to the chamber ceiling.[0016]
  • DESCRIPTION
  • An [0017] exemplary processing apparatus 20 of the present invention, as schematically illustrated in FIG. 1, generally comprises a process chamber 25 having sidewalls 30, a bottom wall 35, and a ceiling 40. The chamber 25 is fabricated from metals, ceramics, glasses, polymers, or composite materials. Metals commonly used to fabricate the process chamber 25 include, for example, anodized aluminum, stainless steel, or INCONEL™, of which anodized aluminum is preferred. Ceramic and semiconductor materials used to fabricate the chamber 25 include, for example, silicon, boron carbide, quartz, or aluminum oxide. The processing apparatus 20 is provided only to illustrate the present invention, and should not be used to limit the scope of the invention.
  • Process gas is introduced into the [0018] chamber 25 through a process gas distribution system that includes a gas distributor 45, a process gas supply 50 and gas feed conduits 65. Referring to FIG. 2, the gas feed conduits 65 a can extend through the sidewalls 30 to end near a peripheral edge of a substrate 70, or the gas feed conduits 65 b can be positioned to extend upwardly through the bottom wall 35 and towards the periphery of the substrate 70, or the gas feed conduits 65 c can extend downward through the center of the ceiling 40. In one embodiment, the gas feed conduits 65 b extend through a heated silicon ring 75 that surrounds the substrate 70 and that has a circular passageway 76 for holding process gas and an array of gas injection holes 78 to distribute the process gas around the periphery of the substrate 70. An exhaust system 80 comprising one or more exhaust pumps 85 (typically including a 1000 liter/sec roughing pump), and a throttle valve 90 are used to exhaust spent process gas and control the pressure of process gas in the chamber 25. Preferably, an annulus 92 surrounding the lower portion of the chamber forms an asymmetric pumping channel that is used to pump gases out of the chamber 25 and to provide a uniform distribution of gaseous species around the surface of the substrate 70.
  • The [0019] process chamber 25 comprises a pedestal or support 95 at the bottom of the chamber for supporting the substrate 70. In one embodiment, a dielectric member 100 having a receiving surface 105 for receiving the substrate 70 is positioned on the support 95. An electrode 110 is embedded in the dielectric member 100 so that dielectric material completely surrounds the electrode 110. Preferably, the dielectric member 100 comprises a monolithic structure fabricated from a thermally fused polymer or ceramic, for example, aluminum oxide, aluminum nitride, silicon carbide, silicon nitride, or mixtures thereof. More preferably, the electrode 110 is fabricated from a conductive refractory metal having a high melting point, such as tungsten, tantalum, or molybdenum. As illustrated in FIG. 1, the dielectric member 100 can also comprise holes 115 extending therethrough for providing heat transfer gas, such as helium, to the receiving surface 105 below the substrate 70. Typically, a series of holes 115 is provided around the circumference of the dielectric member 100 to provide a uniform distribution of gas supplied by a heat transfer gas supply 118 to the region below the substrate 70.
  • In a preferred embodiment, the [0020] electrode 110 has dual functions, serving both as a plasma generator by capacitively coupling to an electrically biased or grounded surface of the chamber 25, and also as an electrode of an electrostatic chucking system that generates an electrostatic force for electrostatically holding the substrate 70. An electrode voltage supply 120 maintains an electrical potential between the electrode 110 and a surface of the chamber 25, such as the ceiling 40. Preferably, both a DC chucking voltage and an RF bias voltage is applied to the electrode 110 through an electrical connector. The RF bias voltage comprises one or more frequencies from 13.56 MHZ to 400 KHz at a power level of from about 50 to about 3000 Watts. The DC voltage is applied to the electrode 110 to generate an electrostatic charge that holds the substrate 70 to the electrostatic chucking system, the DC voltage being typically from about 250 to about 2000 volts.
  • The [0021] ceiling 40 of the process chamber 25 can be flat as shown in FIGS. 1 and 3, or dome-shaped as shown in FIG. 2. The dome-shaped hemispherical ceiling 40 serves as a window to the RF induction field transmitted by an inductor antenna 125 adjacent to the ceiling 40. Preferably, at least a portion of the ceiling 40 is made from materials permeable to RF, such as dielectric or semiconducting material, that has a low impedance to the RF induction field of the inductor antenna 125 or that have an electric field susceptibility that is sufficiently low to transmit the induction field generated by the inductor antenna 125 through the ceiling 40 with minimum loss of power. Preferably, the ceiling 40 is made from a semiconducting material that can be biased relative to the electrode 110 to allow it to capacitively couple RF energy to the plasma in the chamber 25. The semiconductor ceiling 40 is connected to an electrical potential, such as an RF power source, or to electrical ground. For example, in the apparatus shown in FIG. 2, a source power supply 140 is connected across the inductor antenna 125, and a bias power supply 120 is connected to the electrode 110 while the ceiling 40 is grounded. The semiconductor ceiling 40 can also be left unconnected and allowed to float electrically, in which case the plasma is formed solely by the RF power applied to the antenna 125 inductively coupled through the ceiling 40.
  • Many semiconducting and dielectric materials can be used to make the [0022] RF conducting ceiling 40 including silicon, silicon carbide, germanium, or Group III-V compound semiconductors such as gallium arsenide and indium phosphide; or Group II-III-V compound semiconductors such as mercury-cadmium-telluride. In a preferred embodiment, the semiconductor ceiling 40 comprises a slab of semiconducting silicon having resistivity of less than about 500 Ω-cm (at room temperature), more preferably about 10 Ω-cm to about 300 Ω-cm, and most preferably about 20 Ω-cm to about 200 Ω-cm. Silicon is preferred since it is less likely to be a source of contamination for processing silicon substrates 70 in comparison with other materials such as Al2O3 or aluminum, which are typically employed to form the chamber ceiling 40. Also, silicon can serve as a scavenger for gaseous species in the chamber 25 such as fluorine. In addition, the all-semiconductor surfaces enclosing and defining a process zone need not be passivated by accumulated polymer deposits, but instead may be left bare during plasma processing. This is possible because interaction between the plasma and the semiconductor surfaces does not produce byproducts harmful to processing of the substrate 70, but instead produce volatile byproducts that are pumped away by the exhaust system 80. While the semiconductor ceiling 40 is illustrated as a monolithic structure, the ceiling 40 can also be formed from a plurality of tiles or segmented members. Instead of a semiconductor chamber wall 30 or ceiling 40, the chamber 25 can also comprise instead a dielectric or metal chamber wall 30 or ceiling 40 of any suitable shape including a planar, dome, conical, truncated conical, cylindrical, or combination of such shapes. For example, the ceiling 40 can comprise a dome or sidewall 30 composed of a dielectric material that is transparent to RF fields and is also an electrical insulator material, such as aluminum oxide.
  • The [0023] inductor antenna 125 is adjacent to the ceiling 40, which is transparent to RF energy, inductively couples RF energy into the chamber 25 to form a high density plasma therein. The inductor antenna 125 preferably comprises multiple coils 128, as shown in FIG. 3, having a circular symmetry with a central axis coincident with the longitudinal axis of the process chamber 25 and perpendicular to the plane of the substrate 70. The circular symmetry of the inductor coils 128 provide a spatial distribution of inductive electrical field vector components that have a null or minimum along the central axis of symmetry, which reduces the number of electrons over the center of the substrate 70, as described in U.S. patent application Ser. No. 08/648,254, which is incorporated herein by reference. Preferably, each coil 128 comprises from about 1 to about 10 turns, and more typically from about 2 to about 6 turns.
  • Temperature Control System & Assembly [0024]
  • In any of the chamber configurations described above, a [0025] temperature control system 145 comprising an assembly of components can be used to control the temperature of a surface of the process chamber 25, such as the ceiling 40. The temperature of the ceiling 40 can be maintained at a predefined temperature, or range of temperatures, selected to reduce polymeric etchant residue deposition, provide more uniform temperature gradients for substrate processing, and/or to maintain particular electrical properties in ceilings made from semiconducting materials. Although the temperature control system 145 will be illustrated in the context of controlling the temperature of a ceiling 40, it can also be used to control the temperature of any other wall or surface of the process chamber 25, such as the sidewall 30 of the chamber; and the invention should not be limited to the exemplary embodiments described herein.
  • A suitable temperature range for the [0026] sidewall 30 or ceiling 40 of a chamber 25 during processing of a substrate 70 in the chamber 25 depends upon many factors, including the process gas composition and the RF power coupled to the plasma in the chamber. For example, process gas compositions comprising a high flow rate of hydrocarbons, such as C4F8 or CHF3, can cause excessive deposition of polymeric etchant residue which is reduced by maintaining the ceiling 40 at constant temperatures above the condensation temperature of the polymeric residue. However, with conventional temperature control systems, as numerous substrates 70 are processed over a period of time, the temperature of the ceiling 40 continues to rise. Additionally, when the plasma is turned off, conventional temperature control systems cause the temperature of the ceiling 40 to drop to extremely low temperatures. As a result of these temperature fluctuations, etch or deposition rates can vary from one wafer to another, and polymeric residue deposits, which can flake-off from the thermal stresses, accumulate on the ceiling 40, and/or the walls of the chamber 25.
  • In addition, in the embodiment in which the [0027] ceiling 40 is made of semiconducting material, it must be maintained in a range of temperatures in which the semiconductor material provides semiconducting properties. For example, for silicon this range is from about 100 K to about 600 K. At temperatures exceeding this range, silicon provides a conductance similar to that of metal; below this range, it behaves as a very low conductivity dielectric material. Thus active control of the temperature of the semiconductor ceiling 40 is preferred to allow it to function both as an induction field window and as an electrode. Also, active temperature control of the window also provides a consistent and stable plasma and good “cold start” conditions for the plasma.
  • These problems are substantially reduced by the [0028] temperature control system 145 of the present invention. The temperature control system 145 employs direct thermal contact or indirect thermal contact to provide the desired temperature control of the chamber surface. Generally, the temperature control system 145 comprises (i) one or more heaters 150 that provide controllable sources of heat, (ii) a heat exchanger 155 that can remove (or provide) heat to the chamber surface, (iii) a heat transfer member 158 for conducting heat between the chamber surface and the heat exchanger 155, (iv) a temperature sensor 160, and (v) computer control system 165 for controlling all of these components. The heaters 150, heat exchanger 155, and/or heat sinks provide multiple control mechanisms that allow rapid responses to both upward and downward fluctuations in the temperature of the chamber surfaces. Morever, the heat transfer member 158 rapidly transfers heat to and from the chamber surface and increases the available heat radiating surface area of the chamber surface.
  • A [0029] suitable heater 150 for providing a source of heat for the temperature control system 145 can comprise a conventional electrical resistive heater (not shown) that directs radiant energy onto the chamber ceiling 40. Preferably the heater 150 comprises a plurality of radiant heat lamps 168, such as tungsten halogen lamps distributed across the surface of the ceiling 40, to provide a uniform radiation per unit surface area across the ceiling. For improved heating efficiency, the whole interior surface of the upper chamber containing the heat lamps 168 is lined with a reflective material such as an aluminum layer. The large area irradiated by the heat lamps 168 provides greater temperature uniformity and faster and more responsive control over temperature fluctuations inside the chamber 25.
  • The [0030] heat exchanger 155 can be used to either remove or provide heat to the chamber 25. A suitable heat exchanger 155 comprises channels 170 or passages for flowing a heat transfer fluid therethrough. The heat exchanger 155 typically comprises a plate of aluminum or copper with cooling channels 170 therein, and an inlet 175 and outlet 180 to supply heat transfer fluid to the channels 170. A heat transfer fluid reservoir 185 supplies heat transfer fluid to the channels 170 to heat or cool the heat exchanger 155 as needed to maintain the chamber 25 at constant temperatures. The heat transfer fluid can be heated by an in-line heater 190, such as a coil wrapped around the inlet conduit, or can comprise a heater for heating the reservoir 185 containing the heat transfer fluid. Alternatively, the heat transfer fluid can be cooled by a chiller 195 such as a refrigeration unit, condenser, or radiator. The amount of cooling provided by the heat exchanger 155 is sufficient to offset any excess heating of the ceiling 40 by the plasma, and the amount of heat provided by the heaters 150 and/or the in-line heater 190 is sufficient to offset any cooling that occurs when the plasma is turned off. In a preferred embodiment, to facilitate rapid and responsive temperature control of the chamber surface, the heat exchanger 155 provides a means to cool the ceiling 40 at a constant cooling rate, while the maximum power of the heater 190 is selected to be able to overcome the cooling power of the heat exchanger 155. Preferably, the heat transfer fluid circulated in the heat exchanger 155 comprises a fluid having a high thermal conductivity, high electrical resistance, and low chemical reactivity to the materials of the heat exchanger and components of the recirculating system. Suitable fluids include high resistivity water, Freon®, and perfluorinated liquids such as Galden® or Fluorinert®.
  • Thermal contact between a surface of the [0031] chamber 25, such as the ceiling 40, and the heat exchanger 155 is maintained via the heat transfer member 158. Preferably, the heat transfer member 158 comprises a plurality of highly thermally conducting rings 200 having a lower heat conduction surface 205 thermally coupled to the chamber surface, and an upper heat transmitting surface 210 thermally coupled to the heat exchanger 155 to provide high heat transfer rates from the chamber surface to the heat exchanger 155. Preferably, the thermally conducting rings 200 increase the area of the ceiling 40 that is in thermal contact with the heat exchanger 155. The inductor antenna 125 is positioned around the lower portion of the heat transfer rings 200, so that a portion of the heat transfer rings extend through gaps in the inductor antenna. More preferably, the inductor antenna 125 is confined to the central region of the ceiling 40 to leave a large portion of the top surface of the chamber ceiling available for contacting and transferring heat by the heat transfer rings 200.
  • The dimensions of the heat transfer rings [0032] 200 are selected to maximize the available area of heat conduction and minimize absorption of RF energy from the inductor antenna 125 or other such powered components adjacent to the rings. For example, the height of the heat transfer rings 200 is selected so that the heat exchanger 155 is supported at a sufficient distance above the inductor antenna 125 to mitigate or eliminate the reduction in inductive coupling between the antenna and the plasma which would otherwise result from their close proximity to the conductive plane of the heat exchanger. Preferably, the height of the rings 200 above the inductor antenna 125 is a substantial fraction (e.g., ½) of the total height of the inductor antenna to displace the metal plate of the heat exchanger 155, well above the top of the inductor antenna. The width of the heat transfer rings 200 are selected to maximize the available cross-sectional area for heat conduction through the rings. A suitable set of heat transfer rings 200 comprises from 1 to 10 rings, more preferably 2 to 6 rings, and most preferably 4 rings. Each ring has a height of from about 2 to about 10 cm, and a width of from about 2 to about 6 cm. Preferably, the rings 200 are concentric to one another. For example, a set of four rings for a dome-shaped ceiling 40 having an inside diameter of from about 28 to about 38 cm, comprises an outer ring 200 a having an outer diameter of from about 28 to about 36 cm, a second ring 200 b having an outer diameter of from about 20 to about 25 cm, a third ring 200 c having an outer diameter of from about 12 to about 18 cm, and the fourth or inner ring 200 d having an outer diameter of from about 5 to about 8 cm. Instead of heat transfer rings, the heat transfer member 158 can also comprise a non-cylindrical cross-section, or a rectangular cross-section, having a shape and dimensions that maximize the available heat conduction area on the ceiling 40. For example, the heat transfer rings can comprise a triangular or conical cross-section, or form the base of the cone that is thermally coupled to the chamber surface.
  • Preferably, the heat transfer rings [0033] 200 are made from a material that has a high thermally conductivity to provide high thermal transfer rates, and that has a low electrical conductivity to avoid electrically unloading power from the inductor antenna 125. Suitable highly thermally conducting materials include ceramic materials such as aluminum nitride, aluminum oxide, silicon nitride, silicon carbide; or semiconducting materials, such as silicon either lightly doped or undoped. More preferably, the rings 200 are concentric to one another with decreasing radii and are spaced apart a sufficient distance from one another to cover a large portion of the semiconductor ceiling 40. A suitable distance apart for the rings 200 is from about 1 to about 10 cms. Most preferably, the heat transfer rings 200 are sized to fit around the coils 128 of the inductor antenna 125 and provide spaces for fitting the radiant heat lamps 168 or sized to fit around any other chamber component such as the gas distributor 45 extending through the surface of the chamber 25.
  • In a preferred embodiment, the [0034] heat transfer member 158 preferably comprises silicon carbide material hot pressed or sintered to the desired shape. The density of the heat transfer rings 200 is preferably at least about 2.9 grams/cc, and the porosity is preferably less than about 2%. The thermal conductivity of the heat transfer rings 200 should be sufficiently high to provide high thermal transfer rates between the chamber surface and the heat exchanger 155. Preferably, the thermal conductivity of the heat transfer rings 200 throughout their thickness is at least about 80 watts/m-K, and more preferably at least about 100 watts/m-K, at a temperature of about 150 to 200° C. A further advantage of using silicon carbide for the heat transfer rings 200, for a chamber 25 comprising a silicon ceiling 40 is that the coefficient of thermal expansion of the silicon carbide approximates that of silicon. Preferably the coefficient of thermal expansion of the heat transfer rings 200 is about 4.81E-06/K, in a range of temperatures of from about 150 to about 200° C.
  • Preferably, the [0035] lower surface 205 of the heat transfer rings 200 is bonded to a chamber surface, such as the ceiling 40, in such a way as to form a highly thermally conducting interface. In order to form a highly thermally conducting interface, it is necessary for the heat transfer rings 200 to uniformly contact the ceiling 40 across their entire lower surface 205; otherwise, high thermal transfer rates only occur at those portions that are in close thermal contact and low thermal transfer rates occur at areas with gaps or a roughened interface. The upper surface 210 and lower surfaces 205 of the heat transfer member 158 comprise a very smooth surface that provides uniform thermal coupling to the chamber surface. Preferably, the heat transfer member 158 comprises silicon carbide rings 200 having heat conduction or thermal transfer surfaces that are polished to provide surface having an rms peak-to-peak roughness of less than about 500 Å (50 nm), and more preferably less than 50 Å (5 nm). Preferably, the lower surface 205 of the heat transfer member 158 is bonded to the chamber surface with a thermally conducting adhesive having high thermal conductivity. The upper surface 210 of the heat transfer member 158 which serves as a thermal transfer or coupling surface, is also preferably bonded to the heat exchanger 155 using the thermally conducting adhesive. Suitable thermally conducting adhesives include polymeric or elastomeric materials, such as polyimide or epoxy adhesives, for example, a polyimide adhesive commercially available from Al Technologies, New Jersey. Other suitable thermally conducting adhesives comprise a mixture of silicone rubber impregnated with boron nitride.
  • The conventional [0036] temperature control system 145 controls the amount of current flow to the heaters 150, i.e., the heat lamps 168 or in-line heater 190, via a closed loop control system to maintain the temperature of the chamber surface at the desired predefined temperature. The temperature sensor 160 can comprise a thermocouple, RTD, or an optical pyrometer attached to or directed at the chamber surface. The radiant heater lamps 168 can be controlled together so that the entire chamber surface constitutes a single temperature controlled zone, or operated individually or in small groups of heaters that are circumferentially arranged at preselected radii from the center of the ceiling 40. In the latter embodiment, each radial group of heaters 150 is separately controlled from the other groups so that the ceiling 40 is divided into a plurality of annular zones in which temperatures can be adjusted to optimize processing uniformity.
  • The [0037] temperature control system 145 is operated by the computer control system 165 coupled to the temperature sensor 160. A suitable control system 145 comprises a computer program product that runs on a computer system comprising a central processor unit (CPU) interconnected to a memory system with peripheral control components, such as for example, a PENTIUM microprocessor, commercially available from Intel Corporation, Santa Clara, Calif. The program code is written in a conventional computer readable programming language, such as for example, assembly language C++ or Pascal. Suitable program code is entered into a single file, or multiple files, using a conventional text editor and stored or embodied in a computer-usable medium, such as a memory system of the computer. If the entered code text is in a high level language, the code is compiled, and the resultant compiler code is linked with an object code of precompiled windows library routines. To execute the linked compiled object code, the system user invokes the object code, causing the computer system to load the code in memory to perform the tasks identified in the program. The interface between an operator and the computer system is typically a CRT monitor and a light pen. To select a particular screen or function, the operator touches a designated area of the display screen with the light pen and pushes the button on the pen. The area touched changes its color, or a new menu or screen is displayed, confirming the communication between the light pen and the display screen. Other devices, such as a keyboard, mouse, or pointing communication device can also be used instead of or in addition to the light pen to allow the user to communicate with the computer processor system.
  • The computer program typically comprises multiple routines of program code including a process sequencer routine for sequencing and timing operations in the [0038] apparatus 20, a manager routine for managing priorities of components in the apparatus, a process chamber routine for operating the process chamber 25, and a temperature control system routine for operating the temperature control system 145. While described as separate routines performing a set of tasks, it should be understood that each of these routines can be integrated with one another, or the tasks of one routine integrated with the tasks of another routine, to operate the desired set of tasks. Thus, the computer system and program described herein should not be limited to the specific embodiment of the functional routines described herein; and any other set of routines or merged program code that perform equivalent sets of functions are also in the scope of the present invention.
  • The temperature control system routine comprises a set of routines and program code to operate the [0039] temperature control system 145, including a heater routine and a heat exchanger routine. Typically, the temperature control system routine comprises program code for monitoring the various temperature control system components, determining which component needs to be operated based on the process parameters passed by the manager routine and operating the components in response to the monitoring and determining steps.
  • The heater routine comprises program code for operating the [0040] heaters 150 to heat the chamber surface in response to operator selected parameters and signals from the temperature sensors 160. The heater routine measures temperature by measuring voltage output of a thermocouple located in the chamber 25, compares the measured temperature to the set-point temperature, and increases or decreases current applied to the heaters 150 to obtain the desired surface temperature. The heater routines can also be embedded in a PID controller (not shown) that operates the heater 150.
  • The heat exchanger routine comprises program code for controlling the flow rate and temperature of fluid circulated in the [0041] channels 170 of the heat exchanger 155. The heat exchanger routine comprises a fluid distributor program code for adjusting the power to a recirculating pump and operating the fluid supply valve, according to an input signal from the temperature sensor 160. The heat exchanger routine further comprises program code for controlling the in-line heater 190, or program code that operates the chiller 195 to cool the fluid in response to operator selected parameters and signals from system temperature sensors 160. The heat exchanger routine measures the fluid temperature by a thermocouple placed in the fluid reservoir 185 and increases or decreases current applied to the heater 150 to obtain the desired temperature. The temperature is determined by measuring the voltage across the thermocouple junction, and by looking up the corresponding temperature in a stored conversion table, or by calculating the temperature using a fourth order polynomial. The heat exchanger routine can use a proportional, integration, and differential (PID) controller solely dedicated to controlling the temperature of the heat exchanger 155 or can comprise a part of the computer program running on the computer system. A suitable PID controller comprises a single purpose controller having means for monitoring one or more temperature parameters, controlling power supplied to a heat exchanger pump, and means of calculating a parameter in a dynamic model.
  • In operation, the [0042] radiant heaters 150 are powered to rapidly ramp up the temperature of a surface of the process chamber 25. Thereafter, the temperature control system 145 regulates the temperature of the chamber surface by circulating fluid in the heat exchanger 155 at a predetermined temperature and controlling the flow rate and temperature of fluid in the heat exchanger 155. The fluid removes excess heat from the chamber surface 40 or adds heat, depending on the temperature difference between the fluid and the chamber surface, to control its temperature. The heat transfer member 158 transfers heat at high thermal transfer rates to and from the heat exchanger 155.
  • The [0043] temperature control system 145 described herein can be adapted to any semiconductor process chamber 25. For example, a CVD chamber used to deposit dielectric material by chemical vapor deposition, for example, as described in U.S. Pat. No. 5,500,249, issued Mar. 19, 1996, which is incorporated herein by reference. The temperature control system 145 can also be used to control the temperature of other chambers such as an epitaxial reactor, ion implantation chamber, or sputtering chamber as would be apparent to one of ordinary skill in the art.
  • Bonding & Assembly [0044]
  • The method of bonding the assembly of the chamber surface such as the [0045] silicon ceiling 40, to a heat transfer member 158 such as the annular heat transfer rings 200, to provide a highly thermally conducting bond will now be described. In this process, the semiconductor or silicon ceiling 40 is chemically cleaned prior to bonding to the heat transfer rings 200. A commercial degreasing solution such as Oakite is used to clean the silicon ceiling 40 for about ten minutes in an ultrasonic cleaner. Thereafter, the ceiling 40 is rinsed in distilled water and dried by a stream of dry nitrogen or clean dry filtered air. The silicon ceiling 40 is then immersed in HF solution at a concentration of 50:1 of distilled water to HF for about 3 to 4 minutes. Alternative cleaning solutions include mixtures of HF and H2O2, or other acidic mixtures of HCl, H2SO4, or HNO3. Thereafter, the silicon ceiling 40 is removed from the acidic solutions and ultrasonically cleaned in distilled water for 2 to 15 minutes. Prior to assembly, the chamber ceiling 40, heat transfer member 158, and bonding jig fixture (as described below) were cleaned free of dust and contaminants using isopropanol.
  • The [0046] heat transfer member 158 is bonded to the ceiling 40 using a bonding fixture jig 215, as shown in FIG. 4, that maintains the correct positional relationship between the different components and the surface of the ceiling during assembly. The bonding fixture jig 215 comprises a flat plate 220 that is used to mount silicon carbide rings to the silicon ceiling 40. The surface of the flat plate 220 has a flatness with peak-to-peak rms of less than 125 microns (5 mils), and more preferably less than about 50 microns (2 mils). A thin release sheet 225, such as a Mylar™ sheet, is placed on the flat surface of the flat plate 220. Thereafter, the annular silicon carbide rings are placed on the release sheet 225 and aligned to one another using a set of dowel pins 230 that are positioned to guide the outer circumferential surface of the annular rings so that the rings are properly positioned relative to one another, as shown in FIGS. 3 and 4. Each of the dowel pins 230 are tapered to form a cone that does not break off or chip the brittle ceramic silicon carbide rings. The dowel pins 230 are made of a material having a hardness similar to, or less than, the hardness of the SiC ceramic rings to avoid damaging the rings during the alignment procedure. A suitable ceramic material for the dowel pins 230 comprises boron nitride or silicon nitride.
  • Thereafter, a cut-out [0047] adhesive preform layer 235 that comprises a temperature-sensitive adhesive is positioned over the bottom thermal coupling surfaces of the annular rings. The silicon ceiling 40 is placed on top of the preform adhesive layer 235. Three or more circumferentially positioned alignment posts 240 are located on the flat surface of the jig plate to align the silicon ceiling 40 to the silicon carbide annular rings 200. The alignment posts 240 have chamfered inner edges 245 that align the perimeter of the silicon ceiling 40 to the circumference of the silicon carbide rings 200. A pressure plate 250 is positioned over the silicon ceiling 40. The pressure plate 250 has two or three upstanding posts 255 that rest on the inner surface of the silicon ceiling 40 to apply pressure against the silicon ceiling 40. A spring loaded nut and bolt assembly 260 a, 260 b extends through the jig plate and the pressure plate 250, as shown in FIG. 4, to securely clamp the assembly together. The spring assembly allows the silicon ceiling 40 and the annular heat transfer rings 200 to thermally expand without breakage during heat treatment.
  • The assembly of bonding fixture, [0048] ceiling 40, and heat transfer rings 200 aligned over the adhesive preform layer is placed in a temperature controlled oven 265 capable of reaching the 650° C. The temperature oven 265 is lined with a material that introduces little or no metallic contamination into the silicon ceiling 40, such as for example, ceramic fiber insulator of SiO2, Al2O3, ZrO2, or mixtures thereof. The temperature is ramped to about 325° C. in about 1 hour. The temperature is maintained for a temperature soak at 325° C. for about 2½ hours. Thereafter, the oven 265 is allowed to cool to room temperature, and the bonding assembly is disassembled to provide a chamber ceiling 40 with thermally coupled bonded heat transfer rings 200. After bonding, the die shear strength of the bonded rings and silicon ceiling 40 is typically from about 200 to about 600 psi.
  • The [0049] process chamber 25 and temperature control system 145, according to the present invention, provides uniform and constant temperatures across a process chamber surface regardless of varying thermal loads and temperature fluctuations within the chamber. The temperature control system 145 is particularly advantageous for controlling the temperature of a chamber surfaces that have adjacent components such as inductor coils, heating or cooling elements, temperature measurement sensors 160, or process gas distributors. The temperature control system 145 of the present invention maintains a constant and uniform temperature across the chamber surface, provides low mechanical and thermal stresses on the chamber surface, and provides more reproducible and consistent substrate processing characteristics.
  • Although the present invention has been described in considerable detail with regard to the preferred versions thereof, other versions are possible, as apparent to one of ordinary skill in the art. For example, the [0050] heat transfer member 158 can have any other configuration, structure, or assembly of structures, suitable for conducting heat to or from a particular chamber surface without deviating from the scope of the present invention. Furthermore, upper, lower, center, ceiling, base, floor, and other such terms of spatial orientation or structures can be changed to equivalent or opposite orientations without affecting the scope of the present invention. Therefore, the appended claims should not be limited to the descriptions of the preferred versions, materials, or spatial arrangements described herein to illustrate the invention.

Claims (19)

What is claimed is:
1. A process chamber for processing a semiconductor substrate, the process chamber comprising:
(a) a support;
(b) a process gas distributor;
(c) a heat transfer member having a heat conduction surface bonded to an external surface of the process chamber; and
(d) an exhaust,
whereby a substrate held on the support is processed by process gas distributed by the gas distributor, the process gas is exhausted by the exhaust, and the heat transfer member transfers heat from the process chamber during processing of the substrate.
2. The process chamber of
claim 1
wherein the heat transfer member comprises a heat conduction surface having an rms peak-to-peak roughness of less than about 500 microns.
3. The process chamber of
claim 2
wherein the heat conduction surface of the heat transfer member is bonded to the external surface of the process chamber by a thermally conducting adhesive.
4. The process chamber of
claim 2
wherein the heat transfer member is thermally coupled to a heat exchanger having channels for circulating heat transfer fluid or a heater.
5. The process chamber of
claim 1
wherein the heat transfer member comprises one or more heat transfer rings comprising silicon carbide, silicon nitride, or mixtures thereof.
6. The process chamber of
claim 1
further comprising an inductor antenna adjacent to the external surface of the process chamber and wherein the heat transfer member extends through gaps in the inductor antenna, whereby the inductor antenna couples RF energy into the process chamber to sustain a plasma of the process gas in the process chamber.
7. The process chamber of
claim 6
wherein the inductor antenna abuts a ceiling of the process chamber, the ceiling comprising a material having an electric field susceptibility that is sufficiently low to couple the induction field generated by the inductor antenna to the process gas in the process chamber.
8. A method of processing a substrate in a process chamber, the method comprising the steps of:
(a) placing a substrate on a support in the process chamber;
(b) introducing process gas into the process chamber;
(c) coupling RF energy to the process gas to sustain a plasma of the process gas; and
(d) regulating a flow of heat to and from the process chamber via a heat transfer member bonded to an external surface of the process chamber.
9. A process chamber for processing a substrate in a plasma, the process chamber comprising:
(a) a support;
(b) a gas distributor;
(c) a ceiling comprising semiconductor material having an electrical susceptibility that is sufficiently low to allow an RF induction field to permeate therethrough;
(d) an inductor antenna adjacent to the ceiling to couple an RF induction field through the ceiling into the process chamber;
(e) a temperature control system comprising a heat exchanger, and a heat transfer member having a heat conduction surface bonded to the ceiling and a heat transmitting surface thermally coupled to the heat exchanger; and
(f) an exhaust,
whereby a substrate held on the support is processed by a plasma of process gas distributed into the process chamber by the gas distributor, the process gas being exhausted by the exhaust, and the heat transfer member transfers heat from the process chamber to maintain substantially uniform temperatures across the ceiling.
10. The process chamber of
claim 9
wherein the heat conduction surface of the heat transfer member comprises an rms peak-to-peak roughness of less than about 500 microns.
11. The process chamber of
claim 9
wherein the heat conduction surface of the heat transfer member is bonded to the external chamber surface by a thermally conducting adhesive.
12. The process chamber of
claim 9
wherein the heat transfer member comprises one or more heat transfer rings extending through coils of the inductor antenna, the heat transfer rings comprising silicon carbide, silicon nitride, or mixtures thereof.
13. A method of processing a substrate in a process chamber, the method comprising the steps of:
(a) placing a substrate in the process chamber;
(b) introducing a process gas into the process chamber;
(c) charging an inductor antenna adjacent to a ceiling of the process chamber to couple RF energy to the process gas to sustain a plasma in the process chamber; and
(d) monitoring the temperature of the ceiling and regulating the flow of heat to and from the process chamber via a heat transfer member bonded to the ceiling, a heater, and a heat exchanger.
14. A method of bonding a heat transfer member to an external surface of a process chamber, the method comprising the steps of:
(a) forming a heat transfer member having a heat conduction surface;
(b) providing a thermally conducting adhesive between the heat transfer member and the external surface;
(c) pressing the heat transfer member against the external surface; and
(d) heating the thermally conducting adhesive to cure the adhesive and form a thermally conducting bond between the heat transfer member and the external surface of the process chamber.
15. The method of
claim 14
wherein in step (a) the heat transfer member is polished to provide a heat conduction surface having a rms peak-to-peak roughness of less than about 500 microns.
16. The method of
claim 14
wherein step (b) comprises providing a thermally conducting adhesive comprising a polymeric temperature sensitive adhesive.
17. The method of
claim 14
wherein step (a) comprises the step of forming a heat transfer member comprising one or more heat transfer rings comprising silicon carbide, silicon nitride, or mixtures thereof.
18. The method of
claim 14
wherein the external surface of the process chamber comprises a surface of a ceiling comprising a semiconductor material having an electric field susceptibility sufficiently low to couple an induction field generated by an inductor antenna adjacent to the ceiling to a process gas in the process chamber.
19. The method of
claim 14
wherein step (c) comprises the step of aligning the heat transfer member and the external surface in a jig, and causing the jig to apply a uniform pressure that forces the heat transfer member against the external surface of the process chamber.
US09/082,430 1996-05-13 1998-05-20 Process chamber having improved temperature control Expired - Fee Related US6440221B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/082,430 US6440221B2 (en) 1996-05-13 1998-05-20 Process chamber having improved temperature control

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US08/648,254 US6165311A (en) 1991-06-27 1996-05-13 Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US08/733,555 US6063233A (en) 1991-06-27 1996-10-21 Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US08/893,393 US6074512A (en) 1991-06-27 1997-07-15 Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US09/082,430 US6440221B2 (en) 1996-05-13 1998-05-20 Process chamber having improved temperature control

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US08/893,393 Continuation-In-Part US6074512A (en) 1991-06-27 1997-07-15 Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners

Publications (2)

Publication Number Publication Date
US20010042594A1 true US20010042594A1 (en) 2001-11-22
US6440221B2 US6440221B2 (en) 2002-08-27

Family

ID=27417808

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/082,430 Expired - Fee Related US6440221B2 (en) 1996-05-13 1998-05-20 Process chamber having improved temperature control

Country Status (1)

Country Link
US (1) US6440221B2 (en)

Cited By (227)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030006019A1 (en) * 2000-03-01 2003-01-09 Johnson Wayne L. Electrically controlled plasma uniformity in a high density plasma source
US6811662B1 (en) * 2003-08-22 2004-11-02 Powership Semiconductor Corp. Sputtering apparatus and manufacturing method of metal layer/metal compound layer by using thereof
US20050039683A1 (en) * 1995-03-16 2005-02-24 Saburo Kanai Plasma processing method
US20060213763A1 (en) * 2005-03-25 2006-09-28 Tokyo Electron Limited Temperature control method and apparatus, and plasma processing apparatus
US20070089836A1 (en) * 2005-10-24 2007-04-26 Applied Materials, Inc. Semiconductor process chamber
US20070117414A1 (en) * 2005-10-05 2007-05-24 Stephen Moffatt Methods and apparatus for epitaxial film formation
US20090011606A1 (en) * 2004-03-01 2009-01-08 Hitachi Kokusai Electric Inc. Substrate Processing Apparatus and Semiconductor Device Producing Method
US20090044752A1 (en) * 2007-06-05 2009-02-19 Tokyo Electron Limited Plasma processing apparatus, electrode temperature adjustment device and electrode temperature adjustment method
US20090316749A1 (en) * 2008-06-23 2009-12-24 Matthew Fenton Davis Substrate temperature measurement by infrared transmission in an etch process
US20120097647A1 (en) * 2010-10-20 2012-04-26 Andreas Fischer Methods and apparatus for igniting and sustaining plasma
WO2012092134A1 (en) * 2010-12-30 2012-07-05 Poole Ventura, Inc. Thermal diffusion chamber control device and method
US20130239993A1 (en) * 2010-11-24 2013-09-19 Ulvac, Inc. Film-forming apparatus and method for cleaning film-forming apparatus
WO2014018212A1 (en) * 2012-07-26 2014-01-30 Applied Materials, Inc. Chambers with improved cooling devices
WO2016160138A1 (en) * 2015-03-27 2016-10-06 Applied Materials, Inc. Upper dome temperature closed loop control
TWI587354B (en) * 2014-12-10 2017-06-11 Advanced Micro-Fabrication Equipment Inc A heater for a plasma processing device
CN108286044A (en) * 2017-01-10 2018-07-17 Asm Ip控股有限公司 Reactor assembly and method for the residue accumulation during reducing film deposition process
US20190287830A1 (en) * 2018-03-15 2019-09-19 Kokusai Electric Corporation Substrate processing apparatus and method of manufacturing semiconductor device
US20190287829A1 (en) * 2018-03-15 2019-09-19 Kokusai Electric Corporation Substrate processing apparatus and method of manufacturing semiconductor device
CN110277305A (en) * 2018-03-15 2019-09-24 株式会社国际电气 The manufacturing method of substrate processing device and semiconductor devices
CN110797249A (en) * 2018-08-02 2020-02-14 北京北方华创微电子装备有限公司 Process chamber and semiconductor processing equipment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107709B2 (en) 2019-01-30 2021-08-31 Applied Materials, Inc. Temperature-controllable process chambers, electronic device processing systems, and manufacturing methods
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US20210302478A1 (en) * 2020-03-27 2021-09-30 Lam Research Corporation Rf signal parameter measurement in an integrated circuit fabrication chamber
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3607664B2 (en) * 2000-12-12 2005-01-05 日本碍子株式会社 III-V nitride film manufacturing apparatus
JP2002270346A (en) * 2001-03-09 2002-09-20 Mitsubishi Heavy Ind Ltd Heating device and its manufacturing method, as well as film forming device
JP2002313781A (en) * 2001-04-11 2002-10-25 Sumitomo Electric Ind Ltd Substrate treating equipment
JP2002343787A (en) * 2001-05-17 2002-11-29 Research Institute Of Innovative Technology For The Earth Plasma treatment equipment and its cleaning method
US6695920B1 (en) 2001-06-27 2004-02-24 Advanced Cardiovascular Systems, Inc. Mandrel for supporting a stent and a method of using the mandrel to coat a stent
DE10137570A1 (en) * 2001-07-30 2003-02-27 Infineon Technologies Ag Method and device for producing at least one depression in a semiconductor material
US6716727B2 (en) * 2001-10-26 2004-04-06 Varian Semiconductor Equipment Associates, Inc. Methods and apparatus for plasma doping and ion implantation in an integrated processing system
US6664738B2 (en) * 2002-02-27 2003-12-16 Hitachi, Ltd. Plasma processing apparatus
JP3921234B2 (en) * 2002-02-28 2007-05-30 キヤノンアネルバ株式会社 Surface treatment apparatus and manufacturing method thereof
KR100476370B1 (en) * 2002-07-19 2005-03-16 주식회사 하이닉스반도체 Batch type Atomic Layer Deposition and method for insitu-cleaning in the batch type atomic layer deposition
JP3877157B2 (en) * 2002-09-24 2007-02-07 東京エレクトロン株式会社 Substrate processing equipment
US7074276B1 (en) 2002-12-12 2006-07-11 Advanced Cardiovascular Systems, Inc. Clamp mandrel fixture and a method of using the same to minimize coating defects
WO2004079805A1 (en) * 2003-03-07 2004-09-16 Tokyo Electron Limited Substrate-processing apparatus and temperature-regulating apparatus
US6872909B2 (en) * 2003-04-16 2005-03-29 Applied Science And Technology, Inc. Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel
US7221553B2 (en) * 2003-04-22 2007-05-22 Applied Materials, Inc. Substrate support having heat transfer system
US20060105182A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
US7522822B2 (en) * 2004-01-06 2009-04-21 Robert Trujillo Halogen lamp assembly with integrated heat sink
US7670436B2 (en) 2004-11-03 2010-03-02 Applied Materials, Inc. Support ring assembly
US7776156B2 (en) * 2005-02-10 2010-08-17 Applied Materials, Inc. Side RF coil and side heater for plasma processing apparatus
US7823533B2 (en) 2005-06-30 2010-11-02 Advanced Cardiovascular Systems, Inc. Stent fixture and method for reducing coating defects
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US7735449B1 (en) 2005-07-28 2010-06-15 Advanced Cardiovascular Systems, Inc. Stent fixture having rounded support structures and method for use thereof
US9127362B2 (en) 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US20070125646A1 (en) * 2005-11-25 2007-06-07 Applied Materials, Inc. Sputtering target for titanium sputtering chamber
US7867547B2 (en) 2005-12-19 2011-01-11 Advanced Cardiovascular Systems, Inc. Selectively coating luminal surfaces of stents
JP4405973B2 (en) * 2006-01-17 2010-01-27 キヤノンアネルバ株式会社 Thin film production equipment
DE102006018515A1 (en) * 2006-04-21 2007-10-25 Aixtron Ag CVD reactor with lowerable process chamber ceiling
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US7985441B1 (en) 2006-05-04 2011-07-26 Yiwen Tang Purification of polymers for coating applications
US8069814B2 (en) 2006-05-04 2011-12-06 Advanced Cardiovascular Systems, Inc. Stent support devices
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US7946759B2 (en) * 2007-02-16 2011-05-24 Applied Materials, Inc. Substrate temperature measurement by infrared transmission
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US8968536B2 (en) 2007-06-18 2015-03-03 Applied Materials, Inc. Sputtering target having increased life and sputtering uniformity
US7901552B2 (en) * 2007-10-05 2011-03-08 Applied Materials, Inc. Sputtering target with grooves and intersecting channels
US7649729B2 (en) * 2007-10-12 2010-01-19 Applied Materials, Inc. Electrostatic chuck assembly
WO2010026840A1 (en) * 2008-09-02 2010-03-11 株式会社ラスコ Heat exchanging device
US20110100554A1 (en) * 2009-09-09 2011-05-05 Applied Materials, Inc. Parallel system for epitaxial chemical vapor deposition
JP5416570B2 (en) * 2009-12-15 2014-02-12 住友電気工業株式会社 Heating / cooling device and apparatus equipped with the same
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8970114B2 (en) * 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US9331430B2 (en) 2013-10-18 2016-05-03 JTech Solutions, Inc. Enclosed power outlet
US10205283B2 (en) 2017-04-13 2019-02-12 JTech Solutions, Inc. Reduced cross-section enclosed power outlet
USD843321S1 (en) 2018-03-26 2019-03-19 JTech Solutions, Inc. Extendable outlet
USD841592S1 (en) 2018-03-26 2019-02-26 JTech Solutions, Inc. Extendable outlet
KR20220040804A (en) 2020-09-24 2022-03-31 삼성전자주식회사 Plasma processing apparatus and plasma processing method
USD999742S1 (en) 2021-04-01 2023-09-26 JTech Solutions, Inc. Safety interlock outlet box

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1500701A (en) * 1974-01-24 1978-02-08 Atomic Energy Authority Uk Vapour deposition apparatus
US4427516A (en) 1981-08-24 1984-01-24 Bell Telephone Laboratories, Incorporated Apparatus and method for plasma-assisted etching of wafers
JPS6212129A (en) 1985-07-10 1987-01-21 Hitachi Ltd Plasma-processing apparatus
US4711698A (en) 1985-07-15 1987-12-08 Texas Instruments Incorporated Silicon oxide thin film etching process
US4786352A (en) * 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
US4756810A (en) 1986-12-04 1988-07-12 Machine Technology, Inc. Deposition and planarizing methods and apparatus
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4793897A (en) 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
US4918031A (en) 1988-12-28 1990-04-17 American Telephone And Telegraph Company,At&T Bell Laboratories Processes depending on plasma generation using a helical resonator
US5160545A (en) * 1989-02-03 1992-11-03 Applied Materials, Inc. Method and apparatus for epitaxial deposition
US4990229A (en) 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5203956A (en) 1990-01-08 1993-04-20 Lsi Logic Corporation Method for performing in-situ etch of a CVD chamber
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
EP0584252B1 (en) 1991-05-17 1998-03-04 Lam Research Corporation A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US5187454A (en) 1992-01-23 1993-02-16 Applied Materials, Inc. Electronically tuned matching network using predictor-corrector control system
US5392018A (en) 1991-06-27 1995-02-21 Applied Materials, Inc. Electronically tuned matching networks using adjustable inductance elements and resonant tank circuits
JP2635267B2 (en) 1991-06-27 1997-07-30 アプライド マテリアルズ インコーポレイテッド RF plasma processing equipment
US5349313A (en) 1992-01-23 1994-09-20 Applied Materials Inc. Variable RF power splitter
EP0552490A1 (en) 1992-01-24 1993-07-28 Applied Materials, Inc. Process for etching an oxide layer over a nitride
US5241245A (en) 1992-05-06 1993-08-31 International Business Machines Corporation Optimized helical resonator for plasma processing
US5277751A (en) 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
JP3242166B2 (en) * 1992-11-19 2001-12-25 株式会社日立製作所 Etching equipment
US5401350A (en) 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
DE69531880T2 (en) 1994-04-28 2004-09-09 Applied Materials, Inc., Santa Clara Method for operating a CVD reactor with a high plasma density with combined inductive and capacitive coupling
US5753044A (en) 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
JP3360098B2 (en) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 Shower head structure of processing equipment
US5710486A (en) 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
US6015761A (en) * 1996-06-26 2000-01-18 Applied Materials, Inc. Microwave-activated etching of dielectric layers
US5948283A (en) * 1996-06-28 1999-09-07 Lam Research Corporation Method and apparatus for enhancing outcome uniformity of direct-plasma processes

Cited By (283)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050039683A1 (en) * 1995-03-16 2005-02-24 Saburo Kanai Plasma processing method
US20060249254A1 (en) * 1995-03-16 2006-11-09 Saburo Kanai Plasma processing apparatus and plasma processing method
US7208422B2 (en) * 1995-03-16 2007-04-24 Hitachi, Ltd. Plasma processing method
US20100140224A1 (en) * 1995-03-16 2010-06-10 Saburo Kanai Plasma Processing Apparatus And Plasma Processing Method
US20090289035A1 (en) * 1995-03-16 2009-11-26 Saburo Kanai Plasma Processing Apparatus And Plasma Processing Method
US7019253B2 (en) * 2000-03-01 2006-03-28 Tokyo Electron Limited Electrically controlled plasma uniformity in a high density plasma source
US20030006019A1 (en) * 2000-03-01 2003-01-09 Johnson Wayne L. Electrically controlled plasma uniformity in a high density plasma source
US6811662B1 (en) * 2003-08-22 2004-11-02 Powership Semiconductor Corp. Sputtering apparatus and manufacturing method of metal layer/metal compound layer by using thereof
US20090011606A1 (en) * 2004-03-01 2009-01-08 Hitachi Kokusai Electric Inc. Substrate Processing Apparatus and Semiconductor Device Producing Method
US20060213763A1 (en) * 2005-03-25 2006-09-28 Tokyo Electron Limited Temperature control method and apparatus, and plasma processing apparatus
WO2007044530A3 (en) * 2005-10-05 2007-12-13 Applied Materials Inc Methods and apparatus for epitaxial film formation
EP1945836A2 (en) * 2005-10-05 2008-07-23 Applied Materials, Inc. Methods and apparatus for epitaxial film formation
US20070117414A1 (en) * 2005-10-05 2007-05-24 Stephen Moffatt Methods and apparatus for epitaxial film formation
EP1945836A4 (en) * 2005-10-05 2009-12-02 Applied Materials Inc Methods and apparatus for epitaxial film formation
KR101038843B1 (en) * 2005-10-05 2011-06-03 어플라이드 머티어리얼스, 인코포레이티드 Methods and apparatus for epitaxial film formation
WO2007050309A1 (en) * 2005-10-24 2007-05-03 Applied Materials, Inc. Semiconductor process chamber
US20070089836A1 (en) * 2005-10-24 2007-04-26 Applied Materials, Inc. Semiconductor process chamber
TWI427668B (en) * 2007-06-05 2014-02-21 Tokyo Electron Ltd A plasma processing device, an electrode temperature adjusting device, and an electrode temperature adjusting method
US20090044752A1 (en) * 2007-06-05 2009-02-19 Tokyo Electron Limited Plasma processing apparatus, electrode temperature adjustment device and electrode temperature adjustment method
US8864932B2 (en) * 2007-06-05 2014-10-21 Tokyo Electron Limited Plasma processing apparatus, electrode temperature adjustment device and electrode temperature adjustment method
US20090316749A1 (en) * 2008-06-23 2009-12-24 Matthew Fenton Davis Substrate temperature measurement by infrared transmission in an etch process
WO2010008721A2 (en) * 2008-06-23 2010-01-21 Applied Materials, Inc. Substrate temperature measurement by infrared transmission in an etch process
WO2010008721A3 (en) * 2008-06-23 2010-03-18 Applied Materials, Inc. Substrate temperature measurement by infrared transmission in an etch process
US8884178B2 (en) * 2010-10-20 2014-11-11 Lam Research Corporation Methods and apparatus for igniting and sustaining plasma
US20120097647A1 (en) * 2010-10-20 2012-04-26 Andreas Fischer Methods and apparatus for igniting and sustaining plasma
US20130239993A1 (en) * 2010-11-24 2013-09-19 Ulvac, Inc. Film-forming apparatus and method for cleaning film-forming apparatus
US8950470B2 (en) 2010-12-30 2015-02-10 Poole Ventura, Inc. Thermal diffusion chamber control device and method
WO2012092134A1 (en) * 2010-12-30 2012-07-05 Poole Ventura, Inc. Thermal diffusion chamber control device and method
US20150152548A1 (en) * 2010-12-30 2015-06-04 Poole Ventura, Inc. Thermal Diffusion Chamber Control Device and Method
CN103547698B (en) * 2010-12-30 2016-01-06 普尔·文图拉公司 Thermal diffusion chamber control device and method
CN103547698A (en) * 2010-12-30 2014-01-29 普尔·文图拉公司 Thermal diffusion chamber control device and method
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US8901518B2 (en) 2012-07-26 2014-12-02 Applied Materials, Inc. Chambers with improved cooling devices
KR101569327B1 (en) 2012-07-26 2015-11-13 어플라이드 머티어리얼스, 인코포레이티드 Chambers with improved cooling devices
WO2014018212A1 (en) * 2012-07-26 2014-01-30 Applied Materials, Inc. Chambers with improved cooling devices
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
TWI587354B (en) * 2014-12-10 2017-06-11 Advanced Micro-Fabrication Equipment Inc A heater for a plasma processing device
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016160138A1 (en) * 2015-03-27 2016-10-06 Applied Materials, Inc. Upper dome temperature closed loop control
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) * 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
CN108286044A (en) * 2017-01-10 2018-07-17 Asm Ip控股有限公司 Reactor assembly and method for the residue accumulation during reducing film deposition process
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US20190287829A1 (en) * 2018-03-15 2019-09-19 Kokusai Electric Corporation Substrate processing apparatus and method of manufacturing semiconductor device
US10714362B2 (en) * 2018-03-15 2020-07-14 Kokusai Electric Corporation Substrate processing apparatus and method of manufacturing semiconductor device
CN110277305A (en) * 2018-03-15 2019-09-24 株式会社国际电气 The manufacturing method of substrate processing device and semiconductor devices
US10593572B2 (en) * 2018-03-15 2020-03-17 Kokusai Electric Corporation Substrate processing apparatus and method of manufacturing semiconductor device
US20190287830A1 (en) * 2018-03-15 2019-09-19 Kokusai Electric Corporation Substrate processing apparatus and method of manufacturing semiconductor device
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
CN110797249A (en) * 2018-08-02 2020-02-14 北京北方华创微电子装备有限公司 Process chamber and semiconductor processing equipment
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11837478B2 (en) 2019-01-30 2023-12-05 Applied Materials, Inc. Temperature-controllable process chambers, electronic device processing systems, and manufacturing methods
US11107709B2 (en) 2019-01-30 2021-08-31 Applied Materials, Inc. Temperature-controllable process chambers, electronic device processing systems, and manufacturing methods
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US20210302478A1 (en) * 2020-03-27 2021-09-30 Lam Research Corporation Rf signal parameter measurement in an integrated circuit fabrication chamber
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11967488B2 (en) 2022-05-16 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11972944B2 (en) 2022-10-21 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11970766B2 (en) 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Also Published As

Publication number Publication date
US6440221B2 (en) 2002-08-27

Similar Documents

Publication Publication Date Title
US6440221B2 (en) Process chamber having improved temperature control
US10257887B2 (en) Substrate support assembly
KR101265807B1 (en) Heat transfer system for improved semiconductor processing uniformity
KR101532906B1 (en) Substrate processing with rapid temperature gradient control
CN106449503B (en) Ceramic heater and ESC with enhanced wafer edge performance
KR102383357B1 (en) Mounting table and substrate processing apparatus
US8226769B2 (en) Substrate support with electrostatic chuck having dual temperature zones
CN100440422C (en) Substrate support having dynamic temperature control
KR19980032909A (en) Parallel plate plasma reactor inductively connected to a conical dome
CN106463449B (en) Electrostatic chuck with raised top plate and cooling channels
JP2006140455A (en) Method of controlling substrate temperature and its apparatus
CN102867726A (en) Plasma confinement ring assemblies having reduced polymer deposition characteristics
US9437400B2 (en) Insulated dielectric window assembly of an inductively coupled plasma processing apparatus
CN109716497B (en) Heater base assembly for wide range temperature control
CN114080669A (en) Removable, biasable electrostatic chuck for high temperature applications
KR20040096496A (en) Heated vacuum support apparatus
EP1303869A2 (en) Heated substrate support assembly and method
US7179663B2 (en) CDA controller and method for stabilizing dome temperature

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SHAMOUILIAN, SHAMOUIL;KUMAR, ANANDA H.;NARENDRNATH, KADTHALA R.;AND OTHERS;REEL/FRAME:009382/0652;SIGNING DATES FROM 19980624 TO 19980707

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20140827