US20010054381A1 - High temperature chemical vapor deposition chamber - Google Patents

High temperature chemical vapor deposition chamber Download PDF

Info

Publication number
US20010054381A1
US20010054381A1 US09/211,998 US21199898A US2001054381A1 US 20010054381 A1 US20010054381 A1 US 20010054381A1 US 21199898 A US21199898 A US 21199898A US 2001054381 A1 US2001054381 A1 US 2001054381A1
Authority
US
United States
Prior art keywords
chamber
temperature
pedestal
liner
chamber body
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/211,998
Other versions
US6364954B2 (en
Inventor
Salvador P Umotoy
Steve H Chiao
Anh N Nguyen
Be V Vo
Joel Huston
James J Chen
Lawrence Chung-Lai Lei
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US09/211,998 priority Critical patent/US6364954B2/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: UMOTOY, SALVADOR P., CHEN, JAMES J., CHIAO, STEVE H., HUSTON, JOEL, LEI, LAWRENCE CHUNG-LAI, NGUYEN, AHN N., VO, BE V.
Priority to TW088120548A priority patent/TW447014B/en
Priority to KR1020017007351A priority patent/KR20010080758A/en
Priority to JP2000588423A priority patent/JP2003524703A/en
Priority to PCT/US1999/029115 priority patent/WO2000036179A2/en
Publication of US20010054381A1 publication Critical patent/US20010054381A1/en
Application granted granted Critical
Publication of US6364954B2 publication Critical patent/US6364954B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus

Definitions

  • the present invention relates to semiconductor wafer processing systems and, more particularly, to a high temperature chemical vapor deposition (CVD) chamber with a heated inside liner, and a temperature-controlled outer chamber body.
  • CVD chemical vapor deposition
  • Titanium nitride (TiN) film has found wide applications in ultra large-scale integrated circuits (ULSI) as a diffusion barrier and as an adhesion layer for tungsten contacts.
  • Low temperature reactive sputtering of Ti in the presence of nitrogen has been used in the past to deposit TiN films upon semiconductor wafers.
  • CVD chemical vapor deposition
  • TiN film from a titanium tetrachloride based CVD process can be used as a high aspect ratio contact barrier, a capacitor top electrode or in plug fill processes below 0.18 ⁇ m.
  • Both cold wall and hot wall low pressure chemical vapor deposition (LPCVD) processes have been used for depositing TiN films using a reaction between titanium tetrachloride (TiCl 4 ) and ammonia (NH 3 ).
  • a cold wall reactor contains a wafer that is heated to a temperature above the reaction temperature of the process gases, e.g., over 650° C., by a halogen lamp that is located external to the chamber.
  • process by-products such as adduct ammonia salts will detrimentally form on the interior surfaces of the cold chamber walls or other cold surfaces.
  • these deposits may flake and fall on a wafer causing contamination and reducing wafer yield. Since these reaction by-products cannot be removed by in-situ chamber cleaning processes, frequent chamber disassembly and cleaning is required. This necessitates the opening of the chamber to the atmosphere, and results in considerable down time for the chamber.
  • quartz hot wall reactors have been used to form high quality TiN films.
  • the heated walls of these reactors help reduce accumulation of undesirable deposits, such as adduct ammonia salts, on the interior chamber walls.
  • hot wall chambers can be dangerous to operate because of the high temperature of the walls, e.g., 150-200° C.
  • the heated liner lies against the inner surface of the cold reactor wall, and, as such, is only partially insulated from the reactor wall. Not only does this contact promote excessive thermal conduction to the reactor wall resulting in the chamber wall becoming dangerously hot, but additional heating of the liner will be needed to compensate for the heat lost to the wall.
  • an apparatus for processing a wafer having a chamber body that encloses a chamber liner, where the liner is maintains a spaced apart distance from the chamber body such that the liner is maintained at a higher temperature than the chamber body.
  • the liner can be maintained at a temperature that reduces the amount of deposition on the liner while maintaining a safe temperature for the chamber body.
  • the present invention relates to a process chamber system for high temperature film deposition, e.g., using a reaction between titanium tetrachloride (TiCl 4 ) and ammonia (NH 3 ) to deposit titanium nitride (TiN).
  • the system comprises a process chamber and an exhaust assembly.
  • the process chamber has an inside liner which is maintained at a temperature of approximately 150-250° C., while the chamber body is maintained at a temperature of approximately 60-65° C. or below.
  • the liner can either be heated directly by a resistive heater embedded in the liner, or indirectly by a heated wafer support pedestal.
  • the liner which is substantially cylindrical, is enclosed within the bucket-shaped chamber body having a cylindrical wall and a base.
  • Isolating pins are located between the bottom of the liner and the inside surface of the chamber base such that a spacing is maintained between the liner and the chamber body, i.e., the liner only contacts the chamber body through the isolating pins. Excellent thermal isolation is achieved between the liner and the chamber body because of the low thermal conductivity resulting from the small contact area between the isolating pins and the liner.
  • the process chamber further comprises a heated wafer support pedestal for supporting and heating a semiconductor wafer and a showerhead for separately introducing TiCl 4 and NH 3 into the process chamber into a space above the wafer.
  • the wafer is maintained at a temperature of approximately 600-700° C. such that a thermal reaction occurs at the surface of the wafer between TiCl 4 and NH 3 , resulting in the formation of a TiN film upon the wafer.
  • the exhaust assembly is connected to the process chamber to allow for continuous pumping of gases away from the process chamber.
  • a substantial portion of the exhaust assembly is maintained at approximately 150° C.-200° C. by the use of several heaters disposed on the outside walls of the exhaust assembly. Such heating reduces reaction by-product accumulation within the exhaust assembly.
  • FIG. 1 is a partial cross-sectional perspective view of the high temperature CVD chamber system of the present invention, showing a process chamber and an exhaust assembly;
  • FIG. 2 a is a cross-sectional view of the process chamber, showing details of various components inside the process chamber of FIG. 1;
  • FIG. 2 b shows an enlarged cross-sectional view around the area of the inside liner, the isolating pin and the chamber body of FIG. 2 a;
  • FIG. 3 a shows a cross-sectional view of the showerhead assembly
  • FIG. 3 b shows a cross-sectional view of the dual-gas faceplate of the showerhead assembly of FIG. 3 a;
  • FIG. 4 a is a top view of the cooling plate
  • FIG. 4 b is a cross-sectional view showing the channel inside the cooling plate of FIG. 4 a;
  • FIG. 4 c is a side view of the cooling plate of FIG. 4 a;
  • FIG. 5 a is a cross-sectional view of the process chamber showing the bottom purge arrangement
  • FIG. 5 b is a perspective view of another embodiment of an edge ring
  • FIG. 5 c is a partial cross-sectional view of the edge ring (line 5 c in FIG. 5 b ) resting atop of the liner;
  • FIG. 5 d is a partial cross-sectional view of the edge ring (line 5 d in FIG. 5 b ) resting atop of the liner;
  • FIG. 6 shows a partial cross-sectional view of the exhaust assembly
  • FIG. 7 a shows a conceptual design of a process chamber modified for plasma application
  • FIG. 7 b is a cross-sectional view illustrating the RF connections to the chamber of FIG. 7 a.
  • FIG. 1 shows a partial cross-sectional perspective view of a high temperature chemical vapor deposition (HT CVD) system, including a process chamber 100 and an exhaust assembly 600 .
  • a chamber mounting plate 110 is provided for mounting this process chamber 100 onto a wafer transfer chamber (not shown) which interfaces the process chamber 100 to the rest of a complete wafer processing system, such as a Centura 5200 system (not shown) manufactured by Applied Materials, Inc. of Santa Clara, Calif.
  • Cross-sectional views illustrating various components in the process chamber 100 are shown in FIGS. 2 - 5 . To best understand the process chamber 100 of the present invention and its operation, the reader should simultaneously refer to all these figures.
  • the process chamber 100 of the present invention performs, for example, high temperature deposition of titanium nitride upon a semiconductor wafer using a TiCl 4 +NH 3 chemistry. Since the thermal decomposition of TiCl 4 and NH 3 occurs at high temperature, the wafer should preferably be maintained at a temperature range of 600-700° C. to facilitate deposition upon the wafer.
  • the process chamber 100 comprises a chamber body 250 , a liner 200 , a pedestal assembly 160 and a showerhead assembly 300 .
  • the pedestal assembly 160 contains a pedestal 180 that supports the wafer in the chamber 100 .
  • the dual-gas showerhead assembly 300 is positioned in a parallel, spaced apart relation with respect to the pedestal 180 such that the wafer support surface of the pedestal 180 and a faceplate 330 of the showerhead assembly 300 define a reaction zone.
  • the wafer is heated by a heated pedestal 180 while the showerhead assembly 300 introduces the two reactant gases (TiCl 4 and NH 3 ) into the process chamber 100 such that reaction occurs only above the wafer, resulting in TiN deposition onto the wafer.
  • the interior wall of the chamber i.e., the chamber liner 200
  • the chamber liner 200 is maintained at a temperature between 150° C. to 250° C.
  • An improved bottom purge arrangement is also provided to reduce undesirable adduct reaction by-products which may form on cold areas of the chamber.
  • the heated exhaust assembly 600 also prevents the formation of powder deposits on the interior walls of the exhaust assembly 600 .
  • This powder deposit is a reaction by-product between TiCl 4 and NH 3 which forms at a temperature below 150° C.
  • minimal amounts of TiN is deposited on interior chamber components.
  • the TiN film that is inadvertently deposited on chamber components can readily be removed by an in-situ thermal chlorine cleaning process performed within chamber 100 of the present invention.
  • the chamber body 250 is substantially bucket-shaped, with a cylindrical side 254 and a base 252 . There is an opening 256 at one portion of the cylindrical side 254 , which is connected to the exhaust assembly 600 for the removal of process and product gases.
  • the chamber base 252 has a centrally-located opening 258 , which is provided to accommodate a pedestal assembly 160 , as well as a gas purging arrangement to be described below.
  • An inside liner 200 which is substantially cylindrical, is located on the inside, but spaced apart from the chamber body 250 .
  • the chamber body 250 which substantially encloses this inside liner 200 , is made of nickel-plated aluminum.
  • nickel plating is needed to protect the aluminum components from corrosion by chlorine gas, which is used in an in-situ chamber cleaning process to be described below.
  • alternative metal-plating may be used as long as it is compatible with the chemical species used in the reaction or cleaning processes.
  • the chamber body temperature is maintained at approximately 60° C.-65° C. or less by thermally isolating the chamber body 250 from the inside heated liner 200 .
  • the inside liner 200 which is also made of nickel-plated aluminum, has an embedded resistive heater 215 for establishing a fixed liner temperature.
  • a thermal conductive paste is applied to the resistive heater 215 and enclosed within a cover plate 207 which is welded to the liner 200 to ensure good thermal conductivity between the heater 215 and the liner 200 .
  • An AC voltage is coupled to the heater 215 using AC connectors inside a feedthrough portion 214 of the liner 200 .
  • This heater 215 can optionally be used to dynamically control the temperature of the liner 200 .
  • the heater 215 may be used to maintain the liner temperature at approximately 150 to 250° C. to avoid the formation of adduct ammonia salt or other process by-products on the interior surface of liner 200 .
  • a Type K thermocouple 212 is removably inserted in the liner 200 approximately half the distance along its cylindrical wall 204 .
  • the liner temperature can be measured at approximately half way from the bottom 202 of the liner 200 .
  • Connectors (not shown) for the thermocouple 212 and the resistive heater 215 are located inside the feedthrough portion 214 of the liner 200 .
  • a clamping plate 216 seats an O-ring 201 against the chamber base 252 and the feedthrough portion 214 to seal the interior of the chamber 100 from the outside atmosphere.
  • independent heating by the resistive heater 215 is not always necessary to achieve an appropriate liner temperature since the operation of the heated pedestal 180 (see FIG. 2 a ) alone is generally sufficient to maintain the temperature of the liner 200 within the desired range of 150-250° C.
  • this heater 215 may be used, alone or in conjunction with the heated pedestal 180 , for other processes with different temperature requirements.
  • the inside liner 200 and the chamber body 250 are substantially isolated from each other by means of three dowel pins 220 , one of which is shown in the cross-sectional view in FIG. 2 a .
  • These isolating pins 220 are located between the bottom 202 of the inside liner 200 and the inside surface 253 of the chamber base 252 .
  • the area around the inside liner 200 , dowel pin 220 and the chamber base 252 is shown in an enlarged cross-sectional view in FIG. 2 b .
  • the three pins 220 are arranged to lie equidistant from each other on the circumference of a circle, subtending angles of 120 degrees at the center of the circle.
  • Each dowel pin 220 has a central portion 221 having a diameter d 1 and two smaller ends 222 and 223 , each having a diameter d 2 , where d 2 is less than d 1 .
  • a drilled-through hole 225 is provided along the longitudinal axis of the pin 220 to allow for pump-out of any trapped gases.
  • the pins 220 are press-fit into corresponding receiving holes 224 in the bottom 202 of the liner 200 , such that the liner 200 rests against one side of the larger central portion 221 of each pin 220 .
  • One of these holes 226 is round, and the other two are slotted (not shown) to provide for additional assembly tolerance.
  • the pins 220 serve to maintain a proper spacing (s) between the liner 200 and the chamber base 252 .
  • This spacing (s) corresponds to the thickness of the larger central portion 221 of the pin 220 , which is about 0.135′′ (3.4 mm).
  • Four 1 ⁇ 4-20 nickel screws (not shown), which also lie on the circumference of the same diameter circle as the dowel pins 220 , are used to secure the liner 200 in place by screwing into corresponding tapped holes (not shown) on the inside surface 253 of the chamber base 252 .
  • the small contact areas of the nickel pins 220 and screws ensure very good thermal isolation between the heated liner 200 and the chamber body 250 , and allows the chamber body 250 to be kept at around 65° C. while maintaining the heated liner at 150-250° C.
  • dowel pins 220 can be made of a variety of materials that can withstand high temperatures and have a relatively high thermal resistivity (low thermal conductivity), such as stainless steel or nickel.
  • the choice of material depends on the specific processing applications.
  • nickel (200 or 201 grade) is the preferred material because of its chemical resistance to chlorine and high thermal resistance.
  • the dimensions and specific layouts for the various components are for illustrative purpose only.
  • the liner 200 could be spaced from the body 250 by intermittently spaced ribs formed in the bottom 252 of chamber body 250 , a continuous annular ridge formed in the bottom 252 of the chamber body 250 , a spacer ring positioned on the bottom 252 of the chamber body 250 and the like.
  • the present invention encompasses many other chamber/liner/spacer combinations that facilitate thermal isolation between the liner 200 and the chamber body 250 . Such isolation enables separate temperature control of these components.
  • FIG. 3 a shows a cross-sectional view of the dual-gas showerhead assembly 300 , comprising a nickel-plated aluminum lid/gas box (manifold assembly 310 ) and a faceplate 330 .
  • the showerhead assembly 300 provides a dual-gas separate entry for TiCl 4 and NH 3 into the process chamber 100 without premixing of the gases.
  • the gas manifold assembly 310 also serves as the lid of the chamber 100 .
  • the faceplate 330 is described in a commonly-assigned patent application by Umotoy et al. entitled “Dual Gas Faceplate for a showerhead in a Semiconductor Wafer Processing System,” Ser. No. 09/098,969, filed Jun. 16, 1998; which is herein incorporated by reference.
  • the showerhead assembly 300 allows two gases, for example, NH 3 and TiCl 4 , to be separately introduced into the reaction region, or processing zone 515 .
  • the processing zone 515 is roughly defined by the space between the bottom 332 of the faceplate 330 and the heated pedestal 180 , which is the top portion of a pedestal assembly 160 to be described below. (See FIG. 5 a .)
  • separate flow paths or passageways are established through different channels 320 or chambers 322 inside the showerhead assembly 300 . These channels 320 and chambers 322 prevent mixing of the two gases prior to reaching the process zone 515 .
  • the gas manifold or lid 310 comprises three plates—bottom 312 , middle 314 and top 316 , which have been fused together by vacuum brazing their mating surfaces 334 and 336 using a silicon-rich aluminum film, clamping the entire assembly and placing the assembly in a furnace at a temperature of approximately 550° C. to form a unitary manifold assembly 310 .
  • no O-rings are necessary to provide isolation between the process gases as they flow through the manifold assembly 310 .
  • These plates 312 , 314 and 316 contain a combination of channels 320 and chambers 322 , such that when the faceplate 330 is bolted to the manifold assembly 310 , the myriad of channels 320 and chambers 322 provide proper passageways, or flow paths, for introducing two gases separately from their supply sources (not shown) outside the chamber 100 into the process zone 515 without pre-mixing of the gases.
  • the faceplate 330 further comprises a lower gas distribution plate 340 and a upper gas distribution plate 350 , as shown in FIG. 3 b .
  • the specific arrangement of channels 343 and holes ( 351 , 352 , 341 , 342 and 344 ) that together define distinct passageways or flow paths for the two process gases are described in detail in the above-referenced patent application Ser. No. 09/098,969. Suffice to say that when the two plates, 340 and 350 , are fused together using the same technique as previously described for the gas manifold plates 312 , 314 and 316 , the resulting unitary faceplate 330 provides separate passageways, or flow paths, for uniformly introducing two gases into the processing zone 515 without pre-mixing of the gases.
  • holes 341 and 342 there are two sets of holes, 341 and 342 , in the lower plate 340 , one for each gas.
  • one set of holes 341 aligns with holes 351 in the upper plate to form one flow path (from 351 , via 344 to 341 ) for one gas, e.g., TiCl 4 .
  • the other set of holes 342 are connected to channels 343 within the lower plate 340 . These channels 343 are in turn connected to a circumferential plenum 390 , which is formed between the upper and lower plates, 350 and 340 , when they are fused together.
  • the plenum 390 is further connected to another hole 352 in the upper plate 350 , which provides an inlet for a second gas, e.g., NH 3 .
  • a second gas e.g., NH 3 .
  • This configuration allows the first gas to pass through the first passageway (e.g., from 351 , via 344 to 341 ) and the second gas to pass through the second passageway (e.g., from 352 , via 390 to 343 and 342 ) within the faceplate 330 without mixing until the gases enter the process zone 515 after exiting from these holes 341 and 342 at the bottom 332 of the faceplate 330 .
  • the choice of hole size for each gas and hole distribution are functions of process conditions. For example, the hole size will vary depending upon gas flow rate, gas pressure, gas type, chamber pressure and the faceplate 330 such that gas flow rates through the holes are correlated with the locations of the holes in the faceplate 330 .
  • the showerhead assembly 300 is formed by affixing the faceplate 330 directly to the bottom of the gas distribution manifold 310 using a plurality of bolts (not shown) through the mounting holes (hole 396 is shown in FIG. 3 b ) in the faceplate 330 .
  • An O-ring groove 325 (see FIG. 3 a ) is provided in the gas manifold 310 to accommodate an O-ring 326 which is used to provide sealing between the gas manifold 310 and the faceplate 330 .
  • Different types of O-ring materials, such as Viton may be used, as long the material is compatible with the operating temperature and chemically resistant to the gases used in the process.
  • Both the faceplate 330 and the gas distribution manifold 310 are made of nickel-plated aluminum or some other thermally conductive and chlorine compatible materials such as nickel. Of course, other material choices are possible as long as they provide the thermal conductivity and/or chemical compatibility which are necessary for the specific process.
  • the showerhead assembly 300 can be coupled to a cold plate assembly 400 or other cooling apparatus that will maintain the entire lid/gas box 310 at a uniform and constant temperature.
  • the showerhead assembly 300 is heated by the heated pedestal 180 through thermal radiation in the reaction zone 515 . Therefore, to avert deposition of TiN or by-products of the TiN deposition process upon and/or within the showerhead, a cold plate assembly 400 is necessary to maintain the faceplate temperature within a range of 150-250° C.
  • the cold plate assembly 400 serves a dual-purpose of preventing the showerhead assembly 300 from temperature drift, as well as keeping the areas around the O-ring seal to within specification for proper sealing.
  • FIG. 4 a is a schematic illustration of the top view of the cold plate assembly 400 .
  • This cold plate assembly 400 is substantially annular in shape, except for a rectangular protruded portion 402 .
  • FIG. 4 b shows a cross-sectional view of the cold plate assembly 400 , comprising a top plate 440 and a bottom plate 420 , which are brazed together and then nickel-plated as an assembly.
  • the top plate 440 has a coil-shaped channel 410 passing through the plate 440 for circulating coolant, as illustrated in FIG. 4 a .
  • the two ends, 412 and 414 , of the coil-shaped channel 410 are located at the rectangular protruded portion 402 , and serve as the inlet and outlet for the coolant.
  • the channel 410 has a rectangular cross-section and is open on its bottom side 415 . This is illustrated in the cross-sectional view shown in FIG. 4 b and the side view shown in FIG. 4 c .
  • the top surface 425 of the bottom plate 420 when brazed together with the top plate 440 , defines a closed channel 445 through which a coolant can circulate.
  • the bottom plate 420 has two apertures 422 and 424 , close to the end of the rectangular protruded portion 402 . These apertures 422 and 424 are aligned respectively with the two ends 412 and 414 of the top plate channel 410 to provide for coolant inlet and outlet.
  • the coolant typically water, is connected in series with the coolant for the chamber 100 .
  • the cold plate assembly 400 is attached onto the top of the lid/gas box ( 310 in FIG. 3 a ) using screws at locations 430 around the circumference of the cold plate assembly 400 .
  • one annular portion 421 of the bottom plate 420 is recessed such that only an inner 426 and an outer 428 portion of the bottom plate 420 actually come into physical contact with the chamber lid 310 .
  • the cooling plate assembly 400 , lid/gas box 310 and the faceplate 330 are sometimes collectively referred to as a lid assembly. With an inlet water temperature of about 45-55° C., the lid/gas box 310 can readily be maintained within a temperature range of 60-65° C.
  • this cold plate assembly 400 is a cost-effective design feature which allows for ease of maintenance as well as design and process flexibility. For example, different temperature control scenarios for the faceplate 330 may be achieved by a suitable interchange with a different cooling (or heating) plate that provide more or less heating or cooling. To most effectively process a wafer or wafers, the cold plate assembly 400 that is best suited for a particular process can be easily installed onto the chamber lid.
  • FIG. 5 a illustrates a pedestal assembly 160 in a cross-sectional view within the process chamber 100 .
  • the pedestal assembly 160 comprises a flat circular portion 180 at the top, sometimes referred to as a susceptor or pedestal; and a bottom cylindrical shaft-like portion 560 which extends downwards through the centrally-located opening 258 at the bottom 252 of the chamber body 250 .
  • the upper surface 185 of the pedestal 180 has a wafer pocket 563 to support a wafer 564 (shown in phantom in FIG. 5 a ), and four clearance holes to accommodate wafer lift pins 285 .
  • These wafer lift pins 285 are made of ceramic, and are used for wafer-handling and transport.
  • the current pedestal assembly 160 and chamber design can accommodate wafer diameters of 125 mm, 150 mm and 200 mm, respectively. Those skilled in the art can perform proper design scale-up to encompass applications to larger wafer diameters such as 300 mm as well.
  • the pedestal 180 preferably made of a ceramic material such as aluminum nitride (AlN), is further equipped with two embedded electrodes 571 and 572 .
  • these electrodes 571 and 572 made of different types of conducting materials, can be of various thicknesses and shapes.
  • one of these electrodes is a radio-frequency (RF) grid 571 for performing plasma clean and other plasma processes such as plasma annealing of the wafer.
  • the mesh-type grid 571 made of molybdenum, is located slightly below the pedestal's top surface 185 . Slightly below the RF electrode is an alternating current (AC) grid 572 for heating purpose.
  • AC alternating current
  • the pedestal 180 currently in use is made of a dark gray, high resistivity AlN of 99.9% purity. Of course, other similar heaters may also be used, depending on the specific process requirements.
  • This heated pedestal 180 allows the wafer temperature to be controlled within a range of approximately 600-800° C.
  • TiN film deposition can also be performed between 200-600° C., the resulting TiN film tends to have an undesirably high chlorine content. Therefore, the wafer temperature is preferably maintained within a range of 600-700° C. for optimal deposition results.
  • the pedestal assembly 160 moves vertically within the chamber cavity by a lift mechanism (not shown) between a first (top) position 501 and a second (bottom) position 502 .
  • This second position 502 of the pedestal assembly 160 is illustrated in phantom in FIG. 5 a .
  • the pedestal assembly 160 is placed in the first position 501 .
  • a reaction zone, or process zone 515 , within the chamber cavity 280 can be defined roughly as the space between the bottom 332 of the faceplate 330 and the pedestal top surface 185 , and confined on the side by the edge ring 290 .
  • a wafer substrate 564 is positioned in the wafer pocket 563 during wafer processing, and the distance between the wafer and the bottom of the faceplate 330 is approximately 0.360′′ (9.1 mm). Note that this distance is for illustrative purpose only, and it may be different for other process applications.
  • the spacing 530 between the outside edge 186 of the pedestal 180 to the inside surface 286 of the liner 200 is about 0.125′′ (3.2 mm). The choice of this spacing 530 is determined both by the specified temperature of the liner 200 and the need to prevent purge gas from entering the process zone 515 .
  • the pedestal assembly 160 Before and after film deposition for each wafer, the pedestal assembly 160 is moved to a second position 502 for wafer loading or unloading. In this second position 502 , the pedestal top surface 185 is slightly below a slit valve opening 260 , which is located on the side, or cylindrical wall, 204 of the chamber liner 200 and the cylindrical side 254 of the chamber body 250 .
  • a wafer can be transported in and out of the process chamber 100 through the slit valve opening 260 to an adjacent transfer chamber and/or load-lock chamber (not shown), or another chamber within a cluster tool.
  • a cluster tool of a type that can be coupled to the process chamber 100 is described in a commonly assigned U.S. Pat. No. 5,186,718, entitled “Staged-Vacuum Wafer Processing System and Method”, issued Feb. 16, 1993, and is herein incorporated by reference.
  • Wafer-handling and transport is accomplished by the wafer lift pins 285 in the pedestal 180 in conjunction with a robotic transfer assembly (not shown) which has been described in a commonly assigned U.S. Pat. No. 4,951,601, entitled “Multi-chamber Integrated Process System,” issued Aug. 28, 1990; the complete disclosure of which is incorporated herein by reference.
  • the sequence for wafer loading involves the pedestal being placed in position 502 , and a robotic arm transporting a wafer through the slit valve opening 260 into the process chamber 100 .
  • the wafer lift ring 580 rises to engage pin bottoms such that the wafer lift pins 285 are raised above the pedestal top surface 185 to lift the wafer 564 off the robotic arm.
  • the pedestal assembly 160 is raised to receive the wafer 564 in the wafer pocket 563 , and the lift ring 580 lowers to its original position.
  • the pedestal assembly 160 is subsequently moved into its first position 501 for wafer processing.
  • the wafer unloading sequence is a reverse of the loading sequence.
  • the self-adjusting mechanism for the vertical movement of the pedestal assembly 160 has also been described in a commonly assigned U.S. patent application Ser. No. 08/738,240, filed on Oct. 25, 1996, and entitled “Self-Aligning Lift Mechanism,” the disclosure of which is herein incorporated by reference.
  • FIG. 5 a also illustrates details of an edge ring 290 and a backside gas purge arrangement.
  • the edge ring 290 is disposed around the outer perimeter 187 of the pedestal 180 of the pedestal assembly 160 .
  • This edge ring 290 is substantially annular, with a protruding portion 292 at the bottom 294 of the ring 290 .
  • this protruding portion 292 essentially divides the bottom 294 of the ring 290 into an inside bottom surface 297 and an outside bottom surface 298 .
  • the edge ring 290 rests on top of the heated pedestal 180 , such that its inside bottom surface 297 covers part of the top pedestal surface 185 .
  • the protruded portion 292 of the edge ring 290 covers part of the side, or outside edge 186 of the pedestal 180 .
  • a space 531 is then defined between the outside bottom portion 298 of the edge ring 290 and a protruded portion 203 of the heated liner 200 .
  • This gap 531 provides a choke for the bottom, or backside, purge gas flow (to be described below) and ensures uniform distribution of the purge gas about the edge of the pedestal 180 .
  • the edge ring 290 rests on top of the protruded portion 203 of the heated liner 200 . As such, the edge ring does not interfere with access of the robotic transfer mechanism as it places and/or retrieves the wafer to/from the pedestal 180 .
  • the edge ring 290 can be made of a variety of materials such as ceramic, quartz, aluminum and steel, among others. The exact choice of material depends, of course, on the specific process applications. For example, quartz can be used for TiN deposition using TiCl 4 +NH 3 chemistry.
  • this edge ring 290 is two-fold: 1) to act as a choke such that uniform pumping can be established for the gases and/or by-products during processing; and 2) to prevent process gases from entering behind the pedestal assembly 160 , which may cause undesirable deposits in the lower portions of the chamber 100 .
  • the pedestal assembly 160 is in the first (top) position 501 , such that the gap 520 between the top 295 of the edge ring 290 and the bottom 332 of the faceplate 330 is about 0.05′′ (1.3 mm).
  • a backside purge is established by flowing argon through a liner purge inlet 505 into the gap 599 between the liner 200 and chamber body 250 to prevent powder deposition on the cold wall surfaces of the chamber body 250 .
  • This gap 599 is about 0.06′′ (1.5 mm) wide.
  • a set of holes 595 is also provided through the shaft portion 590 of the wafer lift ring 580 located around the pedestal shaft 560 at the bottom opening 258 .
  • Undesirable deposition behind the pedestal 180 is prevented by establishing a purge gas flow through these holes 595 into the chamber cavity 280 , as illustrated by the arrows.
  • various spaces such as 520 , 530 , and 531 are defined by different portions of the showerhead 330 , pedestal 180 , edge ring 290 , and liner 200 . It is to be understood that dimensions quoted for these spaces, like those quoted for other components, are for illustrative purpose only. In general, the choice of these dimensions depend on the desired results of a specific process being performed in the chamber. For example, spaces 530 and 531 are selected to ensure uniformity in the purge gas distribution around the edge of the pedestal 180 .
  • the space 520 may be a variable parameter affecting uniformity or deposition rate in the film deposition step itself. Suffice to say that one skilled in the art of wafer processing would be able to arrive at optimal combinations of these dimensions to achieve desirable wafer processing results such as deposition uniformity.
  • FIG. 5 b shows a perspective view of an alternative embodiment of an edge ring 550
  • FIGS. 5 c and 5 d show partial cross-sectional views of the edge ring 550 being supported by a horizontal surface 522 of the liner 200
  • FIG. 5 c illustrates the edge ring 550 with a top surface 551 , a bottom surface 552 , an inside surface 556 , an outside surface 557 , and a protruded inside portion 559 .
  • the edge ring 550 is supported entirely by the liner 200 , i.e., the edge ring 550 does not contact the pedestal 180 or wafer 564 .
  • a step 523 is provided on a horizontal surface 522 of the liner 200 to keep the edge ring 550 in place.
  • a key stop portion 554 located on the outside surface 557 of the edge ring 550 interfits with the step 523 of the liner 200 to facilitate secure mounting of the edge ring 550 .
  • three grooves or slots 565 are provided on the bottom surface 552 of the edge ring 550 (see FIG. 5 d ), and are located equidistant from each other.
  • Three pins 526 are press fit into corresponding recessed holes 525 in the horizontal surface 522 of the liner 200 .
  • a portion 527 of the pin 526 protrudes above the horizontal surface 522 of the liner 200 and engages the slot 565 at the bottom surface 552 of the edge ring 550 . As such, the edge ring 550 is prevented from rotating with respect to the liner 200 .
  • mounting screws (not shown) can also be used to secure the edge ring 550 to the liner 200 .
  • the dimensions disclosed for the pin and slot arrangement are for illustrative purpose only, and do not represent limitations pertaining to the practice of this invention. Suffice to say that in the present arrangement, the edge ring 550 is heated by thermal radiation from the pedestal 180 , and expands before the liner 200 reaches the same final temperature. This results in a uniform expansion of the edge ring 550 with respect to its centerline O-O′.
  • the protruded inside portion 559 of the edge ring 550 is disposed over the outer perimeter 187 of the pedestal 180 , such that the outer perimeter 187 and the outside edge 186 of the pedestal 180 are in close proximity to and substantially surrounded by the edge ring 550 .
  • undesirable deposition over the perimeter 187 and outer edge 186 of the pedestal 180 can be avoided. In this embodiment, however, there is no direct physical contact between the pedestal 180 and the edge ring 550 .
  • a gap or space 568 is maintained between the top 185 of the pedestal 180 and the bottom 553 of the protruded portion 559 of the edge ring 550 .
  • Another space 566 is defined between the pedestal edge 186 and the inside surface 556 of the edge ring 550 .
  • a number of openings 555 are provided around the circumference of the edge ring 550 close to the bottom surface 552 . These openings 555 extend horizontally from the inside surface 556 to the outside surface 557 of the edge ring 550 . Through these openings 555 , a purge gas is pumped from the space 566 , into the space 567 between the outside surface 557 of the edge ring 550 and the inside surface 524 of the liner 200 .
  • the gap 568 acts as a choke to prevent any purge gas from flowing towards the top 185 of the pedestal 180 , which may otherwise dilute the process gases and affect the deposition process. Similar to the other edge ring 290 shown in FIG.
  • the top surface 551 of this edge ring 550 and the bottom 332 of the showerhead 330 defines the space 520 through which process gases and/or by-products are pumped out from the reaction zone 515 (see FIG. 5 a ).
  • the dimensions of these spaces 520 , 566 , 567 and 568 are process-dependent, and are typically designed to suit the needs of a particular process. For example, one skilled in the art would seek to adjust these spacings to optimize or achieve desired results such as deposition uniformity.
  • this particular configuration (the liner 200 supporting the edge ring 550 ) allows the temperature of the edge ring 550 to be maintained within a range of approximately 200-250° C., and undesirable deposition on the edge ring 550 is further minimized. Note that the use of an edge ring, while highly desirable, is not absolutely necessary for the practice of this invention.
  • TiCl 4 in a He carrier gas and NH 3 in a H 2 carrier gas are introduced into the processing zone 515 via the showerhead assembly 300 and a chemical reaction between TiCl 4 and NH 3 takes place at close proximity above the wafer 564 that is supported by the heated pedestal 180 .
  • the reaction results in the deposition of TiN film onto the wafer 564 .
  • a continuous gas flow is maintained by the pumping system that is attached to the exhaust assembly 600 such that gases, including any volatile reaction products, are pumped out of the processing zone 515 and other areas of the chamber cavity 280 , past the space 520 between the top 295 of the quartz edge ring 290 and the bottom 332 of the faceplate 330 , through a slot 205 (see FIG. 2 a ) in the inside liner 200 , into the exhaust chamber 600 which is connected to the process chamber 100 by various vacuum adapter and connector components. While the exhaust assembly 600 removes gases, the showerhead 330 replaces the process gases and the purge gas is continuously flowed past the outer edge 186 of the pedestal 180 . This ensures a steady fresh supply of process and purge gases within the chamber 100 during wafer processing.
  • FIG. 6 shows a cross-sectional view of one embodiment of the exhaust assembly 600 and vacuum components connecting it to the process chamber 100 .
  • These vacuum components comprise: an adapter plate 601 , a thermal insulator 602 , an exhaust tubing 603 , a band heater 605 , a cover 604 , a 20-torr Baratron 606 , and a reducer 607 .
  • the annular adapter plate 601 mates directly to the chamber body 250 around the side opening 256 , and fits around the outside of an exhaust tubing 603 which extends partly into the process chamber 100 side opening 256 .
  • a thermal insulator 602 is fitted adjacent to the adapter 601 on the side away from the process chamber 100 .
  • This thermal insulator 602 provides insulation between the heated exhaust assembly 600 , which is maintained at a temperature of about 150° C., and the chamber body 250 , which is maintained at a temperature of about 60-65° C.
  • a band heater 605 is located around a substantial portion of the outside wall of the exhaust tubing 603 .
  • An annular cover 604 fits over the outside of the insulator 602 , the band heater 605 and the remaining exhaust tubing portion 603 .
  • a reducer 607 At the far end 613 of the exhaust tubing (away from the process chamber 100 ) is a reducer 607 , which has a larger diameter opening 617 on one end to mate with the exhaust tubing 603 , and a smaller diameter opening 627 at the other end to mate to additional components of the exhaust assembly 600 .
  • a 20-torr Baratron pressure gauge 606 is connected to the reducer 607 via a side port.
  • Numerous heaters are installed to maintain the exhaust assembly 600 at a temperature of approximately 150-200° C. so as reduce undesirable deposits from coating the interior walls of the exhaust assembly 600 and the associated vacuum components.
  • a series of flexible heaters 625 , 645 , 655 , 665 and 675 are installed around the outside walls of the exhaust assembly 600 , a 2′′ manual angle valve 620 , a 1′′ manual valve 640 , cold trap 650 , isolation valve 660 , and throttle valve 670 .
  • heater jackets 609 , 629 , 619 a , 619 b , 619 c and 619 d are provided around the reducer 607 , the 2′′ manual angle valve 620 and various parts of the exhaust assembly 600 .
  • the cold trap 650 is connected between the manual valve 620 and the isolation valve 660 .
  • a heater 655 is provided around the inlet 651 of the cold trap 650 to keep the temperature in the range of 150-200° C. This prevents undesirable deposits from forming on the interior of the inlet 651 of the cold trap 650 .
  • a baffle plate 659 is provided close to the top 650 T of the cold trap 650 .
  • a multi-loop cooling coil 656 is brazed to the bottom 650 B of the cold trap 650 , and cooling water at a temperature of about 20-25° C. is circulated inside the cooling coil 656 .
  • the exhaust gases When the exhaust gases enter the cold trap 650 through the inlet 651 , they are diverted by the baffle plate 659 to flow radially outwards. Some of the condensibles, such as HCl and other by-products from the TiCl 4 /NH 3 reaction, are trapped onto the baffle plate 659 , but most are trapped onto the cooling coil 656 , which offers a relatively large trapping surface area.
  • the remaining exhaust gases exit the cold trap 650 through an axially located channel 658 which is connected to the outlet 652 of the cold trap 650 , and pass through the isolation valve 660 and the throttle valve 670 before being exhausted into the exhaust line 690 .
  • a chlorine-based chamber cleaning process provides efficient dry cleaning of the interior of the exhaust assembly 600
  • powder deposits tend to accumulate after an extended period of wafer processing—e.g., 5000 wafers. These deposits can readily be removed by cleaning with water or hydrogen peroxide during periodic maintenance.
  • the use of the heated exhaust assembly 600 contributes to equipment uptime by facilitating chamber maintenance and extending the time between chamber cleanings.
  • Chlorine gas is introduced into the chamber 100 via the same flow path as for TiCl 4 inside the showerhead assembly 300 .
  • Typical process conditions include a chlorine flow rate in the range of 500 sccm, a pressure in the range of 10-20 torr, and a temperature range of 600-700° C. for the heater 180 , and 150-250° C. for the interior walls of chamber 100 .
  • the process parameters may be adjusted to suit specific needs, depending on the actual cleaning process conditions employed.
  • the chamber 100 can also be adapted for use with other cleaning techniques such a plasma-based or remote microwave chlorine processes.
  • adaptation for plasma-based cleaning processes would require a modification of the chamber lid 310 to accommodate RF biasing, as well as to allow for electrical isolation from ground.
  • RF power would be applied between the showerhead assembly 300 and the chamber body 250 and liner 200 (ground).
  • the hardware modifications necessary to implement plasma cleaning are described below in the section on plasma generation.
  • an annealing step can be used to improve the film properties.
  • Film annealing is performed by thermal annealing the wafer at a relatively high temperature, e.g., 750° C., which is readily attainable using the existing heated pedestal 180 .
  • Annealing reduces traces of chlorine in the TiN film, which may otherwise result in undesirably high film resistivity.
  • plasma annealing may also be used, in which case, the showerhead 330 acts as a top RF electrode while the pedestal 180 serves as a bottom ground electrode. The hardware modifications necessary for plasma annealing are described below.
  • the existing chamber 100 described above is suitable only for non-plasma application, the chamber can readily be modified for plasma-enhanced applications such as deposition, cleaning or annealing.
  • the entire lid assembly i.e., cooling plate assembly 400 , lid/gas box 310 and showerhead 330
  • the entire lid assembly i.e., cooling plate assembly 400 , lid/gas box 310 and showerhead 330
  • the showerhead 330 is coupled to the grounded chamber body 250 and liner 200 .
  • RF power is applied to the showerhead 330 .
  • Electrical isolation is therefore required between the showerhead 330 and the chamber body 250 .
  • FIG. 7 a illustrates one embodiment of a modified configuration for plasma application.
  • the RF lids used for existing CVD chambers such as CVD Ti, W, Al and dielectric chamber lids (configured for plasma application) are suitable for adaptation to the high temperature CVD chamber of the present invention.
  • both the showerhead 330 and the gas box 710 are electrically insulated from the chamber lid 720 by a ceramic isolator 780 .
  • the chamber lid 720 and the chamber body 250 remain at ground.
  • FIG. 7 b shows an RF module 750 , which supplies RF power to the showerhead 330 .
  • the RF module 750 can either be chamber installed or remotely installed, and provides RF power to the showerhead 330 through a RF connecting rod 752 , a RF plunger connector 754 and a RF strap connector 756 .
  • Several delrin insulators 770 insulate these RF connectors 752 , 754 and 756 from the chamber body 250 and the chamber lid 720 .
  • process gases enter the process chamber 100 via separate gas inlets 711 and 712 of the gas box 710 , which is connected to the dual-gas showerhead 330 .
  • a voltage gradient assembly 790 is installed over the gas box 710 around the gas inlets 711 and 712 .

Abstract

An apparatus for wafer processing, which comprises a chamber body and a heated liner which are thermally isolated from each other by isolating pins. During wafer processing, e.g., deposition of titanium nitride film by thermal reaction between titanium tetrachloride and ammonia, a wafer substrate is heated to a reaction temperature in the range of 600-700° C. by a heated support pedestal. The chamber liner and the interior chamber walls are maintained at a temperature between 150-250° C. to prevent deposition of undesirable by-products inside the chamber. This facilitates the chamber cleaning procedure, which can be performed using an in-situ chlorine-based process. The excellent thermal isolation between the heated liner and the chamber body allows the chamber exterior to be maintained at a safe operating temperature of 60-65° C. A heated exhaust assembly is also used in conjunction with the process chamber to remove exhaust gases and reaction by-products. External heaters are used to maintain the exhaust assembly at a temperature of about 150-200° C. to minimize undesirable deposits on the interior surfaces of the exhaust assembly.

Description

    BACKGROUND OF THE DISCLOSURE
  • 1. Field of the Invention [0001]
  • The present invention relates to semiconductor wafer processing systems and, more particularly, to a high temperature chemical vapor deposition (CVD) chamber with a heated inside liner, and a temperature-controlled outer chamber body. [0002]
  • 2. Description of the Background Art [0003]
  • Titanium nitride (TiN) film has found wide applications in ultra large-scale integrated circuits (ULSI) as a diffusion barrier and as an adhesion layer for tungsten contacts. Low temperature reactive sputtering of Ti in the presence of nitrogen has been used in the past to deposit TiN films upon semiconductor wafers. However, as device geometries become increasingly smaller, the resulting step coverage from a sputtered TiN film is no longer adequate. Therefore, chemical vapor deposition (CVD) techniques have become the methods of choice in ULSI applications. For example, TiN film from a titanium tetrachloride based CVD process can be used as a high aspect ratio contact barrier, a capacitor top electrode or in plug fill processes below 0.18 μm. [0004]
  • Both cold wall and hot wall low pressure chemical vapor deposition (LPCVD) processes have been used for depositing TiN films using a reaction between titanium tetrachloride (TiCl[0005] 4) and ammonia (NH3). A cold wall reactor contains a wafer that is heated to a temperature above the reaction temperature of the process gases, e.g., over 650° C., by a halogen lamp that is located external to the chamber. However, since the chamber walls are cold (unheated), process by-products such as adduct ammonia salts will detrimentally form on the interior surfaces of the cold chamber walls or other cold surfaces. During thermal cycling of the chamber, these deposits may flake and fall on a wafer causing contamination and reducing wafer yield. Since these reaction by-products cannot be removed by in-situ chamber cleaning processes, frequent chamber disassembly and cleaning is required. This necessitates the opening of the chamber to the atmosphere, and results in considerable down time for the chamber.
  • Alternatively, quartz hot wall reactors have been used to form high quality TiN films. The heated walls of these reactors help reduce accumulation of undesirable deposits, such as adduct ammonia salts, on the interior chamber walls. However, hot wall chambers can be dangerous to operate because of the high temperature of the walls, e.g., 150-200° C. Furthermore, it is difficult to achieve uniform heating of the chamber walls and other interior surfaces such that no undesirable deposits form. [0006]
  • One possible solution is the use of a chamber liner, such as that disclosed in U.S. Pat. No. 5,348,587, issued on Sep. 20, 1994, to Eichman et al., entitled “Apparatus for Elimination of Low Temperature Ammonia Salts in TiCl[0007] 4 NH3 CVD Reaction,” which is a continuation of U.S. Pat. No. 5,271,963, issued on Dec. 21, 1993. Both patents are herein incorporated by reference. Eichman et al. discloses an inside liner which is partly heated by lamps external to the chamber, and partly heated by a secondary plasma. This heated liner is located within a cold reactor wall enclosure. The heated liner lies against the inner surface of the cold reactor wall, and, as such, is only partially insulated from the reactor wall. Not only does this contact promote excessive thermal conduction to the reactor wall resulting in the chamber wall becoming dangerously hot, but additional heating of the liner will be needed to compensate for the heat lost to the wall.
  • Therefore, a need exists in the art for a CVD chamber having a heated liner which substantially defines a chamber cavity and is thermally isolated from the external chamber body. [0008]
  • SUMMARY OF THE INVENTION
  • The disadvantages of the prior art are overcome by an apparatus for processing a wafer having a chamber body that encloses a chamber liner, where the liner is maintains a spaced apart distance from the chamber body such that the liner is maintained at a higher temperature than the chamber body. As such, the liner can be maintained at a temperature that reduces the amount of deposition on the liner while maintaining a safe temperature for the chamber body. [0009]
  • More specifically, the present invention relates to a process chamber system for high temperature film deposition, e.g., using a reaction between titanium tetrachloride (TiCl[0010] 4) and ammonia (NH3) to deposit titanium nitride (TiN). The system comprises a process chamber and an exhaust assembly. The process chamber has an inside liner which is maintained at a temperature of approximately 150-250° C., while the chamber body is maintained at a temperature of approximately 60-65° C. or below. The liner can either be heated directly by a resistive heater embedded in the liner, or indirectly by a heated wafer support pedestal. The liner, which is substantially cylindrical, is enclosed within the bucket-shaped chamber body having a cylindrical wall and a base. Isolating pins are located between the bottom of the liner and the inside surface of the chamber base such that a spacing is maintained between the liner and the chamber body, i.e., the liner only contacts the chamber body through the isolating pins. Excellent thermal isolation is achieved between the liner and the chamber body because of the low thermal conductivity resulting from the small contact area between the isolating pins and the liner.
  • The process chamber further comprises a heated wafer support pedestal for supporting and heating a semiconductor wafer and a showerhead for separately introducing TiCl[0011] 4 and NH3 into the process chamber into a space above the wafer. The wafer is maintained at a temperature of approximately 600-700° C. such that a thermal reaction occurs at the surface of the wafer between TiCl4 and NH3, resulting in the formation of a TiN film upon the wafer.
  • The exhaust assembly is connected to the process chamber to allow for continuous pumping of gases away from the process chamber. In one embodiment, a substantial portion of the exhaust assembly is maintained at approximately 150° C.-200° C. by the use of several heaters disposed on the outside walls of the exhaust assembly. Such heating reduces reaction by-product accumulation within the exhaust assembly.[0012]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The teachings of the present invention can be readily understood by considering the following detailed description in conjunction with the accompanying drawings, in which: [0013]
  • FIG. 1 is a partial cross-sectional perspective view of the high temperature CVD chamber system of the present invention, showing a process chamber and an exhaust assembly; [0014]
  • FIG. 2[0015] a is a cross-sectional view of the process chamber, showing details of various components inside the process chamber of FIG. 1;
  • FIG. 2[0016] b shows an enlarged cross-sectional view around the area of the inside liner, the isolating pin and the chamber body of FIG. 2a;
  • FIG. 3[0017] a shows a cross-sectional view of the showerhead assembly;
  • FIG. 3[0018] b shows a cross-sectional view of the dual-gas faceplate of the showerhead assembly of FIG. 3a;
  • FIG. 4[0019] a is a top view of the cooling plate;
  • FIG. 4[0020] b is a cross-sectional view showing the channel inside the cooling plate of FIG. 4a;
  • FIG. 4[0021] c is a side view of the cooling plate of FIG. 4a;
  • FIG. 5[0022] a is a cross-sectional view of the process chamber showing the bottom purge arrangement;
  • FIG. 5[0023] b is a perspective view of another embodiment of an edge ring;
  • FIG. 5[0024] c is a partial cross-sectional view of the edge ring (line 5 c in FIG. 5b) resting atop of the liner;
  • FIG. 5[0025] d is a partial cross-sectional view of the edge ring (line 5 d in FIG. 5b) resting atop of the liner;
  • FIG. 6 shows a partial cross-sectional view of the exhaust assembly; and [0026]
  • FIG. 7[0027] a shows a conceptual design of a process chamber modified for plasma application; and
  • FIG. 7[0028] b is a cross-sectional view illustrating the RF connections to the chamber of FIG. 7a.
  • To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. [0029]
  • DETAILED DESCRIPTION OF THE INVENTION
  • FIG. 1 shows a partial cross-sectional perspective view of a high temperature chemical vapor deposition (HT CVD) system, including a [0030] process chamber 100 and an exhaust assembly 600. A chamber mounting plate 110 is provided for mounting this process chamber 100 onto a wafer transfer chamber (not shown) which interfaces the process chamber 100 to the rest of a complete wafer processing system, such as a Centura 5200 system (not shown) manufactured by Applied Materials, Inc. of Santa Clara, Calif. Cross-sectional views illustrating various components in the process chamber 100 are shown in FIGS. 2-5. To best understand the process chamber 100 of the present invention and its operation, the reader should simultaneously refer to all these figures.
  • The [0031] process chamber 100 of the present invention performs, for example, high temperature deposition of titanium nitride upon a semiconductor wafer using a TiCl4+NH3 chemistry. Since the thermal decomposition of TiCl4 and NH3 occurs at high temperature, the wafer should preferably be maintained at a temperature range of 600-700° C. to facilitate deposition upon the wafer.
  • The [0032] process chamber 100 comprises a chamber body 250, a liner 200, a pedestal assembly 160 and a showerhead assembly 300. The pedestal assembly 160 contains a pedestal 180 that supports the wafer in the chamber 100. The dual-gas showerhead assembly 300 is positioned in a parallel, spaced apart relation with respect to the pedestal 180 such that the wafer support surface of the pedestal 180 and a faceplate 330 of the showerhead assembly 300 define a reaction zone. To achieve deposition, the wafer is heated by a heated pedestal 180 while the showerhead assembly 300 introduces the two reactant gases (TiCl4 and NH3) into the process chamber 100 such that reaction occurs only above the wafer, resulting in TiN deposition onto the wafer. In order to minimize unwanted TiN deposition on the inside surface of the chamber, the interior wall of the chamber, i.e., the chamber liner 200, is maintained at a temperature between 150° C. to 250° C. An improved bottom purge arrangement is also provided to reduce undesirable adduct reaction by-products which may form on cold areas of the chamber. The heated exhaust assembly 600 also prevents the formation of powder deposits on the interior walls of the exhaust assembly 600. This powder deposit is a reaction by-product between TiCl4 and NH3 which forms at a temperature below 150° C. At a temperature above 150° C. but below 600° C., minimal amounts of TiN is deposited on interior chamber components. The TiN film that is inadvertently deposited on chamber components can readily be removed by an in-situ thermal chlorine cleaning process performed within chamber 100 of the present invention.
  • Chamber Body and Heated Inside Liner
  • As shown in FIG. 2[0033] a, the chamber body 250 is substantially bucket-shaped, with a cylindrical side 254 and a base 252. There is an opening 256 at one portion of the cylindrical side 254, which is connected to the exhaust assembly 600 for the removal of process and product gases. The chamber base 252 has a centrally-located opening 258, which is provided to accommodate a pedestal assembly 160, as well as a gas purging arrangement to be described below. An inside liner 200, which is substantially cylindrical, is located on the inside, but spaced apart from the chamber body 250. The chamber body 250, which substantially encloses this inside liner 200, is made of nickel-plated aluminum. In this particular application, nickel plating is needed to protect the aluminum components from corrosion by chlorine gas, which is used in an in-situ chamber cleaning process to be described below. Of course, for other applications with different cleaning processes, alternative metal-plating may be used as long as it is compatible with the chemical species used in the reaction or cleaning processes.
  • To avoid an exterior temperature of the [0034] chamber body 250 that may harm a user/operator, the chamber body temperature is maintained at approximately 60° C.-65° C. or less by thermally isolating the chamber body 250 from the inside heated liner 200. The inside liner 200, which is also made of nickel-plated aluminum, has an embedded resistive heater 215 for establishing a fixed liner temperature. A thermal conductive paste is applied to the resistive heater 215 and enclosed within a cover plate 207 which is welded to the liner 200 to ensure good thermal conductivity between the heater 215 and the liner 200. An AC voltage is coupled to the heater 215 using AC connectors inside a feedthrough portion 214 of the liner 200. This heater 215 can optionally be used to dynamically control the temperature of the liner 200. For example, the heater 215 may be used to maintain the liner temperature at approximately 150 to 250° C. to avoid the formation of adduct ammonia salt or other process by-products on the interior surface of liner 200. To facilitate dynamic thermal control of the liner 200, a Type K thermocouple 212 is removably inserted in the liner 200 approximately half the distance along its cylindrical wall 204. Thus, the liner temperature can be measured at approximately half way from the bottom 202 of the liner 200. Connectors (not shown) for the thermocouple 212 and the resistive heater 215 are located inside the feedthrough portion 214 of the liner 200. A clamping plate 216 seats an O-ring 201 against the chamber base 252 and the feedthrough portion 214 to seal the interior of the chamber 100 from the outside atmosphere.
  • In practice, independent heating by the [0035] resistive heater 215 is not always necessary to achieve an appropriate liner temperature since the operation of the heated pedestal 180 (see FIG. 2a) alone is generally sufficient to maintain the temperature of the liner 200 within the desired range of 150-250° C. Of course, this heater 215 may be used, alone or in conjunction with the heated pedestal 180, for other processes with different temperature requirements.
  • The [0036] inside liner 200 and the chamber body 250 are substantially isolated from each other by means of three dowel pins 220, one of which is shown in the cross-sectional view in FIG. 2a. These isolating pins 220 are located between the bottom 202 of the inside liner 200 and the inside surface 253 of the chamber base 252. The area around the inside liner 200, dowel pin 220 and the chamber base 252 is shown in an enlarged cross-sectional view in FIG. 2b. The three pins 220 are arranged to lie equidistant from each other on the circumference of a circle, subtending angles of 120 degrees at the center of the circle. Each dowel pin 220 has a central portion 221 having a diameter d1 and two smaller ends 222 and 223, each having a diameter d2, where d2 is less than d1. As is typical in vacuum applications, a drilled-through hole 225 is provided along the longitudinal axis of the pin 220 to allow for pump-out of any trapped gases. The pins 220 are press-fit into corresponding receiving holes 224 in the bottom 202 of the liner 200, such that the liner 200 rests against one side of the larger central portion 221 of each pin 220. There are also three corresponding holes 226 on the inside surface 253 of the chamber base 252 to accommodate these pins 220. One of these holes 226 is round, and the other two are slotted (not shown) to provide for additional assembly tolerance.
  • When the [0037] liner 200, with the dowel pins 220 in place, is set to rest in these holes 226 inside the chamber base 252, the pins 220 serve to maintain a proper spacing (s) between the liner 200 and the chamber base 252. This spacing (s) corresponds to the thickness of the larger central portion 221 of the pin 220, which is about 0.135″ (3.4 mm). Four ¼-20 nickel screws (not shown), which also lie on the circumference of the same diameter circle as the dowel pins 220, are used to secure the liner 200 in place by screwing into corresponding tapped holes (not shown) on the inside surface 253 of the chamber base 252. The small contact areas of the nickel pins 220 and screws ensure very good thermal isolation between the heated liner 200 and the chamber body 250, and allows the chamber body 250 to be kept at around 65° C. while maintaining the heated liner at 150-250° C.
  • These dowel pins [0038] 220 can be made of a variety of materials that can withstand high temperatures and have a relatively high thermal resistivity (low thermal conductivity), such as stainless steel or nickel. The choice of material depends on the specific processing applications. For example, nickel (200 or 201 grade), is the preferred material because of its chemical resistance to chlorine and high thermal resistance. It is understood that the dimensions and specific layouts for the various components are for illustrative purpose only. For example, the liner 200 could be spaced from the body 250 by intermittently spaced ribs formed in the bottom 252 of chamber body 250, a continuous annular ridge formed in the bottom 252 of the chamber body 250, a spacer ring positioned on the bottom 252 of the chamber body 250 and the like. As such, the present invention encompasses many other chamber/liner/spacer combinations that facilitate thermal isolation between the liner 200 and the chamber body 250. Such isolation enables separate temperature control of these components.
  • Lid/Gas Box and Dual-Gas Faceplate
  • FIG. 3[0039] a shows a cross-sectional view of the dual-gas showerhead assembly 300, comprising a nickel-plated aluminum lid/gas box (manifold assembly 310) and a faceplate 330. The showerhead assembly 300 provides a dual-gas separate entry for TiCl4 and NH3 into the process chamber 100 without premixing of the gases. The gas manifold assembly 310 also serves as the lid of the chamber 100. The faceplate 330 is described in a commonly-assigned patent application by Umotoy et al. entitled “Dual Gas Faceplate for a Showerhead in a Semiconductor Wafer Processing System,” Ser. No. 09/098,969, filed Jun. 16, 1998; which is herein incorporated by reference. The showerhead assembly 300 allows two gases, for example, NH3 and TiCl4, to be separately introduced into the reaction region, or processing zone 515. The processing zone 515 is roughly defined by the space between the bottom 332 of the faceplate 330 and the heated pedestal 180, which is the top portion of a pedestal assembly 160 to be described below. (See FIG. 5a.) In order to avoid the formation of undesirable deposits inside the showerhead assembly 300, separate flow paths or passageways are established through different channels 320 or chambers 322 inside the showerhead assembly 300. These channels 320 and chambers 322 prevent mixing of the two gases prior to reaching the process zone 515. Briefly, the gas manifold or lid 310 comprises three plates—bottom 312, middle 314 and top 316, which have been fused together by vacuum brazing their mating surfaces 334 and 336 using a silicon-rich aluminum film, clamping the entire assembly and placing the assembly in a furnace at a temperature of approximately 550° C. to form a unitary manifold assembly 310. As such, no O-rings are necessary to provide isolation between the process gases as they flow through the manifold assembly 310. These plates 312, 314 and 316, contain a combination of channels 320 and chambers 322, such that when the faceplate 330 is bolted to the manifold assembly 310, the myriad of channels 320 and chambers 322 provide proper passageways, or flow paths, for introducing two gases separately from their supply sources (not shown) outside the chamber 100 into the process zone 515 without pre-mixing of the gases.
  • The [0040] faceplate 330 further comprises a lower gas distribution plate 340 and a upper gas distribution plate 350, as shown in FIG. 3b. The specific arrangement of channels 343 and holes (351, 352, 341, 342 and 344) that together define distinct passageways or flow paths for the two process gases are described in detail in the above-referenced patent application Ser. No. 09/098,969. Suffice to say that when the two plates, 340 and 350, are fused together using the same technique as previously described for the gas manifold plates 312, 314 and 316, the resulting unitary faceplate 330 provides separate passageways, or flow paths, for uniformly introducing two gases into the processing zone 515 without pre-mixing of the gases.
  • For example, there are two sets of holes, [0041] 341 and 342, in the lower plate 340, one for each gas. As shown in FIG. 3b, one set of holes 341 aligns with holes 351 in the upper plate to form one flow path (from 351, via 344 to 341) for one gas, e.g., TiCl4. The other set of holes 342 are connected to channels 343 within the lower plate 340. These channels 343 are in turn connected to a circumferential plenum 390, which is formed between the upper and lower plates, 350 and 340, when they are fused together. The plenum 390 is further connected to another hole 352 in the upper plate 350, which provides an inlet for a second gas, e.g., NH3. This configuration allows the first gas to pass through the first passageway (e.g., from 351, via 344 to 341) and the second gas to pass through the second passageway (e.g., from 352, via 390 to 343 and 342) within the faceplate 330 without mixing until the gases enter the process zone 515 after exiting from these holes 341 and 342 at the bottom 332 of the faceplate 330. It should be noted that the choice of hole size for each gas and hole distribution are functions of process conditions. For example, the hole size will vary depending upon gas flow rate, gas pressure, gas type, chamber pressure and the faceplate 330 such that gas flow rates through the holes are correlated with the locations of the holes in the faceplate 330.
  • The [0042] showerhead assembly 300 is formed by affixing the faceplate 330 directly to the bottom of the gas distribution manifold 310 using a plurality of bolts (not shown) through the mounting holes (hole 396 is shown in FIG. 3b) in the faceplate 330. An O-ring groove 325 (see FIG. 3a) is provided in the gas manifold 310 to accommodate an O-ring 326 which is used to provide sealing between the gas manifold 310 and the faceplate 330. Different types of O-ring materials, such as Viton, may be used, as long the material is compatible with the operating temperature and chemically resistant to the gases used in the process. Both the faceplate 330 and the gas distribution manifold 310 are made of nickel-plated aluminum or some other thermally conductive and chlorine compatible materials such as nickel. Of course, other material choices are possible as long as they provide the thermal conductivity and/or chemical compatibility which are necessary for the specific process.
  • The [0043] showerhead assembly 300 can be coupled to a cold plate assembly 400 or other cooling apparatus that will maintain the entire lid/gas box 310 at a uniform and constant temperature. During wafer processing, the showerhead assembly 300 is heated by the heated pedestal 180 through thermal radiation in the reaction zone 515. Therefore, to avert deposition of TiN or by-products of the TiN deposition process upon and/or within the showerhead, a cold plate assembly 400 is necessary to maintain the faceplate temperature within a range of 150-250° C. The cold plate assembly 400 serves a dual-purpose of preventing the showerhead assembly 300 from temperature drift, as well as keeping the areas around the O-ring seal to within specification for proper sealing.
  • Cold Plate Assembly
  • A detachable [0044] cold plate assembly 400 is designed to maintain temperature of the lid/gas box 310 to prevent it from temperature drift. FIG. 4a is a schematic illustration of the top view of the cold plate assembly 400. This cold plate assembly 400 is substantially annular in shape, except for a rectangular protruded portion 402. FIG. 4b shows a cross-sectional view of the cold plate assembly 400, comprising a top plate 440 and a bottom plate 420, which are brazed together and then nickel-plated as an assembly. The top plate 440 has a coil-shaped channel 410 passing through the plate 440 for circulating coolant, as illustrated in FIG. 4a. The two ends, 412 and 414, of the coil-shaped channel 410 are located at the rectangular protruded portion 402, and serve as the inlet and outlet for the coolant. The channel 410 has a rectangular cross-section and is open on its bottom side 415. This is illustrated in the cross-sectional view shown in FIG. 4b and the side view shown in FIG. 4c. The top surface 425 of the bottom plate 420, when brazed together with the top plate 440, defines a closed channel 445 through which a coolant can circulate. The bottom plate 420 has two apertures 422 and 424, close to the end of the rectangular protruded portion 402. These apertures 422 and 424 are aligned respectively with the two ends 412 and 414 of the top plate channel 410 to provide for coolant inlet and outlet. The coolant, typically water, is connected in series with the coolant for the chamber 100.
  • The [0045] cold plate assembly 400 is attached onto the top of the lid/gas box (310 in FIG. 3a) using screws at locations 430 around the circumference of the cold plate assembly 400. Note that one annular portion 421 of the bottom plate 420 is recessed such that only an inner 426 and an outer 428 portion of the bottom plate 420 actually come into physical contact with the chamber lid 310. The cooling plate assembly 400, lid/gas box 310 and the faceplate 330 are sometimes collectively referred to as a lid assembly. With an inlet water temperature of about 45-55° C., the lid/gas box 310 can readily be maintained within a temperature range of 60-65° C.
  • As a detachable component, this [0046] cold plate assembly 400 is a cost-effective design feature which allows for ease of maintenance as well as design and process flexibility. For example, different temperature control scenarios for the faceplate 330 may be achieved by a suitable interchange with a different cooling (or heating) plate that provide more or less heating or cooling. To most effectively process a wafer or wafers, the cold plate assembly 400 that is best suited for a particular process can be easily installed onto the chamber lid.
  • Pedestal Assembly
  • FIG. 5[0047] a illustrates a pedestal assembly 160 in a cross-sectional view within the process chamber 100. The pedestal assembly 160 comprises a flat circular portion 180 at the top, sometimes referred to as a susceptor or pedestal; and a bottom cylindrical shaft-like portion 560 which extends downwards through the centrally-located opening 258 at the bottom 252 of the chamber body 250. The upper surface 185 of the pedestal 180 has a wafer pocket 563 to support a wafer 564 (shown in phantom in FIG. 5a), and four clearance holes to accommodate wafer lift pins 285. These wafer lift pins 285 are made of ceramic, and are used for wafer-handling and transport. They are slidably mounted within bores in the pedestal 180 and can be lifted above the pedestal's upper surface 185 by engaging a wafer lift ring 580, in order to raise and lower the wafer with respect to the support surface 185 of the pedestal 180. These lift pins 285 are kept from falling out from the pedestal 180 by conical heads 585 located on their upper ends. The current pedestal assembly 160 and chamber design can accommodate wafer diameters of 125 mm, 150 mm and 200 mm, respectively. Those skilled in the art can perform proper design scale-up to encompass applications to larger wafer diameters such as 300 mm as well.
  • The [0048] pedestal 180, preferably made of a ceramic material such as aluminum nitride (AlN), is further equipped with two embedded electrodes 571 and 572. In general, these electrodes 571 and 572, made of different types of conducting materials, can be of various thicknesses and shapes. In the present invention, one of these electrodes is a radio-frequency (RF) grid 571 for performing plasma clean and other plasma processes such as plasma annealing of the wafer. The mesh-type grid 571, made of molybdenum, is located slightly below the pedestal's top surface 185. Slightly below the RF electrode is an alternating current (AC) grid 572 for heating purpose. The pedestal 180 currently in use is made of a dark gray, high resistivity AlN of 99.9% purity. Of course, other similar heaters may also be used, depending on the specific process requirements. This heated pedestal 180 allows the wafer temperature to be controlled within a range of approximately 600-800° C. Although TiN film deposition can also be performed between 200-600° C., the resulting TiN film tends to have an undesirably high chlorine content. Therefore, the wafer temperature is preferably maintained within a range of 600-700° C. for optimal deposition results. During wafer processing, there is also a center-to-edge temperature difference in the pedestal 180 of about 10° C., with the edge 186 being cooler because of a purge gas flow around the pedestal's edge 186.
  • The [0049] pedestal assembly 160 moves vertically within the chamber cavity by a lift mechanism (not shown) between a first (top) position 501 and a second (bottom) position 502. This second position 502 of the pedestal assembly 160 is illustrated in phantom in FIG. 5a. During wafer processing, e.g., for film deposition onto the wafer substrate 564, the pedestal assembly 160 is placed in the first position 501. A reaction zone, or process zone 515, within the chamber cavity 280 can be defined roughly as the space between the bottom 332 of the faceplate 330 and the pedestal top surface 185, and confined on the side by the edge ring 290. A wafer substrate 564 is positioned in the wafer pocket 563 during wafer processing, and the distance between the wafer and the bottom of the faceplate 330 is approximately 0.360″ (9.1 mm). Note that this distance is for illustrative purpose only, and it may be different for other process applications. The spacing 530 between the outside edge 186 of the pedestal 180 to the inside surface 286 of the liner 200 is about 0.125″ (3.2 mm). The choice of this spacing 530 is determined both by the specified temperature of the liner 200 and the need to prevent purge gas from entering the process zone 515.
  • Before and after film deposition for each wafer, the [0050] pedestal assembly 160 is moved to a second position 502 for wafer loading or unloading. In this second position 502, the pedestal top surface 185 is slightly below a slit valve opening 260, which is located on the side, or cylindrical wall, 204 of the chamber liner 200 and the cylindrical side 254 of the chamber body 250. A wafer can be transported in and out of the process chamber 100 through the slit valve opening 260 to an adjacent transfer chamber and/or load-lock chamber (not shown), or another chamber within a cluster tool. A cluster tool of a type that can be coupled to the process chamber 100 is described in a commonly assigned U.S. Pat. No. 5,186,718, entitled “Staged-Vacuum Wafer Processing System and Method”, issued Feb. 16, 1993, and is herein incorporated by reference.
  • Wafer-handling and transport is accomplished by the wafer lift pins [0051] 285 in the pedestal 180 in conjunction with a robotic transfer assembly (not shown) which has been described in a commonly assigned U.S. Pat. No. 4,951,601, entitled “Multi-chamber Integrated Process System,” issued Aug. 28, 1990; the complete disclosure of which is incorporated herein by reference. Briefly, the sequence for wafer loading involves the pedestal being placed in position 502, and a robotic arm transporting a wafer through the slit valve opening 260 into the process chamber 100. With the wafer 564 poised above the pedestal surface 185, the wafer lift ring 580 rises to engage pin bottoms such that the wafer lift pins 285 are raised above the pedestal top surface 185 to lift the wafer 564 off the robotic arm. After the retraction of the robotic arm away from the pedestal surface 185, the pedestal assembly 160 is raised to receive the wafer 564 in the wafer pocket 563, and the lift ring 580 lowers to its original position. The pedestal assembly 160 is subsequently moved into its first position 501 for wafer processing. The wafer unloading sequence is a reverse of the loading sequence. The self-adjusting mechanism for the vertical movement of the pedestal assembly 160 has also been described in a commonly assigned U.S. patent application Ser. No. 08/738,240, filed on Oct. 25, 1996, and entitled “Self-Aligning Lift Mechanism,” the disclosure of which is herein incorporated by reference.
  • Improved Purge Arrangement
  • FIG. 5[0052] a also illustrates details of an edge ring 290 and a backside gas purge arrangement. The edge ring 290 is disposed around the outer perimeter 187 of the pedestal 180 of the pedestal assembly 160. This edge ring 290 is substantially annular, with a protruding portion 292 at the bottom 294 of the ring 290. As such, this protruding portion 292 essentially divides the bottom 294 of the ring 290 into an inside bottom surface 297 and an outside bottom surface 298. When the pedestal assembly 160 is in its first position 501, the edge ring 290 rests on top of the heated pedestal 180, such that its inside bottom surface 297 covers part of the top pedestal surface 185. Also, in this position, the protruded portion 292 of the edge ring 290 covers part of the side, or outside edge 186 of the pedestal 180. A space 531 is then defined between the outside bottom portion 298 of the edge ring 290 and a protruded portion 203 of the heated liner 200. This gap 531 provides a choke for the bottom, or backside, purge gas flow (to be described below) and ensures uniform distribution of the purge gas about the edge of the pedestal 180.
  • When the [0053] pedestal assembly 160 is in its second position 502, the edge ring 290 rests on top of the protruded portion 203 of the heated liner 200. As such, the edge ring does not interfere with access of the robotic transfer mechanism as it places and/or retrieves the wafer to/from the pedestal 180.
  • The [0054] edge ring 290 can be made of a variety of materials such as ceramic, quartz, aluminum and steel, among others. The exact choice of material depends, of course, on the specific process applications. For example, quartz can be used for TiN deposition using TiCl4+NH3 chemistry.
  • The purpose of this [0055] edge ring 290 is two-fold: 1) to act as a choke such that uniform pumping can be established for the gases and/or by-products during processing; and 2) to prevent process gases from entering behind the pedestal assembly 160, which may cause undesirable deposits in the lower portions of the chamber 100.
  • During wafer processing, the [0056] pedestal assembly 160 is in the first (top) position 501, such that the gap 520 between the top 295 of the edge ring 290 and the bottom 332 of the faceplate 330 is about 0.05″ (1.3 mm). A backside purge is established by flowing argon through a liner purge inlet 505 into the gap 599 between the liner 200 and chamber body 250 to prevent powder deposition on the cold wall surfaces of the chamber body 250. This gap 599 is about 0.06″ (1.5 mm) wide. To facilitate purge gas flow, a set of holes 595 is also provided through the shaft portion 590 of the wafer lift ring 580 located around the pedestal shaft 560 at the bottom opening 258. Undesirable deposition behind the pedestal 180 is prevented by establishing a purge gas flow through these holes 595 into the chamber cavity 280, as illustrated by the arrows. As previously mentioned, various spaces such as 520, 530, and 531 are defined by different portions of the showerhead 330, pedestal 180, edge ring 290, and liner 200. It is to be understood that dimensions quoted for these spaces, like those quoted for other components, are for illustrative purpose only. In general, the choice of these dimensions depend on the desired results of a specific process being performed in the chamber. For example, spaces 530 and 531 are selected to ensure uniformity in the purge gas distribution around the edge of the pedestal 180. The space 520 may be a variable parameter affecting uniformity or deposition rate in the film deposition step itself. Suffice to say that one skilled in the art of wafer processing would be able to arrive at optimal combinations of these dimensions to achieve desirable wafer processing results such as deposition uniformity.
  • FIG. 5[0057] b shows a perspective view of an alternative embodiment of an edge ring 550, and FIGS. 5c and 5 d show partial cross-sectional views of the edge ring 550 being supported by a horizontal surface 522 of the liner 200. FIG. 5c illustrates the edge ring 550 with a top surface 551, a bottom surface 552, an inside surface 556, an outside surface 557, and a protruded inside portion 559. In this embodiment, the edge ring 550 is supported entirely by the liner 200, i.e., the edge ring 550 does not contact the pedestal 180 or wafer 564. A step 523 is provided on a horizontal surface 522 of the liner 200 to keep the edge ring 550 in place. A key stop portion 554 located on the outside surface 557 of the edge ring 550 interfits with the step 523 of the liner 200 to facilitate secure mounting of the edge ring 550. Additionally, three grooves or slots 565 are provided on the bottom surface 552 of the edge ring 550 (see FIG. 5d), and are located equidistant from each other. Three pins 526 are press fit into corresponding recessed holes 525 in the horizontal surface 522 of the liner 200. A portion 527 of the pin 526 protrudes above the horizontal surface 522 of the liner 200 and engages the slot 565 at the bottom surface 552 of the edge ring 550. As such, the edge ring 550 is prevented from rotating with respect to the liner 200. Optionally, mounting screws (not shown) can also be used to secure the edge ring 550 to the liner 200. Again, the dimensions disclosed for the pin and slot arrangement are for illustrative purpose only, and do not represent limitations pertaining to the practice of this invention. Suffice to say that in the present arrangement, the edge ring 550 is heated by thermal radiation from the pedestal 180, and expands before the liner 200 reaches the same final temperature. This results in a uniform expansion of the edge ring 550 with respect to its centerline O-O′.
  • During wafer processing, when the pedestal [0058] 180 (shown in phantom in FIG. 5c) is in the first position 501, the protruded inside portion 559 of the edge ring 550 is disposed over the outer perimeter 187 of the pedestal 180, such that the outer perimeter 187 and the outside edge 186 of the pedestal 180 are in close proximity to and substantially surrounded by the edge ring 550. Thus, undesirable deposition over the perimeter 187 and outer edge 186 of the pedestal 180 can be avoided. In this embodiment, however, there is no direct physical contact between the pedestal 180 and the edge ring 550. Instead, a gap or space 568 is maintained between the top 185 of the pedestal 180 and the bottom 553 of the protruded portion 559 of the edge ring 550. Another space 566 is defined between the pedestal edge 186 and the inside surface 556 of the edge ring 550.
  • A number of [0059] openings 555, e.g., about 30 in this particular embodiment, are provided around the circumference of the edge ring 550 close to the bottom surface 552. These openings 555 extend horizontally from the inside surface 556 to the outside surface 557 of the edge ring 550. Through these openings 555, a purge gas is pumped from the space 566, into the space 567 between the outside surface 557 of the edge ring 550 and the inside surface 524 of the liner 200. The gap 568 acts as a choke to prevent any purge gas from flowing towards the top 185 of the pedestal 180, which may otherwise dilute the process gases and affect the deposition process. Similar to the other edge ring 290 shown in FIG. 5a, the top surface 551 of this edge ring 550 and the bottom 332 of the showerhead 330 defines the space 520 through which process gases and/or by-products are pumped out from the reaction zone 515 (see FIG. 5a). The dimensions of these spaces 520, 566, 567 and 568 are process-dependent, and are typically designed to suit the needs of a particular process. For example, one skilled in the art would seek to adjust these spacings to optimize or achieve desired results such as deposition uniformity. Furthermore, this particular configuration (the liner 200 supporting the edge ring 550) allows the temperature of the edge ring 550 to be maintained within a range of approximately 200-250° C., and undesirable deposition on the edge ring 550 is further minimized. Note that the use of an edge ring, while highly desirable, is not absolutely necessary for the practice of this invention.
  • Returning to FIG. 5[0060] a, during wafer deposition, TiCl4 in a He carrier gas and NH3 in a H2 carrier gas are introduced into the processing zone 515 via the showerhead assembly 300 and a chemical reaction between TiCl4 and NH3 takes place at close proximity above the wafer 564 that is supported by the heated pedestal 180. The reaction results in the deposition of TiN film onto the wafer 564. A continuous gas flow is maintained by the pumping system that is attached to the exhaust assembly 600 such that gases, including any volatile reaction products, are pumped out of the processing zone 515 and other areas of the chamber cavity 280, past the space 520 between the top 295 of the quartz edge ring 290 and the bottom 332 of the faceplate 330, through a slot 205 (see FIG. 2a) in the inside liner 200, into the exhaust chamber 600 which is connected to the process chamber 100 by various vacuum adapter and connector components. While the exhaust assembly 600 removes gases, the showerhead 330 replaces the process gases and the purge gas is continuously flowed past the outer edge 186 of the pedestal 180. This ensures a steady fresh supply of process and purge gases within the chamber 100 during wafer processing.
  • Heated Exhaust Assembly
  • FIG. 6 shows a cross-sectional view of one embodiment of the [0061] exhaust assembly 600 and vacuum components connecting it to the process chamber 100. These vacuum components comprise: an adapter plate 601, a thermal insulator 602, an exhaust tubing 603, a band heater 605, a cover 604, a 20-torr Baratron 606, and a reducer 607. The annular adapter plate 601 mates directly to the chamber body 250 around the side opening 256, and fits around the outside of an exhaust tubing 603 which extends partly into the process chamber 100 side opening 256. A thermal insulator 602 is fitted adjacent to the adapter 601 on the side away from the process chamber 100. This thermal insulator 602 provides insulation between the heated exhaust assembly 600, which is maintained at a temperature of about 150° C., and the chamber body 250, which is maintained at a temperature of about 60-65° C. A band heater 605 is located around a substantial portion of the outside wall of the exhaust tubing 603. An annular cover 604 fits over the outside of the insulator 602, the band heater 605 and the remaining exhaust tubing portion 603. At the far end 613 of the exhaust tubing (away from the process chamber 100) is a reducer 607, which has a larger diameter opening 617 on one end to mate with the exhaust tubing 603, and a smaller diameter opening 627 at the other end to mate to additional components of the exhaust assembly 600. A 20-torr Baratron pressure gauge 606 is connected to the reducer 607 via a side port. Numerous heaters are installed to maintain the exhaust assembly 600 at a temperature of approximately 150-200° C. so as reduce undesirable deposits from coating the interior walls of the exhaust assembly 600 and the associated vacuum components. For example, a series of flexible heaters 625, 645, 655, 665 and 675, are installed around the outside walls of the exhaust assembly 600, a 2″ manual angle valve 620, a 1″ manual valve 640, cold trap 650, isolation valve 660, and throttle valve 670. Note that heating is necessary even for the isolation valve 660 and the throttle valve 670 which are located beyond the cold trap 650 in order to prevent the formation of undesirable deposit inside these vacuum components. Additionally, to minimize heat loss and to provide a safe operating environment, heater jackets 609, 629, 619 a, 619 b, 619 c and 619 d are provided around the reducer 607, the 2″ manual angle valve 620 and various parts of the exhaust assembly 600.
  • The [0062] cold trap 650 is connected between the manual valve 620 and the isolation valve 660. A heater 655 is provided around the inlet 651 of the cold trap 650 to keep the temperature in the range of 150-200° C. This prevents undesirable deposits from forming on the interior of the inlet 651 of the cold trap 650. A baffle plate 659 is provided close to the top 650T of the cold trap 650. A multi-loop cooling coil 656 is brazed to the bottom 650B of the cold trap 650, and cooling water at a temperature of about 20-25° C. is circulated inside the cooling coil 656. When the exhaust gases enter the cold trap 650 through the inlet 651, they are diverted by the baffle plate 659 to flow radially outwards. Some of the condensibles, such as HCl and other by-products from the TiCl4/NH3 reaction, are trapped onto the baffle plate 659, but most are trapped onto the cooling coil 656, which offers a relatively large trapping surface area. The remaining exhaust gases exit the cold trap 650 through an axially located channel 658 which is connected to the outlet 652 of the cold trap 650, and pass through the isolation valve 660 and the throttle valve 670 before being exhausted into the exhaust line 690.
  • Although a chlorine-based chamber cleaning process (to be described below) provides efficient dry cleaning of the interior of the [0063] exhaust assembly 600, powder deposits tend to accumulate after an extended period of wafer processing—e.g., 5000 wafers. These deposits can readily be removed by cleaning with water or hydrogen peroxide during periodic maintenance. The use of the heated exhaust assembly 600 contributes to equipment uptime by facilitating chamber maintenance and extending the time between chamber cleanings.
  • Chamber Cleaning Process
  • As with most processing equipment, periodic chamber cleaning is necessary to ensure cleanliness of the processed wafers. An in-situ chlorine-based thermal cleaning process has been developed for this purpose. When TiN deposition is accomplished using TiCl[0064] 4 and NH3, a TiN film deposit is formed on the chamber interior (as opposed to a powder deposit of adduct ammonia salt), as long as the wall temperature is kept at around 150-250° C. This film can be effectively removed (etched) by the use of an in-situ thermal chlorine process. This thermal cleaning process is described in a commonly assigned U.S. patent application Ser. No. 09/163,711, filed on Sep. 30, 1998 by Vasudev et al., and is hereby incorporated by reference. Chlorine gas is introduced into the chamber 100 via the same flow path as for TiCl4 inside the showerhead assembly 300. Typical process conditions include a chlorine flow rate in the range of 500 sccm, a pressure in the range of 10-20 torr, and a temperature range of 600-700° C. for the heater 180, and 150-250° C. for the interior walls of chamber 100. Of course, the process parameters may be adjusted to suit specific needs, depending on the actual cleaning process conditions employed. In addition to thermal cleaning, it is anticipated that the chamber 100 can also be adapted for use with other cleaning techniques such a plasma-based or remote microwave chlorine processes. For example, adaptation for plasma-based cleaning processes would require a modification of the chamber lid 310 to accommodate RF biasing, as well as to allow for electrical isolation from ground. To ignite a cleaning plasma, RF power would be applied between the showerhead assembly 300 and the chamber body 250 and liner 200 (ground). The hardware modifications necessary to implement plasma cleaning are described below in the section on plasma generation.
  • Post-Deposition Anneal
  • After TiN film deposition, an annealing step can be used to improve the film properties. Film annealing is performed by thermal annealing the wafer at a relatively high temperature, e.g., 750° C., which is readily attainable using the existing [0065] heated pedestal 180. Annealing reduces traces of chlorine in the TiN film, which may otherwise result in undesirably high film resistivity. Alternatively, plasma annealing may also be used, in which case, the showerhead 330 acts as a top RF electrode while the pedestal 180 serves as a bottom ground electrode. The hardware modifications necessary for plasma annealing are described below.
  • Plasma Generation
  • Although the existing [0066] chamber 100 described above is suitable only for non-plasma application, the chamber can readily be modified for plasma-enhanced applications such as deposition, cleaning or annealing. For thermal deposition process, the entire lid assembly (i.e., cooling plate assembly 400, lid/gas box 310 and showerhead 330) is coupled to the grounded chamber body 250 and liner 200. In plasma applications, however, RF power is applied to the showerhead 330. Electrical isolation is therefore required between the showerhead 330 and the chamber body 250. FIG. 7a illustrates one embodiment of a modified configuration for plasma application. For example, the RF lids used for existing CVD chambers, such as CVD Ti, W, Al and dielectric chamber lids (configured for plasma application) are suitable for adaptation to the high temperature CVD chamber of the present invention. As shown in FIG. 7a, both the showerhead 330 and the gas box 710 are electrically insulated from the chamber lid 720 by a ceramic isolator 780. The chamber lid 720 and the chamber body 250 remain at ground. FIG. 7b shows an RF module 750, which supplies RF power to the showerhead 330. The RF module 750 can either be chamber installed or remotely installed, and provides RF power to the showerhead 330 through a RF connecting rod 752, a RF plunger connector 754 and a RF strap connector 756. Several delrin insulators 770 insulate these RF connectors 752, 754 and 756 from the chamber body 250 and the chamber lid 720. During deposition, process gases enter the process chamber 100 via separate gas inlets 711 and 712 of the gas box 710, which is connected to the dual-gas showerhead 330. To prevent electrical breakdown of process gases inside the gas delivery lines, a voltage gradient assembly 790 is installed over the gas box 710 around the gas inlets 711 and 712. Detailed description of this voltage gradient assembly 790 is provided in a commonly-assigned U.S. Pat. No. 5,362,526 entitled “Plasma-Enhanced CVD Process Using TEOS for Depositing Silicon Oxide”, filed on Jan. 23, 1991. This patent is herein incorporated by reference.
  • It should be emphasized that there is no inherent limitation restricting the use of this high temperature chamber to TiN film deposition. In general, other CVD film deposition can also be performed using this high temperature chamber. Furthermore, it is possible to extend the use of this chamber to facilitate other process applications. The dual-gas showerhead arrangement will be useful in any application where there is a need to separately introduce processing gases without premixing, and the heated liner may also find applications in various etch and deposition processes where deposition of by-products of the process are reduced by heating chamber surfaces. [0067]
  • Although a preferred embodiment which incorporates the teachings of the present invention has been shown and described in detail, those skilled in the art can readily devise many other varied embodiments that still incorporate these teachings. [0068]

Claims (34)

What is claimed is:
1. Apparatus for wafer processing, comprising:
a chamber body; and
a liner being substantially enclosed in said chamber body, where said liner is maintained at a first temperature T1, and said chamber body is maintained at a second temperature T2, where said second temperature T2 is lower than said first temperature T1.
2. The apparatus as in
claim 1
, wherein said liner and said chamber body are spaced apart from one another.
3. The apparatus as in
claim 2
, wherein said liner and said chamber body are separated from one another by an isolating member.
4. The apparatus as in
claim 3
, wherein said isolating member is a plurality of pins.
5. The apparatus as in
claim 4
, wherein said pins are made of stainless steel or nickel.
6. The apparatus as in
claim 1
, wherein said wafer processing comprises depositing titanium nitride film from a reaction of titanium tetrachloride and ammonia.
7. The apparatus as in
claim 1
, wherein said first temperature T1 is approximately between 150° C. and 250° C.; and said second temperature T2 is approximately between 60° C. and 65° C.
8. The apparatus as in
claim 1
, wherein said liner further comprises a heater embedded therein.
9. The apparatus as in
claim 1
, further comprising:
a pedestal positioned centrally within said liner to support a substrate within the chamber, where said pedestal is maintained at a third temperature T3 approximately between 600° C. and 800° C.
10. The apparatus as in
claim 9
, wherein said pedestal further comprises a heating element disposed inside said pedestal.
11. The apparatus as in
claim 9
, wherein said pedestal is made of a ceramic material.
12. The apparatus as in
claim 11
, wherein said ceramic material is aluminum nitride.
13. The apparatus as in
claim 9
, wherein said pedestal further comprises an electrode disposed inside said pedestal, and said electrode is capable of imparting radio frequency power to a volume proximate said pedestal.
14. The apparatus as in
claim 1
, further comprising:
an exhaust assembly connected to said chamber body; and at least one heater element positioned proximate said exhaust assembly to maintain said exhaust assembly at a temperature T4.
15. The apparatus as in
claim 14
, where said temperature T4 is approximately between 150° C. and 200° C.
16. The apparatus as in
claim 14
, where said at least one heater element is disposed around an exterior surface of said exhaust assembly.
17. The apparatus as in
claim 1
, further comprising:
a pedestal having a top surface, a bottom surface, an outer perimeter and an outside edge;
a substantially annular edge ring having a top surface, a bottom surface, an inside surface, an outside surface, and a protruded portion; and
said bottom surface, said inside surface and said protruded portion of said edge ring are disposed adjacent to and in close proximity to said outer perimeter and outside edge of said pedestal.
18. Apparatus for wafer processing, comprising:
a substantially bucket-shaped chamber body having a chamber side and a substantially annular-shaped chamber base having an inside bottom surface and defining a bottom opening in said chamber body, said chamber body capable of being maintained at a temperature T2;
a liner being substantially enclosed by said chamber body having a top end and a bottom end, an inside surface and an outside surface; where said liner is maintained at a temperature T1 which is higher than said temperature T2 of said chamber body;
an isolating member disposed between said bottom end of said liner and said inside surface of said chamber base that maintains said liner and said chamber base in a spaced apart relation;
a pedestal assembly positioned centrally within said bottom opening of said chamber body and within said liner; said pedestal assembly comprising:
a pedestal with a top surface, a bottom surface and a circumferential edge having a heater and at least one electrode embedded therein, said pedestal capable of being maintained at a temperature T3 which is higher than temperatures T1 and T2;
a shaft-like portion connected to said bottom surface of said pedestal;
a showerhead disposed above said pedestal having a top surface and a bottom surface;
an edge ring disposed at said circumferential edge of said pedestal; and
an exhaust assembly connected to said chamber body having an interior surface and an exterior surface, with at least one heater element disposed around said exterior surface to maintain said exhaust assembly at a temperature T4.
19. The apparatus as in
claim 18
, where said liner has a heater embedded therein.
20. The apparatus as in
claim 18
, where said isolating member is a plurality of pins.
21. The apparatus as in
claim 18
, wherein said temperature T1 is approximately between 150° C. and 250° C.; said temperature T2 is approximately between 60° C. and 65° C.; said temperature T3 is approximately between 600° C. and 800° C.; and said temperature T4 is approximately between 150° C. and 200° C.
22. The apparatus as in
claim 18
, wherein said showerhead is capable of being coupled to a radio-frequency source for imparting radio-frequency to said showerhead.
23. A method of wafer processing comprising the steps of:
a) heating a pedestal to temperature T3;
b) maintaining a liner at temperature T1 and a chamber at temperature T2; and
c) injecting process gases into said chamber for film deposition, where T3>T1>T2.
24. The method as in
claim 23
, where said temperature T1 is approximately between 150° C. and 250° C., said temperature T2 is approximately between 60° C. to 65° C., and said temperature T3 is approximately between 600° C. to 800° C.
25. The method as in
claim 24
, further comprising the step of introducing a first purge gas to flow past said bottom surface of said pedestal and a second purge gas to flow between said liner and an inside surface of said chamber.
26. The method as in
claim 25
, wherein said purge gas is nitrogen or argon.
27. The method as in
claim 23
, further comprising the step of annealing said wafer after film deposition.
28. The method as in
claim 27
, wherein said annealing step comprises heating said wafer to a temperature higher than T3.
29. The method as in
claim 27
, wherein said annealing step comprises subjecting said wafer to a plasma inside said chamber.
30. The method as in
claim 23
, wherein said injecting step comprises introducing at least two process gases without pre-mixing the process gases prior to entry into said chamber.
31. The method as in
claim 23
, wherein said liner is heated to said temperature T1 by a heater embedded in said liner.
32. The method as in
claim 23
, further comprising the step of cleaning said chamber after said film deposition.
33. The method as in
claim 32
, wherein said cleaning step comprises the steps of:
maintaining said pedestal at a temperature between 600-700° C.;
maintaining said chamber at a temperature between 150-250° C.; and
introducing chlorine gas into said chamber.
34. The method as in
claim 33
, wherein said cleaning step comprises creating a plasma containing chlorine species inside said chamber.
US09/211,998 1998-12-14 1998-12-14 High temperature chemical vapor deposition chamber Expired - Lifetime US6364954B2 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US09/211,998 US6364954B2 (en) 1998-12-14 1998-12-14 High temperature chemical vapor deposition chamber
TW088120548A TW447014B (en) 1998-12-14 1999-11-24 High temperature chemical vapor deposition chamber
KR1020017007351A KR20010080758A (en) 1998-12-14 1999-12-07 High temperature chemical vapor deposition chamber
JP2000588423A JP2003524703A (en) 1998-12-14 1999-12-07 High temperature chemical vapor deposition chamber
PCT/US1999/029115 WO2000036179A2 (en) 1998-12-14 1999-12-07 High temperature chemical vapor deposition chamber

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/211,998 US6364954B2 (en) 1998-12-14 1998-12-14 High temperature chemical vapor deposition chamber

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/047,146 Continuation-In-Part US6360947B1 (en) 1995-12-18 1998-03-24 Automated holographic-based tunnel-type laser scanning system for omni-directional scanning of bar code symbols on package surfaces facing any direction or orientation within a three-dimensional scanning volume disposed above a conveyor belt

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US09/157,778 Continuation-In-Part US6517004B2 (en) 1995-12-18 1998-09-21 Automated system for identifying and dimensioning packages transported through a laser scanning tunnel using laser scanning beam indexing techniques

Publications (2)

Publication Number Publication Date
US20010054381A1 true US20010054381A1 (en) 2001-12-27
US6364954B2 US6364954B2 (en) 2002-04-02

Family

ID=22789133

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/211,998 Expired - Lifetime US6364954B2 (en) 1998-12-14 1998-12-14 High temperature chemical vapor deposition chamber

Country Status (5)

Country Link
US (1) US6364954B2 (en)
JP (1) JP2003524703A (en)
KR (1) KR20010080758A (en)
TW (1) TW447014B (en)
WO (1) WO2000036179A2 (en)

Cited By (339)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040016394A1 (en) * 2002-07-29 2004-01-29 Castovillo Paul J. Atomic layer deposition methods
US20040033310A1 (en) * 2002-08-15 2004-02-19 Demetrius Sarigiannis Deposition methods
US20040033688A1 (en) * 2002-08-15 2004-02-19 Demetrius Sarigiannis Atomic layer deposition methods
US20040126954A1 (en) * 2002-08-27 2004-07-01 Eugene Marsh Deposition methods with time spaced and time abutting precursor pulses
US20040144312A1 (en) * 2003-01-21 2004-07-29 Ngk Insulators, Ltd. Systems for producing semiconductors and members therefor
US20040163762A1 (en) * 2001-07-27 2004-08-26 Iizuka Hachishiro Plasma treating device and substrate mounting table
US20040206305A1 (en) * 2003-04-16 2004-10-21 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US20050070105A1 (en) * 2003-03-14 2005-03-31 Lam Research Corporation Small volume process chamber with hot inner surfaces
US20050087759A1 (en) * 2003-03-14 2005-04-28 Lam Research Corporation System and method for surface reduction, passivation, corrosion prevention and activation of copper surface
US20050090093A1 (en) * 2003-03-14 2005-04-28 Lam Research Corporation Stress free etch processing in combination with a dynamic liquid meniscus
US20050106848A1 (en) * 2003-03-14 2005-05-19 Lam Research Corporation System and method for stress free conductor removal
US20050242061A1 (en) * 2001-11-27 2005-11-03 Hideaki Fukuda Self-cleaning method for plasma CVD apparatus
US20060110533A1 (en) * 2004-11-19 2006-05-25 Samsung Electronics Co., Ltd. Methods and apparatus for forming a titanium nitride layer
US20060110534A1 (en) * 2004-11-19 2006-05-25 Samsung Electronics Co., Ltd. Methods and apparatus for forming a titanium nitride layer
US20060169668A1 (en) * 2005-01-31 2006-08-03 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US20070034159A1 (en) * 2003-05-23 2007-02-15 Mitsuaki Komino Semiconductor manufacturing device and its heating unit
US20070202694A1 (en) * 2005-10-31 2007-08-30 Jung-Hun Seo Method of forming a layer and method of removing reaction by-products
US20090047446A1 (en) * 2004-05-26 2009-02-19 Ganesh Balasubramanian Uniformity control for low flow process and chamber to chamber matching
US7517775B2 (en) 2003-10-10 2009-04-14 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US20090142513A1 (en) * 2006-07-11 2009-06-04 Tokyo Electron Limited Film formation method, cleaning method and film formation apparatus
US20090188625A1 (en) * 2008-01-28 2009-07-30 Carducci James D Etching chamber having flow equalizer and lower liner
US7572337B2 (en) 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US20090250169A1 (en) * 2008-04-07 2009-10-08 Carducci James D Lower liner with integrated flow equalizer and improved conductance
CN102187432A (en) * 2008-10-14 2011-09-14 应用材料股份有限公司 Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (pecvd)
US20110223334A1 (en) * 2010-03-12 2011-09-15 Applied Materials, Inc. Atomic layer deposition chamber with multi inject
US20120285621A1 (en) * 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
CN102947920A (en) * 2010-05-21 2013-02-27 朗姆研究公司 Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US20130105085A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. Plasma reactor with chamber wall temperature control
US20130137279A1 (en) * 2011-11-29 2013-05-30 Hitachi Kokusai Electric Inc. Exhaust Unit, Substrate Processing Apparatus, and Method of Manufacturing Semiconductor Device
US20130284096A1 (en) * 2012-04-25 2013-10-31 Ashish Goel Cooled reflective adapter plate for a deposition chamber
CN103733328A (en) * 2011-09-26 2014-04-16 株式会社Eugene科技 Substrate supporting unit and substrate processing device, and method for producing substrate supporting unit
US20150059981A1 (en) * 2013-08-30 2015-03-05 Applied Materials, Inc. Hot wall reactor with cooled vacuum containment
US20150152544A1 (en) * 2011-05-03 2015-06-04 United Technologies Corporation Coating Methods and Apparatus
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US20150255285A1 (en) * 2005-12-05 2015-09-10 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a uv-cure chamber
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US20150279632A1 (en) * 2014-03-31 2015-10-01 Taiwan Semiconductor Manufacturing Company Ltd. Device and method for manufacturing a semiconductor structure
US9153442B2 (en) 2013-03-15 2015-10-06 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9209012B2 (en) 2013-09-16 2015-12-08 Applied Materials, Inc. Selective etch of silicon nitride
US20150371860A1 (en) * 2013-07-17 2015-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for thinning wafer thereof
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US20160148821A1 (en) * 2014-11-26 2016-05-26 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9355863B2 (en) 2012-12-18 2016-05-31 Applied Materials, Inc. Non-local plasma oxide etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9384997B2 (en) 2012-11-20 2016-07-05 Applied Materials, Inc. Dry-etch selectivity
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9412608B2 (en) 2012-11-30 2016-08-09 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9418858B2 (en) 2011-10-07 2016-08-16 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9437451B2 (en) 2012-09-18 2016-09-06 Applied Materials, Inc. Radical-component oxide etch
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9449845B2 (en) 2012-12-21 2016-09-20 Applied Materials, Inc. Selective titanium nitride etching
US9472417B2 (en) 2013-11-12 2016-10-18 Applied Materials, Inc. Plasma-free metal etch
US9478432B2 (en) 2014-09-25 2016-10-25 Applied Materials, Inc. Silicon oxide selective removal
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9887096B2 (en) 2012-09-17 2018-02-06 Applied Materials, Inc. Differential silicon oxide etch
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US20180090344A1 (en) * 2016-09-28 2018-03-29 Samsung Electronics Co., Ltd. Ring assembly and chuck assembly having the same
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US20180112312A1 (en) * 2016-10-21 2018-04-26 Tokyo Electron Limited Film forming apparatus and film forming method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10121682B2 (en) 2005-04-26 2018-11-06 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
USD842259S1 (en) * 2017-04-28 2019-03-05 Applied Materials, Inc. Plasma chamber liner
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
WO2021061487A1 (en) 2019-09-27 2021-04-01 Applied Materials, Inc. Monolithic modular microwave source with integrated process gas distribution
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
CN113337810A (en) * 2021-05-26 2021-09-03 北京北方华创微电子装备有限公司 Lining device and semiconductor processing equipment
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US20220093361A1 (en) * 2020-09-22 2022-03-24 Applied Materials, Inc. Showerhead assembly with recursive gas channels
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11306395B2 (en) * 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11371141B2 (en) * 2017-12-25 2022-06-28 Advanced Micro-Fabrication Equipment Inc. China Plasma process apparatus with low particle contamination and method of operating the same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US20220307131A1 (en) * 2021-03-26 2022-09-29 Applied Materials, Inc. Hot showerhead
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11961741B2 (en) 2021-03-04 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile

Families Citing this family (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5873781A (en) * 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US6530992B1 (en) * 1999-07-09 2003-03-11 Applied Materials, Inc. Method of forming a film in a chamber and positioning a substitute in a chamber
US6589352B1 (en) * 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6451692B1 (en) * 2000-08-18 2002-09-17 Micron Technology, Inc. Preheating of chemical vapor deposition precursors
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
JP4190422B2 (en) * 2002-01-24 2008-12-03 住友精密工業株式会社 Ozone treatment equipment
US7431585B2 (en) * 2002-01-24 2008-10-07 Applied Materials, Inc. Apparatus and method for heating substrates
WO2004015165A1 (en) * 2002-08-08 2004-02-19 Trikon Technologies Limited Improvements to showerheads
US7311942B2 (en) * 2002-08-29 2007-12-25 Micron Technology, Inc. Method for binding halide-based contaminants during formation of a titanium-based film
US20040052969A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
KR100522727B1 (en) * 2003-03-31 2005-10-20 주식회사 아이피에스 Reactor for depositing thin film on wafer
US6830624B2 (en) * 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
JP2007525822A (en) * 2003-05-30 2007-09-06 アヴィザ テクノロジー インコーポレイテッド Gas distribution system
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP2006128485A (en) * 2004-10-29 2006-05-18 Asm Japan Kk Semiconductor processing apparatus
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US7959984B2 (en) * 2004-12-22 2011-06-14 Lam Research Corporation Methods and arrangement for the reduction of byproduct deposition in a plasma processing system
US7126092B2 (en) * 2005-01-13 2006-10-24 Watlow Electric Manufacturing Company Heater for wafer processing and methods of operating and manufacturing the same
US7550381B2 (en) 2005-07-18 2009-06-23 Applied Materials, Inc. Contact clean by remote plasma and repair of silicide surface
KR20080034157A (en) * 2005-07-29 2008-04-18 에비자 테크놀로지, 인크. Gas manifold valve cluster
US7642195B2 (en) * 2005-09-26 2010-01-05 Applied Materials, Inc. Hydrogen treatment to improve photoresist adhesion and rework consistency
US20070084408A1 (en) * 2005-10-13 2007-04-19 Applied Materials, Inc. Batch processing chamber with diffuser plate and injector assembly
US20070116873A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US20070116872A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US9157151B2 (en) * 2006-06-05 2015-10-13 Applied Materials, Inc. Elimination of first wafer effect for PECVD films
KR100753158B1 (en) * 2006-06-19 2007-08-30 삼성전자주식회사 Method for cleaning process chamber
US7554103B2 (en) * 2006-06-26 2009-06-30 Applied Materials, Inc. Increased tool utilization/reduction in MWBC for UV curing chamber
US20080050932A1 (en) * 2006-08-23 2008-02-28 Applied Materials, Inc. Overall defect reduction for PECVD films
US7476291B2 (en) * 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
KR100867191B1 (en) * 2006-11-02 2008-11-06 주식회사 유진테크 substrate processing apparatus and substrate processing method
US7410916B2 (en) * 2006-11-21 2008-08-12 Applied Materials, Inc. Method of improving initiation layer for low-k dielectric film by digital liquid flow meter
CN101205605B (en) * 2006-12-18 2012-01-11 东京毅力科创株式会社 Apparatus for hot reinforcement and plasma reinforced vapor deposition
JP2008186865A (en) * 2007-01-26 2008-08-14 Tokyo Electron Ltd Substrate treating equipment
US7718559B2 (en) * 2007-04-20 2010-05-18 Applied Materials, Inc. Erosion resistance enhanced quartz used in plasma etch chamber
KR100842494B1 (en) * 2007-06-25 2008-07-01 주식회사 동부하이텍 Method for shaping alignment key of a semiconductor device
US7928019B2 (en) * 2007-08-10 2011-04-19 Micron Technology, Inc. Semiconductor processing
KR101046520B1 (en) * 2007-09-07 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 Source gas flow path control in pecvd system to control a by-product film deposition on inside chamber
WO2010008827A2 (en) * 2008-06-24 2010-01-21 Applied Materials, Inc. Pedestal heater for low temperature pecvd application
US20100108263A1 (en) * 2008-10-30 2010-05-06 Applied Materials, Inc. Extended chamber liner for improved mean time between cleanings of process chambers
KR101589107B1 (en) * 2009-04-10 2016-01-27 주성엔지니어링(주) Method of Cleaning Process Chamber
US8877001B2 (en) * 2009-05-07 2014-11-04 Applied Materials, Inc. Shuttered gate valve
US8409995B2 (en) * 2009-08-07 2013-04-02 Tokyo Electron Limited Substrate processing apparatus, positioning method and focus ring installation method
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
WO2017165016A1 (en) 2016-03-25 2017-09-28 Applied Materials, Inc. Chamber liner for high temperature processing
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US20180337075A1 (en) * 2017-05-18 2018-11-22 Applied Materials, Inc. Thermal chamber with improved thermal uniformity
CN114503246A (en) * 2019-09-13 2022-05-13 应用材料公司 Semiconductor processing chamber
US11499223B2 (en) 2020-12-10 2022-11-15 Applied Materials, Inc. Continuous liner for use in a processing chamber

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03211279A (en) 1990-01-11 1991-09-17 Mitsubishi Electric Corp Chemical vapor growth device
JPH03236221A (en) 1990-02-14 1991-10-22 Fujitsu Ltd Vapor growth apparatus
JPH04136175A (en) * 1990-09-26 1992-05-11 Matsushita Electric Ind Co Ltd Thin film forming device
JPH0529225A (en) * 1991-07-22 1993-02-05 Toshiba Corp Vapor growth device
US5308655A (en) 1991-08-16 1994-05-03 Materials Research Corporation Processing for forming low resistivity titanium nitride films
US5279857A (en) 1991-08-16 1994-01-18 Materials Research Corporation Process for forming low resistivity titanium nitride films
US5370739A (en) 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5356476A (en) 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
US5271963A (en) 1992-11-16 1993-12-21 Materials Research Corporation Elimination of low temperature ammonia salt in TiCl4 NH3 CVD reaction
US5416045A (en) 1993-02-18 1995-05-16 Micron Technology, Inc. Method for chemical vapor depositing a titanium nitride layer on a semiconductor wafer and method of annealing tin films
US5451258A (en) 1994-05-11 1995-09-19 Materials Research Corporation Apparatus and method for improved delivery of vaporized reactant gases to a reaction chamber
WO1995034092A1 (en) 1994-06-03 1995-12-14 Materials Research Corporation A method of nitridization of titanium thin films
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
GB9411911D0 (en) 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5610106A (en) 1995-03-10 1997-03-11 Sony Corporation Plasma enhanced chemical vapor deposition of titanium nitride using ammonia
US5567483A (en) 1995-06-05 1996-10-22 Sony Corporation Process for plasma enhanced anneal of titanium nitride
US5788799A (en) 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
JP3991375B2 (en) * 1996-11-13 2007-10-17 東京エレクトロン株式会社 Trap device
US6055927A (en) * 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
US5983906A (en) 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
US5855675A (en) * 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US6258170B1 (en) * 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
JP3567070B2 (en) * 1997-12-27 2004-09-15 東京エレクトロン株式会社 Heat treatment apparatus and heat treatment method
US6117244A (en) * 1998-03-24 2000-09-12 Applied Materials, Inc. Deposition resistant lining for CVD chamber
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6206971B1 (en) * 1999-03-29 2001-03-27 Applied Materials, Inc. Integrated temperature controlled exhaust and cold trap assembly

Cited By (457)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7513954B2 (en) * 2001-07-27 2009-04-07 Tokyo Electron Limited Plasma processing apparatus and substrate mounting table employed therein
US20040163762A1 (en) * 2001-07-27 2004-08-26 Iizuka Hachishiro Plasma treating device and substrate mounting table
US20050242061A1 (en) * 2001-11-27 2005-11-03 Hideaki Fukuda Self-cleaning method for plasma CVD apparatus
US20040016394A1 (en) * 2002-07-29 2004-01-29 Castovillo Paul J. Atomic layer deposition methods
US7128787B2 (en) 2002-07-29 2006-10-31 Micron Technology, Inc. Atomic layer deposition method
US7150789B2 (en) 2002-07-29 2006-12-19 Micron Technology, Inc. Atomic layer deposition methods
US20050039674A1 (en) * 2002-07-29 2005-02-24 Castovillo Paul J. Atomic layer deposition method
US20050147751A1 (en) * 2002-08-15 2005-07-07 Demetrius Sarigiannis Deposition methods
US7378354B2 (en) 2002-08-15 2008-05-27 Micron Technology, Inc. Atomic layer deposition methods
US20040033310A1 (en) * 2002-08-15 2004-02-19 Demetrius Sarigiannis Deposition methods
US20040033688A1 (en) * 2002-08-15 2004-02-19 Demetrius Sarigiannis Atomic layer deposition methods
US7498057B2 (en) 2002-08-15 2009-03-03 Micron Technology, Inc. Deposition methods
US20080241386A1 (en) * 2002-08-15 2008-10-02 Micron Technology, Inc. Atomic Layer Deposition Methods
US6890596B2 (en) * 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
US20040224527A1 (en) * 2002-08-15 2004-11-11 Micron Technology, Inc. Atomic layer deposition methods
US20060205227A1 (en) * 2002-08-15 2006-09-14 Demetrius Sarigiannis Atomic layer deposition methods
US7368382B2 (en) 2002-08-15 2008-05-06 Micron Technology, Inc. Atomic layer deposition methods
US6753271B2 (en) 2002-08-15 2004-06-22 Micron Technology, Inc. Atomic layer deposition methods
US7303991B2 (en) 2002-08-15 2007-12-04 Micron Technology, Inc. Atomic layer deposition methods
US7271077B2 (en) 2002-08-27 2007-09-18 Micron Technology, Inc. Deposition methods with time spaced and time abutting precursor pulses
US20040126954A1 (en) * 2002-08-27 2004-07-01 Eugene Marsh Deposition methods with time spaced and time abutting precursor pulses
US7220320B2 (en) * 2003-01-21 2007-05-22 Ngk Insulators, Ltd. Systems for producing semiconductors and members therefor
US20040144312A1 (en) * 2003-01-21 2004-07-29 Ngk Insulators, Ltd. Systems for producing semiconductors and members therefor
US20050090093A1 (en) * 2003-03-14 2005-04-28 Lam Research Corporation Stress free etch processing in combination with a dynamic liquid meniscus
US7009281B2 (en) 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
US7078344B2 (en) 2003-03-14 2006-07-18 Lam Research Corporation Stress free etch processing in combination with a dynamic liquid meniscus
US20050070105A1 (en) * 2003-03-14 2005-03-31 Lam Research Corporation Small volume process chamber with hot inner surfaces
US7217649B2 (en) 2003-03-14 2007-05-15 Lam Research Corporation System and method for stress free conductor removal
US20050087759A1 (en) * 2003-03-14 2005-04-28 Lam Research Corporation System and method for surface reduction, passivation, corrosion prevention and activation of copper surface
US7232766B2 (en) 2003-03-14 2007-06-19 Lam Research Corporation System and method for surface reduction, passivation, corrosion prevention and activation of copper surface
US20050106848A1 (en) * 2003-03-14 2005-05-19 Lam Research Corporation System and method for stress free conductor removal
US20040206305A1 (en) * 2003-04-16 2004-10-21 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US20070034159A1 (en) * 2003-05-23 2007-02-15 Mitsuaki Komino Semiconductor manufacturing device and its heating unit
US7517775B2 (en) 2003-10-10 2009-04-14 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7737007B2 (en) 2003-10-10 2010-06-15 Applied Materials, Inc. Methods to fabricate MOSFET devices using a selective deposition process
US7572337B2 (en) 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US7622005B2 (en) 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US7829145B2 (en) 2004-05-26 2010-11-09 Applied Materials, Inc. Methods of uniformity control for low flow process and chamber to chamber matching
US20090047446A1 (en) * 2004-05-26 2009-02-19 Ganesh Balasubramanian Uniformity control for low flow process and chamber to chamber matching
US20060110534A1 (en) * 2004-11-19 2006-05-25 Samsung Electronics Co., Ltd. Methods and apparatus for forming a titanium nitride layer
US20060110533A1 (en) * 2004-11-19 2006-05-25 Samsung Electronics Co., Ltd. Methods and apparatus for forming a titanium nitride layer
US20060169668A1 (en) * 2005-01-31 2006-08-03 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US8492284B2 (en) 2005-01-31 2013-07-23 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US10121682B2 (en) 2005-04-26 2018-11-06 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US7820244B2 (en) * 2005-10-31 2010-10-26 Samsung Electronics Co., Ltd. Method of forming a layer and method of removing reaction by-products
US20070202694A1 (en) * 2005-10-31 2007-08-30 Jung-Hun Seo Method of forming a layer and method of removing reaction by-products
US11177131B2 (en) 2005-12-05 2021-11-16 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
US10020197B2 (en) * 2005-12-05 2018-07-10 Novellus Systems, Inc. Method for reducing porogen accumulation from a UV-cure chamber
US20150255285A1 (en) * 2005-12-05 2015-09-10 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a uv-cure chamber
US20090142513A1 (en) * 2006-07-11 2009-06-04 Tokyo Electron Limited Film formation method, cleaning method and film formation apparatus
US8021717B2 (en) * 2006-07-11 2011-09-20 Tokyo Electron Limited Film formation method, cleaning method and film formation apparatus
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US20100065213A1 (en) * 2008-01-28 2010-03-18 Carducci James D Etching chamber having flow equalizer and lower liner
US8313578B2 (en) 2008-01-28 2012-11-20 Applied Materials, Inc. Etching chamber having flow equalizer and lower liner
US20090188625A1 (en) * 2008-01-28 2009-07-30 Carducci James D Etching chamber having flow equalizer and lower liner
US8118938B2 (en) 2008-04-07 2012-02-21 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
US8282736B2 (en) 2008-04-07 2012-10-09 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
US7987814B2 (en) 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
US20090250169A1 (en) * 2008-04-07 2009-10-08 Carducci James D Lower liner with integrated flow equalizer and improved conductance
US8440019B2 (en) 2008-04-07 2013-05-14 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
CN102187432A (en) * 2008-10-14 2011-09-14 应用材料股份有限公司 Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (pecvd)
US9175394B2 (en) * 2010-03-12 2015-11-03 Applied Materials, Inc. Atomic layer deposition chamber with multi inject
US20110223334A1 (en) * 2010-03-12 2011-09-15 Applied Materials, Inc. Atomic layer deposition chamber with multi inject
CN102947920A (en) * 2010-05-21 2013-02-27 朗姆研究公司 Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20150152544A1 (en) * 2011-05-03 2015-06-04 United Technologies Corporation Coating Methods and Apparatus
US20120285621A1 (en) * 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
CN103733328A (en) * 2011-09-26 2014-04-16 株式会社Eugene科技 Substrate supporting unit and substrate processing device, and method for producing substrate supporting unit
TWI474435B (en) * 2011-09-26 2015-02-21 Eugene Technology Co Ltd Substrate supporting unit and substrate processing apparatus, and method of manufacturing substrate supporting unit
US9418858B2 (en) 2011-10-07 2016-08-16 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US20130105085A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. Plasma reactor with chamber wall temperature control
US20130137279A1 (en) * 2011-11-29 2013-05-30 Hitachi Kokusai Electric Inc. Exhaust Unit, Substrate Processing Apparatus, and Method of Manufacturing Semiconductor Device
US20130284096A1 (en) * 2012-04-25 2013-10-31 Ashish Goel Cooled reflective adapter plate for a deposition chamber
US10903067B2 (en) * 2012-04-25 2021-01-26 Applied Materials, Inc. Cooled reflective adapter plate for a deposition chamber
US10504719B2 (en) * 2012-04-25 2019-12-10 Applied Materials, Inc. Cooled reflective adapter plate for a deposition chamber
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9887096B2 (en) 2012-09-17 2018-02-06 Applied Materials, Inc. Differential silicon oxide etch
US9437451B2 (en) 2012-09-18 2016-09-06 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9384997B2 (en) 2012-11-20 2016-07-05 Applied Materials, Inc. Dry-etch selectivity
US9412608B2 (en) 2012-11-30 2016-08-09 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9355863B2 (en) 2012-12-18 2016-05-31 Applied Materials, Inc. Non-local plasma oxide etch
US9449845B2 (en) 2012-12-21 2016-09-20 Applied Materials, Inc. Selective titanium nitride etching
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9659792B2 (en) 2013-03-15 2017-05-23 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9153442B2 (en) 2013-03-15 2015-10-06 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9449850B2 (en) 2013-03-15 2016-09-20 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9704723B2 (en) 2013-03-15 2017-07-11 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US11721555B2 (en) * 2013-07-17 2023-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for thinning wafer thereof
US20150371860A1 (en) * 2013-07-17 2015-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for thinning wafer thereof
US10727074B2 (en) * 2013-07-17 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for thinning wafer thereof
US20200357651A1 (en) * 2013-07-17 2020-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for thinning wafer thereof
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9837250B2 (en) * 2013-08-30 2017-12-05 Applied Materials, Inc. Hot wall reactor with cooled vacuum containment
US20150059981A1 (en) * 2013-08-30 2015-03-05 Applied Materials, Inc. Hot wall reactor with cooled vacuum containment
US9209012B2 (en) 2013-09-16 2015-12-08 Applied Materials, Inc. Selective etch of silicon nitride
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9472417B2 (en) 2013-11-12 2016-10-18 Applied Materials, Inc. Plasma-free metal etch
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9472412B2 (en) 2013-12-02 2016-10-18 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9564296B2 (en) 2014-03-20 2017-02-07 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US10269573B2 (en) * 2014-03-31 2019-04-23 Taiwan Semiconductor Manufacturing Company Ltd. Device and method for manufacturing a semiconductor structure
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US20150279632A1 (en) * 2014-03-31 2015-10-01 Taiwan Semiconductor Manufacturing Company Ltd. Device and method for manufacturing a semiconductor structure
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478432B2 (en) 2014-09-25 2016-10-25 Applied Materials, Inc. Silicon oxide selective removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US20170229291A1 (en) * 2014-11-26 2017-08-10 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11637002B2 (en) * 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11239061B2 (en) * 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160148821A1 (en) * 2014-11-26 2016-05-26 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US11270896B2 (en) 2015-11-16 2022-03-08 Lam Research Corporation Apparatus for UV flowable dielectric
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US20180090344A1 (en) * 2016-09-28 2018-03-29 Samsung Electronics Co., Ltd. Ring assembly and chuck assembly having the same
US10672629B2 (en) * 2016-09-28 2020-06-02 Samsung Electronics Co., Ltd. Ring assembly and chuck assembly having the same
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US20180112312A1 (en) * 2016-10-21 2018-04-26 Tokyo Electron Limited Film forming apparatus and film forming method
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
USD842259S1 (en) * 2017-04-28 2019-03-05 Applied Materials, Inc. Plasma chamber liner
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) * 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US20220228264A1 (en) * 2017-06-28 2022-07-21 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11371141B2 (en) * 2017-12-25 2022-06-28 Advanced Micro-Fabrication Equipment Inc. China Plasma process apparatus with low particle contamination and method of operating the same
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11881384B2 (en) * 2019-09-27 2024-01-23 Applied Materials, Inc. Monolithic modular microwave source with integrated process gas distribution
WO2021061487A1 (en) 2019-09-27 2021-04-01 Applied Materials, Inc. Monolithic modular microwave source with integrated process gas distribution
EP4035197A4 (en) * 2019-09-27 2023-10-25 Applied Materials, Inc. Monolithic modular microwave source with integrated process gas distribution
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US20220093361A1 (en) * 2020-09-22 2022-03-24 Applied Materials, Inc. Showerhead assembly with recursive gas channels
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11961741B2 (en) 2021-03-04 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11946140B2 (en) * 2021-03-26 2024-04-02 Applied Materials, Inc. Hot showerhead
US20220307131A1 (en) * 2021-03-26 2022-09-29 Applied Materials, Inc. Hot showerhead
US11959168B2 (en) 2021-04-26 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
CN113337810A (en) * 2021-05-26 2021-09-03 北京北方华创微电子装备有限公司 Lining device and semiconductor processing equipment
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process

Also Published As

Publication number Publication date
JP2003524703A (en) 2003-08-19
WO2000036179A3 (en) 2002-10-17
WO2000036179A2 (en) 2000-06-22
US6364954B2 (en) 2002-04-02
TW447014B (en) 2001-07-21
KR20010080758A (en) 2001-08-22

Similar Documents

Publication Publication Date Title
US6364954B2 (en) High temperature chemical vapor deposition chamber
US8821637B2 (en) Temperature controlled lid assembly for tungsten nitride deposition
EP0818558B1 (en) Components peripheral to the pedestal in the gas flow path within a chemical vapor deposition chamber
JP4511722B2 (en) Chemical vapor deposition reactor
JP3597871B2 (en) Stacked showerhead assemblies for providing gas and RF (radio frequency) output to a reaction chamber
US5993916A (en) Method for substrate processing with improved throughput and yield
KR101228996B1 (en) Showerhead assembly
US4709655A (en) Chemical vapor deposition apparatus
JP3217798B2 (en) Versatile process chamber for chemical vapor deposition processes
JP4808330B2 (en) Process gas distribution apparatus and processing chamber
US6079356A (en) Reactor optimized for chemical vapor deposition of titanium
US5983906A (en) Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
US6063441A (en) Processing chamber and method for confining plasma
TWI387667B (en) An in-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20070131168A1 (en) Gas Supplying unit and substrate processing apparatus
EP0855735A2 (en) A high temperature, high flow rate chemical vapor deposition apparatus and related methods
EP0855452A1 (en) Process and apparatus for depositing titanium layers
CN114981475A (en) Showerhead for atomic layer deposition precursor delivery
KR101248182B1 (en) In-situ clean chamber for front end of line fabrication
US20240068096A1 (en) Showerhead Assembly with Heated Showerhead
WO2023200465A1 (en) Showerhead assembly with heated showerhead

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:UMOTOY, SALVADOR P.;CHIAO, STEVE H.;NGUYEN, AHN N.;AND OTHERS;REEL/FRAME:009672/0490;SIGNING DATES FROM 19981211 TO 19981214

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12