US20020047206A1 - Wiring structures containing interconnected metal and wiring levels including a continous, single crystalline or polycrystalline conductive material having one or more twin boundaries - Google Patents

Wiring structures containing interconnected metal and wiring levels including a continous, single crystalline or polycrystalline conductive material having one or more twin boundaries Download PDF

Info

Publication number
US20020047206A1
US20020047206A1 US09/277,699 US27769999A US2002047206A1 US 20020047206 A1 US20020047206 A1 US 20020047206A1 US 27769999 A US27769999 A US 27769999A US 2002047206 A1 US2002047206 A1 US 2002047206A1
Authority
US
United States
Prior art keywords
level
continuous
metal
liner
wiring structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/277,699
Other versions
US6429519B1 (en
Inventor
Cyprian E. Uzoh
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US09/277,699 priority Critical patent/US6429519B1/en
Publication of US20020047206A1 publication Critical patent/US20020047206A1/en
Application granted granted Critical
Publication of US6429519B1 publication Critical patent/US6429519B1/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Anticipated expiration legal-status Critical
Assigned to WILMINGTON TRUST, NATIONAL ASSOCIATION reassignment WILMINGTON TRUST, NATIONAL ASSOCIATION SECURITY AGREEMENT Assignors: GLOBALFOUNDRIES INC.
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76868Forming or treating discontinuous thin films, e.g. repair, enhancement or reinforcement of discontinuous thin films
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates to the use of a continuous highly conductive metal wiring structure in fabricating various semiconductor devices. More specifically, the present invention relates to a method of fabricating a wiring structure comprising a continuous single crystalline or polycrystalline conductive metal material extending through the structure which eliminates any interfaces between vias and lines contained within the structure. Damascene and non-damascene wiring structures are also provided by the present invention.
  • a semiconductor chip contains an array of devices whose contacts are interconnected by patterns of conductive wires.
  • it is usually necessary to make interconnections among the various devices and circuit elements in the chip.
  • interconnections can no longer be made by means of a single level network of conductive lines. Often, it is necessary to form two or more such levels of conductive lines which are vertically spaced apart and separated by intermediate insulating layers.
  • Connections can be made between the different levels of conductive lines by means of vias which are etched through the insulating layer separating the levels. These vias are filled with a metal to form via studs. These multiple levels of conductor wiring interconnection patterns, with the individual levels connected by via studs, operate to distribute signals among the circuits on the chip.
  • a via may be made by first masking an insulating layer with a photoresist and then selectively etching a portion of the insulating layer.
  • the via is etched through an opening formed in the photoresist using well known photolithographic techniques to form an opening to the underlying conductive layer.
  • isotropic or anisotropic etching processes may be used to form a hole in the dielectric.
  • a liner layer on the sidewalls on the via is desirable because it enhances the structural integrity of the entire laminate.
  • a good liner or barrier film effectively isolates the conductive metal from the dielectric as well as adhering firmly to the conductive metal and the dielectric.
  • the best liner materials tend to be more resistive, as compared to conductive materials, so the presence of the liner at the bottom of the via increases the contact resistance of the structure. An increase in contact resistance is not desirable because it may lead to slower propagation of electrical signals through the wiring structure.
  • the liner should line the entire sidewall and will generally cover the bottom of the via as well.
  • Liner materials generally have a higher resistance than conductive materials.
  • Liner materials have generally been selected to simultaneously minimize contact resistance, provide adequate adhesion between insulative and conductive materials, and provide a good diffusion barrier.
  • the contact resistance problem is compounded when copper, Cu, is used as the conductive metal.
  • Cu is used as the conductive metal.
  • the presence of a continuous dissimilar liner material with comparatively higher resistivity at the bottom of the via deters the fabrication of a single crystalline, or continuous, interface between the via conductor material and the wiring level below.
  • FIGS. 1 ( a )-( b ) A typical prior art wiring structure is shown in FIGS. 1 ( a )-( b ).
  • FIG. 1( a ) shows a typical via level 50 on a planarized metal level 52 .
  • Via 50 consists of an opening in the dielectric that is landed on metal level 52 .
  • the prior art via structure comprises a continuous liner layer 50 c, a seed layer 50 b and a conductive metal 50 a.
  • An interlevel dielectric 54 separates via level 50 from metal level 52 . After metal planarization, a plane of the liner layer 50 c remains at the interface of the via and the trench.
  • step (b) depositing a layer of conductive material to the open-bottomed via structure provided in step (a);
  • step (e) planarizing the structure provided in step (d).
  • the structure provided in step (c) is encapsulated in a metal such as Ta, TaN, TiN, W, SiN and the like prior to annealing.
  • a metal such as Ta, TaN, TiN, W, SiN and the like prior to annealing.
  • Diamond-like carbon may also be used as an encapsulating material.
  • the annealing step is not performed. This is typically done when multilevel wiring structures are desirable.
  • the open-bottomed via liner structure employed in the present invention can be fabricated using conventional methods well known to those skilled in the art, but typically it is fabricated by the following steps:
  • FIGS. 1 ( a )-( b ) are cross-sectional views of a wiring structure of the prior art.
  • FIGS. 2 ( a )-( c ) are cross-sectional views of a wiring structure prepared in accordance with the present invention.
  • FIG. 3 is a cross-sectional view of a wiring structure prepared in accordance with the present invention having a discontinuous liner in the via.
  • FIGS. 4 ( a )-( c ) are cross-sectional views of dual damascene wiring structures prepared in accordance with the present invention using (a) a continuous liner, (b) a discontinuous adhesion layer as the liner material and (c) continuous liners on the sidewalls of trenches and discontinuous liners on the sidewalls of the vias.
  • FIG. 5 is a cross-sectional view of a multi-level wiring structure containing multiple overhanging interlevel dielectric layers separating each line-via-line portion of the structure.
  • FIGS. 6 ( a )-( c ) are cross-sectional views of a dual damascene structures without continuous metal-viametal-via-metal-via structure and without interlevel dielectrics containing (a) a continuous liner, (b) a discontinuous liner and (c) continuous liners in trenches and discontinuous lines in vias.
  • FIGS. 2 ( a )-( c ) there is shown the various processing steps that are employed in the present invention for forming a wiring structure containing a continuous, single crystalline conductive material extending through the structure
  • FIG. 2( a ) shows a typical planarized wiring structure that is employed in the present invention.
  • FIG. 2( a ) shows a planarized wiring structure 10 which comprises at least one via level 10 a on top of at least one metal level 10 b .
  • the metal level 10 b includes at least one insulative portion 12 and at least one trench 13 or metal line.
  • Trench 13 contains a liner material 20 on the sidewalls and the bottom of trench 13 , and is filled with a trench material 22 .
  • Via level 10 a of the wiring structure comprises at least one via 18 and an insulative material 16
  • via level 10 a and metal level 10 b are typically separated by interlevel dielectric layer 14 . In certain embodiments, it is not necessary to have interlevel dielectric layer 14 separating via level 10 a and metal level 10 b.
  • liner material 20 shown in FIG. 2( a ) is a continuous liner covering the sidewalls and the bottom of trench 13 .
  • a discontinuous liner covering only portions of the sidewalls of the trench may also be employed in the present invention.
  • Suitable materials that may be employed in the present invention as liner 20 include, but are not limited to, Al, Cr, Ti, TiN, W, Ta, TaN, TaN/Ta, Ta/TaN, Ta/TaN/Ta, TaN/Ti, Ta—Ti alloy, Ta—Cr alloy and Ti—Ta—Cr alloys.
  • the trench materials employed in the present in forming region 22 are conventional conductors well known to those skilled in the art. Examples of such conductors include, but are not limited to, Cu, Al, Ag, Cr, Au, Ni, W and the like. Alloys containing one or more of these metals are also contemplated herein.
  • interlevel dielectric 14 and insulative layers 12 and 16 are also composed of conventional materials.
  • interlevel dielectric 14 and insulative layers 12 and 16 may be composed of SiO 2 , spin on glasses, TiO 2 , (Ba,Sr)TiO 3 , organic polymers, inorganic polymers, fluorinated polymers, TiO 3 and the like.
  • layers 12 , 14 and 16 may be composed of the same or different material.
  • the via level of the wiring structure shown in FIG. 2( a ) is fabricated using techniques well known to those skilled in the art. For example, it can be manufactured by etching, e.g. reactive ion etching (RIE), via 18 in insulative material 16 ; depositing a liner material and then a conductive material by sputtering, chemical vapor deposition (CVD), electroless deposition, electrodeposition and the like; annealing the structure at temperatures from about 200° to about 500°C. to form bamboo structures in line or a single crystal in short lines; and then planarizing the structure to remove the overburden and isolate the varies via structure.
  • etching e.g. reactive ion etching (RIE)
  • RIE reactive ion etching
  • via 18 in insulative material 16 depositing a liner material and then a conductive material by sputtering, chemical vapor deposition (CVD), electroless deposition, electrodeposition and the like
  • CVD chemical vapor deposition
  • an interlevel dielectric layer 14 may be deposited so as to separate via level 10 a and metal level 10 b using techniques well known to those skilled in the art. Examples of suitable techniques for depositing interlevel dielectric 14 include, but are not limited to, spin on dielectrics, CVD, physical vapor deposition (PVD) and ion implantation. Conventional dielectric materials including SiN, diamond like carbon and the like may be employed as interlevel dielectric layer 14 . In one aspect of the present invention, no interlevel dielectrics are found in the wiring structure. This embodiment of the present invention is shown in FIGS. 6 ( a )-( b ), for example.
  • Insulative layer 16 which may be composed of the same or different dielectric material as dielectric layer 14 , is deposited onto the surface of interlevel dielectric 14 .
  • the deposition techniques employed in this stage of the present invention include the previously mentioned techniques used in forming interlevel dielectric layer 14 .
  • insulative layer 16 is deposited directly on metal level 10 b.
  • Insulative layer 16 is then patterned using conventional lithographic techniques well known to those skilled in the art. Suitable techniques include providing a resist to insulative layer 16 ; removing those portions of insulative layer 16 not covered by the resist, stopping at interlevel dielectric 14 ; stripping the resist; and then etching the unexposed interlevel dielectric material.
  • the insulative material and the interlevel dielectric material may be removed using etching techniques well known to those skilled in the art.
  • the insulative material and the interlevel dielectric material may be removed by utilizing a dry etch.
  • dry etching reactive ion etching (RIE), ion beam etching (IBF) or plasma etching may be utilized.
  • RIE reactive ion etching
  • IBF ion beam etching
  • plasma etching may be utilized.
  • RIE reactive ion etching
  • IBF ion beam etching
  • plasma etching it is preferred that RIE be employed.
  • the above etching techniques may be used to completely remove the interlevel dielectric layer or, in one embodiment of the present invention, the etching leaves some of the exposed interlevel dielectric behind providing an overhang which prevents or suppresses metallic material slide on the vertical regions of the sidewalls of the via.
  • the overhang can be provided using selective etching or by other means well known in the art. That embodiment of the present invention is shown in FIG. 5.
  • a second liner 30 is then sputter deposited onto insulative layer 16 as well as the sidewalls of via 18 .
  • Any method known in the art can be used, but the present invention used the apparatus and conditions described in copending application, U.S. Ser. No. 08/767,572, filed on Dec. 16, 1996 (attorney docket No. FI9-96-129).
  • the sputter deposition is conducted using a Rf bias such that deposition of liner 30 occurs on insulative layer 16 and on the sidewalls of via 18 .
  • the sputter deposition is conducted using a Rf bias which is active for at least 18% of the total deposition time. More preferably, the sputter deposition Rf biasing is active for a minimum of about 25% and a maximum of about 50% of the total sputter deposition time. It is noted that under the above conditions no deposition occurs at the bottom of via 18 .
  • liner 30 may be a continuous liner covering all of the sidewalls of via 18 or it may be a discontinuous liner 30 ′ cover only portions of the sidewalls of via 18 .
  • Liner 30 may be the same or different from liner 20 . Suitable materials for liner 30 or 30 ′ are the same as those mentioned hereinabove for liner 20 .
  • Discontinuous liners 30 ′ are shown in FIGS. 3 , 4 ( b ), 5 and 6 ( b ).
  • the present invention also contemplates the use of wiring structures which contain continuous liners 30 and discontinuous liners 30 ′ in the same structure. That embodiment of the present invention is illustrated in FIGS. 4 ( c ) and 6 ( c ).
  • a conductive material layer 32 may be deposited on the surface of liner 30 using conventional techniques well known to those skilled in the art. Conductive layer 32 may be the same or different from trench material 22 ; however, it is preferred if both regions are composed of the same material. A highly preferred conductive material employed in the present invention is Cu. Depending on the device being manufactured, a seed layer of conductive layer 32 may be deposited.
  • metal layer 34 is filled with metal layer 34 to provide a line structure.
  • the metal layer is typically composed of the same material as the conductive layer, with Cu being most preferred.
  • Metal line layer 34 can be formed by the above mentioned deposition techniques or by suitable plating techniques.
  • the above structure is encapsulated by depositing a metal such as Ta, TaN, TiN and the like onto the surface of the structure.
  • a metal such as Ta, TaN, TiN and the like
  • Diamond-like carbon may also be used in the present invention to encapsulate the structure.
  • Metal layer 34 or the encapsulated structure is then subjected to annealing under conditions which are effective in providing a continuous, single crystalline or polycrystalline conductive material extending through the lines and vias of the structure.
  • annealing is conducted in N 2 , H 2 , a forming gas, i.e. a H 2 and N 2 mixture, or inert gas atmosphere at temperatures of from about 200° to about 400°C. for a time period of from about 1 to about 60 mins. More preferably, annealing is conducted at temperatures of from about 275° to about 325°C. for a time period of from about 5 to about 30 mins.
  • FIG. 2( b ) After annealing the structure shown in FIG. 2( b ), it is then planarized using techniques well known to those skilled in the art including RIE and chemical mechanical polishing.
  • the final wiring structure is shown in FIG. 2( c ), wherein a continuous, single crystalline or polycrystalline line-via-line connection is obtained as evidenced by the continuous twin boundary running between the metal line and via.
  • the annealing step may be omitted. This embodiment is typically carried out when multi-level wiring structures are desired. When this embodiment is practiced, the deposition conditions used in forming the varies levels of the wiring structure are sufficient to cause annealing of the conductive regions.
  • the various steps of the present invention may be repeated a number of times providing a multi-level wiring structure such as shown in FIGS. 4 - 6 .
  • the various metal levels are fabricated using techniques well known to those skilled in the art. This includes providing an interlevel dielectric layer to the top of the structure shown in FIG. 2( c ), depositing an insulative layer thereon, patterning a trench in the insulative layer; remove portions of the dielectric layer thus provided, stripping the resist, providing a fresh resist, open a via, RIE the via stopping at the interlevel dielectric, and opening the interlevel dielectric layer.
  • U.S. Pat. No. 4,789,648 to Charles, et al. the contents of which are incorporated herein by reference.
  • FIGS. 3 - 6 Other embodiments of the present invention prepared using the above described method are shown in FIGS. 3 - 6 .
  • FIG. 3 represents a wiring structure which is prepared using discontinuous liners 30 ′;
  • FIGS. 4 ( a )-( c ) represent dual damascene wiring structures which are fabricated using a continuous liner 30 , discontinuous liner 30 ′ and a combination of continuous and discontinuous liners 30 ′, respectively;
  • FIG. 5 represents a multi-level wiring structure which contains overhangs of interlevel dielectric material 14 ;
  • FIGS. 6 ( a )-( c ) represents dual damascene structures which do not contain any interlevel dielectrics separating the various levels of the wiring structure.
  • a continuous liner 30 is employed, in FIG.
  • a discontinuous liner 30 ′ is employed and, in FIG. 6( c ) a combination of liners 30 and 30 ′, i.e. continuous and discontinuous are employed.
  • the dual damascene structures shown in FIGS. 4 ( a )-( c ) are obtained by depositing a thin liner having a thickness of from about 5 to about 100 ⁇ .
  • the method of the present invention provides a continuous, crystalline or polycrystalline conductive microstructure running between lines and vias of a wiring structure such that an extremely low or relatively non-existent contact resistance is observed, i.e. no interface between the metal levels and the via levels is present.

Abstract

The present relates to a method of fabricating wiring structures which contain a continuous, single crystalline conductive material extending through the structure. This is achieved in the present invention by utilizing an open-bottomed via liner structure.

Description

    FIELD OF THE INVENTION
  • The present invention relates to the use of a continuous highly conductive metal wiring structure in fabricating various semiconductor devices. More specifically, the present invention relates to a method of fabricating a wiring structure comprising a continuous single crystalline or polycrystalline conductive metal material extending through the structure which eliminates any interfaces between vias and lines contained within the structure. Damascene and non-damascene wiring structures are also provided by the present invention. [0001]
  • BACKGROUND OF THE INVENTION
  • A semiconductor chip contains an array of devices whose contacts are interconnected by patterns of conductive wires. In order to take full advantage of the device and the circuit density on a given chip, it is usually necessary to make interconnections among the various devices and circuit elements in the chip. However, due to the level of integration of devices and circuits on a chip, interconnections can no longer be made by means of a single level network of conductive lines. Often, it is necessary to form two or more such levels of conductive lines which are vertically spaced apart and separated by intermediate insulating layers. [0002]
  • Connections can be made between the different levels of conductive lines by means of vias which are etched through the insulating layer separating the levels. These vias are filled with a metal to form via studs. These multiple levels of conductor wiring interconnection patterns, with the individual levels connected by via studs, operate to distribute signals among the circuits on the chip. [0003]
  • In its simplest form, a via may be made by first masking an insulating layer with a photoresist and then selectively etching a portion of the insulating layer. The via is etched through an opening formed in the photoresist using well known photolithographic techniques to form an opening to the underlying conductive layer. Depending on the aspect ratio and the interconnection ground rules, isotropic or anisotropic etching processes may be used to form a hole in the dielectric. [0004]
  • After via etching, and photoresist removal, it is essential to deposit a conductive layer in the via. This deposited conductive layer forms an electrical interconnection between the conductive layers of the device. However, a liner or barrier layer is usually desirable between the insulative and conductive layers. [0005]
  • The presence of a liner layer on the sidewalls on the via is desirable because it enhances the structural integrity of the entire laminate. A good liner or barrier film effectively isolates the conductive metal from the dielectric as well as adhering firmly to the conductive metal and the dielectric. However, the best liner materials tend to be more resistive, as compared to conductive materials, so the presence of the liner at the bottom of the via increases the contact resistance of the structure. An increase in contact resistance is not desirable because it may lead to slower propagation of electrical signals through the wiring structure. For structural integrity, the liner should line the entire sidewall and will generally cover the bottom of the via as well. [0006]
  • Materials capable of forming a liner layer generally have a higher resistance than conductive materials. Liner materials have generally been selected to simultaneously minimize contact resistance, provide adequate adhesion between insulative and conductive materials, and provide a good diffusion barrier. [0007]
  • The contact resistance problem is compounded when copper, Cu, is used as the conductive metal. When Cu is used, the presence of a continuous dissimilar liner material with comparatively higher resistivity at the bottom of the via deters the fabrication of a single crystalline, or continuous, interface between the via conductor material and the wiring level below. [0008]
  • The formation of a single crystalline or polycrystalline interface in wiring structures is advantageous since it provides greater structural integrity for the interface between the via and the wiring level below. In the prior art, after via definition over a metal line, typically a continuous liner or barrier film is deposited on the sidewalls and bottom of the via. This is then followed with seed layer deposition over the liner. Finally, the via is filled with a metal using a suitable deposition method such as electroplating, CVD, electroless deposition or PVD techniques. In prior art wiring structures, the vias and lines are separated by a liner film; therefore an interface exists between the vias and the lines of the wiring structure. [0009]
  • A typical prior art wiring structure is shown in FIGS. [0010] 1(a)-(b). Specifically, FIG. 1(a) shows a typical via level 50 on a planarized metal level 52. Via 50 consists of an opening in the dielectric that is landed on metal level 52. The prior art via structure comprises a continuous liner layer 50 c, a seed layer 50 b and a conductive metal 50 a. An interlevel dielectric 54 separates via level 50 from metal level 52. After metal planarization, a plane of the liner layer 50 c remains at the interface of the via and the trench.
  • In view of the drawbacks mentioned hereinabove, there remains a need of fabricating semiconductor devices which contain a continuous, single crystalline or polycrystalline conductive material, particularly Cu, between the various wiring levels of the semiconductor device. [0011]
  • SUMMARY OF THE INVENTION
  • It is an object of the present invention to provide a method of fabricating a semiconductor device which contains no barrier material at the interfaces of the various wiring levels of the semiconductor device. [0012]
  • It is a further object of the present invention to provide a semiconductor device containing a continuous conductive metal microstructure running between lines and vias of the device which has an extremely low or relatively non-existent contact resistance compared with prior art devices. [0013]
  • It is another object of the present invention to provide a method of fabricating semiconductor devices in high yield having reduced maze or line resistance and having superior electromigration. [0014]
  • These as well as other objects will be achieved in the present invention by using an open-bottomed via liner structure in the semiconductor device. Specifically, the foregoing objects are met by the method of the present invention which comprises the steps of: [0015]
  • (a) providing an open-bottomed via liner structure comprising at least one via level located on top of at least one metal level, said via level having a liner material deposited only on the via's sidewalls; [0016]
  • (b) depositing a layer of conductive material to the open-bottomed via structure provided in step (a); [0017]
  • (c) forming a metal line layer on the conductive material; [0018]
  • (d) annealing said metal line layer under conditions effective to form a continuous, single crystalline or polycrystalline conductive material extending through the lines and vias of the structure; and [0019]
  • (e) planarizing the structure provided in step (d). [0020]
  • In one embodiment of the present invention, the structure provided in step (c) is encapsulated in a metal such as Ta, TaN, TiN, W, SiN and the like prior to annealing. Diamond-like carbon may also be used as an encapsulating material. [0021]
  • In another embodiment of the present invention, the annealing step is not performed. This is typically done when multilevel wiring structures are desirable. [0022]
  • The open-bottomed via liner structure employed in the present invention can be fabricated using conventional methods well known to those skilled in the art, but typically it is fabricated by the following steps: [0023]
  • (i) providing a planarized wiring structure having at least one metal level and at least one trench, wherein said trench contains a first liner material and is filled with a trench material; [0024]
  • (ii) optionally, depositing an interlevel dielectric layer on said metal level; [0025]
  • (iii) depositing an insulative material on said interlevel dielectric layer or said metal level; [0026]
  • (iv) patterning said insulative material to provide a via therein; and [0027]
  • (v) sputter depositing a second liner material to said via under conditions effective to cause deposition on said insulative material and on the via's sidewalls.[0028]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. [0029] 1(a)-(b) are cross-sectional views of a wiring structure of the prior art.
  • FIGS. [0030] 2(a)-(c) are cross-sectional views of a wiring structure prepared in accordance with the present invention.
  • FIG. 3 is a cross-sectional view of a wiring structure prepared in accordance with the present invention having a discontinuous liner in the via. [0031]
  • FIGS. [0032] 4(a)-(c) are cross-sectional views of dual damascene wiring structures prepared in accordance with the present invention using (a) a continuous liner, (b) a discontinuous adhesion layer as the liner material and (c) continuous liners on the sidewalls of trenches and discontinuous liners on the sidewalls of the vias.
  • FIG. 5 is a cross-sectional view of a multi-level wiring structure containing multiple overhanging interlevel dielectric layers separating each line-via-line portion of the structure. [0033]
  • FIGS. [0034] 6(a)-(c) are cross-sectional views of a dual damascene structures without continuous metal-viametal-via-metal-via structure and without interlevel dielectrics containing (a) a continuous liner, (b) a discontinuous liner and (c) continuous liners in trenches and discontinuous lines in vias.
  • DETAILED DESCRIPTION OF THE PRESENT INVENTION
  • The present invention, which relates to the use of an open-bottomed via liner structure in fabricating semiconductor devices, will now be described in more detail by referring to the drawings that accompany this specification. It should be noted that in the drawings like elements or components will be referred to be like numerals. [0035]
  • Referring to the drawings in more detail, and particularly referring to FIGS. [0036] 2(a)-(c), there is shown the various processing steps that are employed in the present invention for forming a wiring structure containing a continuous, single crystalline conductive material extending through the structure
  • Specific attention in this regard is first directed to FIG. 2([0037] a), which shows a typical planarized wiring structure that is employed in the present invention. Specifically, FIG. 2(a) shows a planarized wiring structure 10 which comprises at least one via level 10 a on top of at least one metal level 10 b. The metal level 10 b includes at least one insulative portion 12 and at least one trench 13 or metal line. Trench 13 contains a liner material 20 on the sidewalls and the bottom of trench 13, and is filled with a trench material 22. Via level 10 a of the wiring structure comprises at least one via 18 and an insulative material 16 Moreover, via level 10 a and metal level 10 b are typically separated by interlevel dielectric layer 14. In certain embodiments, it is not necessary to have interlevel dielectric layer 14 separating via level 10 a and metal level 10 b.
  • It should be noted that [0038] liner material 20 shown in FIG. 2(a) is a continuous liner covering the sidewalls and the bottom of trench 13. A discontinuous liner covering only portions of the sidewalls of the trench may also be employed in the present invention. Suitable materials that may be employed in the present invention as liner 20 include, but are not limited to, Al, Cr, Ti, TiN, W, Ta, TaN, TaN/Ta, Ta/TaN, Ta/TaN/Ta, TaN/Ti, Ta—Ti alloy, Ta—Cr alloy and Ti—Ta—Cr alloys.
  • The trench materials employed in the present in forming [0039] region 22 are conventional conductors well known to those skilled in the art. Examples of such conductors include, but are not limited to, Cu, Al, Ag, Cr, Au, Ni, W and the like. Alloys containing one or more of these metals are also contemplated herein.
  • The other elements shown in FIG. 2([0040] a), i.e. interlevel dielectric 14 and insulative layers 12 and 16, are also composed of conventional materials. For example, interlevel dielectric 14 and insulative layers 12 and 16 may be composed of SiO2, spin on glasses, TiO2, (Ba,Sr)TiO3, organic polymers, inorganic polymers, fluorinated polymers, TiO3 and the like. Depending on the device being fabricated, layers 12, 14 and 16 may be composed of the same or different material.
  • The via level of the wiring structure shown in FIG. 2([0041] a) is fabricated using techniques well known to those skilled in the art. For example, it can be manufactured by etching, e.g. reactive ion etching (RIE), via 18 in insulative material 16; depositing a liner material and then a conductive material by sputtering, chemical vapor deposition (CVD), electroless deposition, electrodeposition and the like; annealing the structure at temperatures from about 200° to about 500°C. to form bamboo structures in line or a single crystal in short lines; and then planarizing the structure to remove the overburden and isolate the varies via structure.
  • As stated above, an interlevel [0042] dielectric layer 14 may be deposited so as to separate via level 10 a and metal level 10 b using techniques well known to those skilled in the art. Examples of suitable techniques for depositing interlevel dielectric 14 include, but are not limited to, spin on dielectrics, CVD, physical vapor deposition (PVD) and ion implantation. Conventional dielectric materials including SiN, diamond like carbon and the like may be employed as interlevel dielectric layer 14. In one aspect of the present invention, no interlevel dielectrics are found in the wiring structure. This embodiment of the present invention is shown in FIGS. 6(a)-(b), for example.
  • [0043] Insulative layer 16, which may be composed of the same or different dielectric material as dielectric layer 14, is deposited onto the surface of interlevel dielectric 14. The deposition techniques employed in this stage of the present invention include the previously mentioned techniques used in forming interlevel dielectric layer 14. When no interlevel dielectric layer is employed, insulative layer 16 is deposited directly on metal level 10 b.
  • [0044] Insulative layer 16 is then patterned using conventional lithographic techniques well known to those skilled in the art. Suitable techniques include providing a resist to insulative layer 16; removing those portions of insulative layer 16 not covered by the resist, stopping at interlevel dielectric 14; stripping the resist; and then etching the unexposed interlevel dielectric material.
  • The insulative material and the interlevel dielectric material may be removed using etching techniques well known to those skilled in the art. For example, the insulative material and the interlevel dielectric material may be removed by utilizing a dry etch. When dry etching is employed, reactive ion etching (RIE), ion beam etching (IBF) or plasma etching may be utilized. Of these dry etching techniques, it is preferred that RIE be employed. [0045]
  • It should be stated that the above etching techniques may be used to completely remove the interlevel dielectric layer or, in one embodiment of the present invention, the etching leaves some of the exposed interlevel dielectric behind providing an overhang which prevents or suppresses metallic material slide on the vertical regions of the sidewalls of the via. The overhang can be provided using selective etching or by other means well known in the art. That embodiment of the present invention is shown in FIG. 5. [0046]
  • Next, a [0047] second liner 30 is then sputter deposited onto insulative layer 16 as well as the sidewalls of via 18. Any method known in the art can be used, but the present invention used the apparatus and conditions described in copending application, U.S. Ser. No. 08/767,572, filed on Dec. 16, 1996 (attorney docket No. FI9-96-129). Specifically, the sputter deposition is conducted using a Rf bias such that deposition of liner 30 occurs on insulative layer 16 and on the sidewalls of via 18. Typically, in the present invention the sputter deposition is conducted using a Rf bias which is active for at least 18% of the total deposition time. More preferably, the sputter deposition Rf biasing is active for a minimum of about 25% and a maximum of about 50% of the total sputter deposition time. It is noted that under the above conditions no deposition occurs at the bottom of via 18.
  • As is the case with [0048] liner 20, liner 30 may be a continuous liner covering all of the sidewalls of via 18 or it may be a discontinuous liner 30′ cover only portions of the sidewalls of via 18. Liner 30 may be the same or different from liner 20. Suitable materials for liner 30 or 30′ are the same as those mentioned hereinabove for liner 20. Discontinuous liners 30′ are shown in FIGS. 3, 4(b), 5 and 6(b). The present invention also contemplates the use of wiring structures which contain continuous liners 30 and discontinuous liners 30′ in the same structure. That embodiment of the present invention is illustrated in FIGS. 4(c) and 6(c).
  • After depositing [0049] liner 30, a conductive material layer 32 may be deposited on the surface of liner 30 using conventional techniques well known to those skilled in the art. Conductive layer 32 may be the same or different from trench material 22; however, it is preferred if both regions are composed of the same material. A highly preferred conductive material employed in the present invention is Cu. Depending on the device being manufactured, a seed layer of conductive layer 32 may be deposited.
  • Next, the open-bottomed via is filled with [0050] metal layer 34 to provide a line structure. The metal layer is typically composed of the same material as the conductive layer, with Cu being most preferred. Metal line layer 34 can be formed by the above mentioned deposition techniques or by suitable plating techniques.
  • In one embodiment of the present invention, the above structure is encapsulated by depositing a metal such as Ta, TaN, TiN and the like onto the surface of the structure. Diamond-like carbon may also be used in the present invention to encapsulate the structure. [0051]
  • [0052] Metal layer 34 or the encapsulated structure is then subjected to annealing under conditions which are effective in providing a continuous, single crystalline or polycrystalline conductive material extending through the lines and vias of the structure. Typically, annealing is conducted in N2, H2, a forming gas, i.e. a H2 and N2 mixture, or inert gas atmosphere at temperatures of from about 200° to about 400°C. for a time period of from about 1 to about 60 mins. More preferably, annealing is conducted at temperatures of from about 275° to about 325°C. for a time period of from about 5 to about 30 mins.
  • After annealing the structure shown in FIG. 2([0053] b), it is then planarized using techniques well known to those skilled in the art including RIE and chemical mechanical polishing. The final wiring structure is shown in FIG. 2(c), wherein a continuous, single crystalline or polycrystalline line-via-line connection is obtained as evidenced by the continuous twin boundary running between the metal line and via.
  • In another embodiment of the present invention, the annealing step may be omitted. This embodiment is typically carried out when multi-level wiring structures are desired. When this embodiment is practiced, the deposition conditions used in forming the varies levels of the wiring structure are sufficient to cause annealing of the conductive regions. [0054]
  • It should be noted that the various steps of the present invention may be repeated a number of times providing a multi-level wiring structure such as shown in FIGS. [0055] 4-6. In those structures, the various metal levels are fabricated using techniques well known to those skilled in the art. This includes providing an interlevel dielectric layer to the top of the structure shown in FIG. 2(c), depositing an insulative layer thereon, patterning a trench in the insulative layer; remove portions of the dielectric layer thus provided, stripping the resist, providing a fresh resist, open a via, RIE the via stopping at the interlevel dielectric, and opening the interlevel dielectric layer. For a complete discussion of this process, see, for example, U.S. Pat. No. 4,789,648 to Charles, et al., the contents of which are incorporated herein by reference.
  • Other embodiments of the present invention prepared using the above described method are shown in FIGS. [0056] 3-6.
  • Specifically, FIG. 3 represents a wiring structure which is prepared using [0057] discontinuous liners 30′; FIGS. 4(a)-(c) represent dual damascene wiring structures which are fabricated using a continuous liner 30, discontinuous liner 30′ and a combination of continuous and discontinuous liners 30′, respectively; FIG. 5 represents a multi-level wiring structure which contains overhangs of interlevel dielectric material 14; and FIGS. 6(a)-(c) represents dual damascene structures which do not contain any interlevel dielectrics separating the various levels of the wiring structure. In FIG. 6(a), a continuous liner 30 is employed, in FIG. 6(b), a discontinuous liner 30′ is employed and, in FIG. 6(c) a combination of liners 30 and 30′, i.e. continuous and discontinuous are employed. These structures are fabricated using conventional lithography and RIE techniques as mentioned hereinabove.
  • It should be noted that the dual damascene structures shown in FIGS. [0058] 4(a)-(c) are obtained by depositing a thin liner having a thickness of from about 5 to about 100 Å.
  • It is again emphasized that the method of the present invention provides a continuous, crystalline or polycrystalline conductive microstructure running between lines and vias of a wiring structure such that an extremely low or relatively non-existent contact resistance is observed, i.e. no interface between the metal levels and the via levels is present. [0059]
  • While the invention has been particularly shown and described with respect to preferred embodiments thereof, it will be understood by those skilled in the art that the foregoing and other changes in form and details may be make therein without departing from the spirit and scope of the instant invention. [0060]

Claims (25)

Having thus described our invention, what we claim as new, and desire to secure by Letters Patent is:
1. A method for fabricating a continuous, crystalline or polycrystalline conductive material between lines and vias of a wiring structure comprising:
(a) providing an open-bottomed via liner structure comprising at least one via level located on top of at least one metal level, said via level having a liner material deposited only on the via's sidewalls;
(b) depositing a conductive material to said structure provided in step (a);
(c) forming a metal line layer on the conductive material;
(d) optionally, encapsulating the structure provided in step(c);
(e) annealing said metal line layer or said encapsulated structure under conditions effective to form a continuous, single crystalline or polycrystalline conductive material extending through the lines and vias of the structure; and
(f) planarizing the structure provided in step (c).
2. The method of claim 1 wherein said open-bottomed via liner structure is fabricated by the steps of: (i) providing a planarized wiring structure having a metal level containing at least one trench in the metal level, wherein said trench contains a first liner material and is filled with a trench material; (ii) optionally, depositing an interlevel dielectric layer on said metal level; (iii) depositing an insulative material on said optional interlevel dielectric layer or said metal level; (iv) patterning said insulative material to provide a via therein; and (v) sputter depositing a second liner material to said via provided in step (iv) under conditions effective to cause deposition on the insulative material and the sidewalls of said via.
3. The method of claim 2 wherein the wiring structure in step (i) is provided by etching said trench in said metal level, depositing said liner material and then said trench material to said trench; annealing the structure; and then planarizing the structure.
4. The method of claim 2 wherein the interlevel dielectric material and said dielectric material are deposited by chemical vapor deposition, physical vapor deposition or ion implantation.
5. The method of claim 2 wherein step (iv) includes providing a resist to the insulative material; removing those portions of said insulative material not covered by the resist, stopping at said interlevel dielectric material; stripping the resist; and then etching the exposed interlevel dielectric material.
6. The method of claim 5 wherein said etching is a conducted by dry etching selected from the group consisting of reactive ion etching, ion beam etching or laser ablation.
7. The method of claim 6 wherein said etching leaves an overhang of said interlevel dielectric.
8. The method of claim 2 wherein step (v) is conducted using a Rf bias which is active for at least 18% of the total deposition time.
9. The method of claim 8 wherein step (v) is conducted using a Rf bias which active for a minimum of about 25% and a maximum of about 50% of the total deposition time.
10. The method of claim 2 wherein said first and second liners are continuous, discontinuous or a combination thereof.
11. The method of claim 1 wherein step (e) is conducted in N2, H2, a forming gas or an inert gas atmosphere at a temperature of from about 200° to about 400° C. for a period of time of from about 1 min. to about 60 mins.
12. The method of claim 11 wherein step (e) is conducted at a temperature of from about 275° to about 325°C. for a period of time of from about 5 to about 30 mins.
13. The method of claim 2 wherein said trench material, said conductive material and said metal line layer are each composed of Cu.
14. The method of claim 1 wherein step (f) is carried out by chemical mechanical polishing.
15. The method of claim 2 wherein after conducting step (f), steps (ii)-(v) are repeated.
16. The method of claim 1 wherein step (e) is omitted.
17. The method of claim 1 wherein a metal or diamond-like carbon is used in step (d).
18. The method of claim 17 wherein said metal is Ta, TaN, Ti or TiN.
19. A wiring structure comprising an electronic device containing at least one via level and at least one metal level, wherein said via level is on top of said metal level and said levels are interconnected by a continuous, single crystalline or polycrystalline conductive material.
20. The wiring structure of claim 19 wherein said continuous, single crystalline or polycrystalline material is composed of Cu.
21. The wiring structure of claim 19 wherein said electronic device is a damascene or non-damascene device.
22. The wiring structure of claim 19 wherein said continuous, single crystalline or polycrystalline material contains one or more twin boundaries.
23. A multi-level wiring structure comprising an electronic device containing two or more metal levels and two or more via levels, wherein each metal level is separated by a via level and said levels are interconnected by a continuous, single crystalline or polycrystalline conductive material.
24. The multi-level wiring structure of claim 23 wherein said continuous, single crystalline or polycrystalline conductive material is Cu.
25. The multi-level wiring structure of claim 23 wherein said continuous, single crystalline or polycrystalline material contains one or more twin boundaries.
US09/277,699 1997-04-03 1999-03-26 Wiring structures containing interconnected metal and wiring levels including a continuous, single crystalline or polycrystalline conductive material having one or more twin boundaries Expired - Lifetime US6429519B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/277,699 US6429519B1 (en) 1997-04-03 1999-03-26 Wiring structures containing interconnected metal and wiring levels including a continuous, single crystalline or polycrystalline conductive material having one or more twin boundaries

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/838,222 US5930669A (en) 1997-04-03 1997-04-03 Continuous highly conductive metal wiring structures and method for fabricating the same
US09/277,699 US6429519B1 (en) 1997-04-03 1999-03-26 Wiring structures containing interconnected metal and wiring levels including a continuous, single crystalline or polycrystalline conductive material having one or more twin boundaries

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US08/838,222 Division US5930669A (en) 1997-04-03 1997-04-03 Continuous highly conductive metal wiring structures and method for fabricating the same

Publications (2)

Publication Number Publication Date
US20020047206A1 true US20020047206A1 (en) 2002-04-25
US6429519B1 US6429519B1 (en) 2002-08-06

Family

ID=25276579

Family Applications (2)

Application Number Title Priority Date Filing Date
US08/838,222 Expired - Lifetime US5930669A (en) 1997-04-03 1997-04-03 Continuous highly conductive metal wiring structures and method for fabricating the same
US09/277,699 Expired - Lifetime US6429519B1 (en) 1997-04-03 1999-03-26 Wiring structures containing interconnected metal and wiring levels including a continuous, single crystalline or polycrystalline conductive material having one or more twin boundaries

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US08/838,222 Expired - Lifetime US5930669A (en) 1997-04-03 1997-04-03 Continuous highly conductive metal wiring structures and method for fabricating the same

Country Status (2)

Country Link
US (2) US5930669A (en)
JP (1) JP2999991B2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090203208A1 (en) * 2002-12-09 2009-08-13 Nec Corporation Copper alloy for wiring, semiconductor device, method for forming wiring, and method for manufacturing semiconductor device
US20130075842A1 (en) * 2011-09-28 2013-03-28 Ga Young Ha Semiconductor device and method for fabricating the same
TWI406361B (en) * 2006-05-17 2013-08-21 Ibm Structure and method for creating reliable via contacts for interconnect applications
US11069566B2 (en) * 2018-10-11 2021-07-20 International Business Machines Corporation Hybrid sidewall barrier facilitating low resistance interconnection

Families Citing this family (146)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6268291B1 (en) * 1995-12-29 2001-07-31 International Business Machines Corporation Method for forming electromigration-resistant structures by doping
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US5989623A (en) * 1997-08-19 1999-11-23 Applied Materials, Inc. Dual damascene metallization
US6291334B1 (en) * 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
JPH11317446A (en) * 1998-05-01 1999-11-16 Mitsubishi Electric Corp Semiconductor device and manufacture thereof
US6057230A (en) * 1998-09-17 2000-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Dry etching procedure and recipe for patterning of thin film copper layers
US6176992B1 (en) 1998-11-03 2001-01-23 Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US6902659B2 (en) * 1998-12-01 2005-06-07 Asm Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US6413388B1 (en) 2000-02-23 2002-07-02 Nutool Inc. Pad designs and structures for a versatile materials processing apparatus
US7204917B2 (en) * 1998-12-01 2007-04-17 Novellus Systems, Inc. Workpiece surface influencing device designs for electrochemical mechanical processing and method of using the same
US6409904B1 (en) 1998-12-01 2002-06-25 Nutool, Inc. Method and apparatus for depositing and controlling the texture of a thin film
US6610190B2 (en) 2000-11-03 2003-08-26 Nutool, Inc. Method and apparatus for electrodeposition of uniform film with minimal edge exclusion on substrate
US7204924B2 (en) * 1998-12-01 2007-04-17 Novellus Systems, Inc. Method and apparatus to deposit layers with uniform properties
US7427337B2 (en) * 1998-12-01 2008-09-23 Novellus Systems, Inc. System for electropolishing and electrochemical mechanical polishing
US7425250B2 (en) * 1998-12-01 2008-09-16 Novellus Systems, Inc. Electrochemical mechanical processing apparatus
US7578923B2 (en) * 1998-12-01 2009-08-25 Novellus Systems, Inc. Electropolishing system and process
US6328872B1 (en) 1999-04-03 2001-12-11 Nutool, Inc. Method and apparatus for plating and polishing a semiconductor substrate
US6497800B1 (en) 2000-03-17 2002-12-24 Nutool Inc. Device providing electrical contact to the surface of a semiconductor workpiece during metal plating
KR100385042B1 (en) 1998-12-03 2003-06-18 인터내셔널 비지네스 머신즈 코포레이션 Method for forming electromigration-resistant structures by doping
JP2000183067A (en) * 1998-12-18 2000-06-30 Rohm Co Ltd Manufacture of semiconductor device
US6288449B1 (en) * 1998-12-22 2001-09-11 Agere Systems Guardian Corp. Barrier for copper metallization
US6333560B1 (en) * 1999-01-14 2001-12-25 International Business Machines Corporation Process and structure for an interlock and high performance multilevel structures for chip interconnects and packaging technologies
JP3048567B1 (en) * 1999-02-18 2000-06-05 沖電気工業株式会社 Method for manufacturing semiconductor device
US20020127845A1 (en) * 1999-03-01 2002-09-12 Paul A. Farrar Conductive structures in integrated circuits
US6331484B1 (en) * 1999-03-29 2001-12-18 Lucent Technologies, Inc. Titanium-tantalum barrier layer film and method for forming the same
US6144099A (en) * 1999-03-30 2000-11-07 Advanced Micro Devices, Inc. Semiconductor metalization barrier
US6103624A (en) * 1999-04-15 2000-08-15 Advanced Micro Devices, Inc. Method of improving Cu damascene interconnect reliability by laser anneal before barrier polish
JP3074171B1 (en) * 1999-06-09 2000-08-07 キヤノン販売株式会社 Method for forming interlayer insulating film and semiconductor device
US6380628B2 (en) * 1999-08-18 2002-04-30 International Business Machines Corporation Microstructure liner having improved adhesion
US6355153B1 (en) * 1999-09-17 2002-03-12 Nutool, Inc. Chip interconnect and packaging deposition methods and structures
US8696875B2 (en) * 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US10047430B2 (en) 1999-10-08 2018-08-14 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6612915B1 (en) 1999-12-27 2003-09-02 Nutool Inc. Work piece carrier head for plating and polishing
US6630059B1 (en) 2000-01-14 2003-10-07 Nutool, Inc. Workpeice proximity plating apparatus
US6376370B1 (en) 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US7262130B1 (en) 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US6420262B1 (en) 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US20070127645A1 (en) * 2000-01-19 2007-06-07 Sony Ericsson Mobile Communications Ab Technique for providing secondary information to a user equipment
US6354916B1 (en) * 2000-02-11 2002-03-12 Nu Tool Inc. Modified plating solution for plating and planarization and process utilizing same
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US7141146B2 (en) * 2000-02-23 2006-11-28 Asm Nutool, Inc. Means to improve center to edge uniformity of electrochemical mechanical processing of workpiece surface
US20090020437A1 (en) * 2000-02-23 2009-01-22 Basol Bulent M Method and system for controlled material removal by electrochemical polishing
US20060131177A1 (en) * 2000-02-23 2006-06-22 Jeffrey Bogart Means to eliminate bubble entrapment during electrochemical processing of workpiece surface
US6852208B2 (en) 2000-03-17 2005-02-08 Nutool, Inc. Method and apparatus for full surface electrotreating of a wafer
US6482307B2 (en) 2000-05-12 2002-11-19 Nutool, Inc. Method of and apparatus for making electrical contact to wafer surface for full-face electroplating or electropolishing
US6582579B1 (en) * 2000-03-24 2003-06-24 Nutool, Inc. Methods for repairing defects on a semiconductor substrate
US20060118425A1 (en) * 2000-04-19 2006-06-08 Basol Bulent M Process to minimize and/or eliminate conductive material coating over the top surface of a patterned substrate
WO2001084617A1 (en) * 2000-04-27 2001-11-08 Nu Tool Inc. Conductive structure for use in multi-level metallization and process
US6465887B1 (en) * 2000-05-03 2002-10-15 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with diffusion barrier and process for making same
US6478936B1 (en) * 2000-05-11 2002-11-12 Nutool Inc. Anode assembly for plating and planarizing a conductive layer
US6695962B2 (en) 2001-05-01 2004-02-24 Nutool Inc. Anode designs for planar metal deposits with enhanced electrolyte solution blending and process of supplying electrolyte solution using such designs
US7195696B2 (en) * 2000-05-11 2007-03-27 Novellus Systems, Inc. Electrode assembly for electrochemical processing of workpiece
US6342448B1 (en) * 2000-05-31 2002-01-29 Taiwan Semiconductor Manufacturing Company Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process
US6562715B1 (en) 2000-08-09 2003-05-13 Applied Materials, Inc. Barrier layer structure for copper metallization and method of forming the structure
US7754061B2 (en) * 2000-08-10 2010-07-13 Novellus Systems, Inc. Method for controlling conductor deposition on predetermined portions of a wafer
US6921551B2 (en) 2000-08-10 2005-07-26 Asm Nutool, Inc. Plating method and apparatus for controlling deposition on predetermined portions of a workpiece
US6511912B1 (en) * 2000-08-22 2003-01-28 Micron Technology, Inc. Method of forming a non-conformal layer over and exposing a trench
US6380075B1 (en) * 2000-09-29 2002-04-30 International Business Machines Corporation Method for forming an open-bottom liner for a conductor in an electronic structure and device formed
US6660648B1 (en) * 2000-10-02 2003-12-09 Sandia Corporation Process for manufacture of semipermeable silicon nitride membranes
US6380084B1 (en) 2000-10-02 2002-04-30 Chartered Semiconductor Manufacturing Inc. Method to form high performance copper damascene interconnects by de-coupling via and metal line filling
US7270724B2 (en) 2000-12-13 2007-09-18 Uvtech Systems, Inc. Scanning plasma reactor
US6503641B2 (en) * 2000-12-18 2003-01-07 International Business Machines Corporation Interconnects with Ti-containing liners
US20040170753A1 (en) * 2000-12-18 2004-09-02 Basol Bulent M. Electrochemical mechanical processing using low temperature process environment
US6680514B1 (en) * 2000-12-20 2004-01-20 International Business Machines Corporation Contact capping local interconnect
US6802946B2 (en) 2000-12-21 2004-10-12 Nutool Inc. Apparatus for controlling thickness uniformity of electroplated and electroetched layers
US7172497B2 (en) * 2001-01-05 2007-02-06 Asm Nutool, Inc. Fabrication of semiconductor interconnect structures
US6773683B2 (en) * 2001-01-08 2004-08-10 Uvtech Systems, Inc. Photocatalytic reactor system for treating flue effluents
US6866763B2 (en) * 2001-01-17 2005-03-15 Asm Nutool. Inc. Method and system monitoring and controlling film thickness profile during plating and electroetching
US7087997B2 (en) * 2001-03-12 2006-08-08 International Business Machines Corporation Copper to aluminum interlayer interconnect using stud and via liner
US6656834B1 (en) * 2001-06-20 2003-12-02 Advanced Micro Devices, Inc. Method of selectively alloying interconnect regions by deposition process
US6551915B2 (en) * 2001-07-03 2003-04-22 Taiwan Semiconductor Manufacturing Co., Ltd. Thermal annealing/hydrogen containing plasma method for forming structurally stable low contact resistance damascene conductor structure
JP3540302B2 (en) 2001-10-19 2004-07-07 Necエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
CN101847598B (en) * 2001-11-14 2012-06-20 应用材料有限公司 Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US7504006B2 (en) * 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US20050040049A1 (en) * 2002-09-20 2005-02-24 Rimma Volodarsky Anode assembly for plating and planarizing a conductive layer
JP2004140198A (en) * 2002-10-18 2004-05-13 Oki Electric Ind Co Ltd Semiconductor device and its manufacturing method
US6661097B1 (en) 2002-11-01 2003-12-09 International Business Machines Corporation Ti liner for copper interconnect with low-k dielectric
JP4238618B2 (en) * 2003-03-28 2009-03-18 ブラザー工業株式会社 Compound machine
US20070131563A1 (en) * 2003-04-14 2007-06-14 Asm Nutool, Inc. Means to improve center to edge uniformity of electrochemical mechanical processing of workpiece surface
JP2004319834A (en) * 2003-04-17 2004-11-11 Renesas Technology Corp Semiconductor device and manufacturing method thereof
US20040222527A1 (en) * 2003-05-06 2004-11-11 Dostalik William W. Dual damascene pattern liner
US20100072622A1 (en) * 2003-06-16 2010-03-25 United Microelectronics Corporation Method for forming Barrier Layer and the Related Damascene Structure
US20040251548A1 (en) * 2003-06-16 2004-12-16 United Microelectronics Corp. Method for forming barrier layer and structure
US7220665B2 (en) 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
KR100577528B1 (en) * 2003-12-30 2006-05-10 매그나칩 반도체 유한회사 Method of manufacturing a inductor in a semiconductor device
US7648622B2 (en) * 2004-02-27 2010-01-19 Novellus Systems, Inc. System and method for electrochemical mechanical polishing
JP4764606B2 (en) * 2004-03-04 2011-09-07 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US7327033B2 (en) * 2004-08-05 2008-02-05 International Business Machines Corporation Copper alloy via bottom liner
US7339274B2 (en) * 2004-08-17 2008-03-04 Agere Systems Inc. Metallization performance in electronic devices
US7820026B2 (en) * 2005-04-13 2010-10-26 Applied Materials, Inc. Method to deposit organic grafted film on barrier layer
US7504335B2 (en) * 2005-04-13 2009-03-17 Applied Materials, Inc. Grafted seed layer for electrochemical plating
US7335588B2 (en) * 2005-04-15 2008-02-26 International Business Machines Corporation Interconnect structure and method of fabrication of same
US7727888B2 (en) * 2005-08-31 2010-06-01 International Business Machines Corporation Interconnect structure and method for forming the same
US7279411B2 (en) * 2005-11-15 2007-10-09 International Business Machines Corporation Process for forming a redundant structure
US7517736B2 (en) * 2006-02-15 2009-04-14 International Business Machines Corporation Structure and method of chemically formed anchored metallic vias
US7666787B2 (en) * 2006-02-21 2010-02-23 International Business Machines Corporation Grain growth promotion layer for semiconductor interconnect structures
US7528066B2 (en) * 2006-03-01 2009-05-05 International Business Machines Corporation Structure and method for metal integration
US7435674B2 (en) * 2006-03-27 2008-10-14 International Business Machines Corporation Dielectric interconnect structures and methods for forming the same
US20070259519A1 (en) * 2006-05-02 2007-11-08 International Business Machines Corporation Interconnect metallization process with 100% or greater step coverage
US7439624B2 (en) 2006-05-18 2008-10-21 International Business Machines Corporation Enhanced mechanical strength via contacts
US7446058B2 (en) * 2006-05-25 2008-11-04 International Business Machines Corporation Adhesion enhancement for metal/dielectric interface
US8500985B2 (en) 2006-07-21 2013-08-06 Novellus Systems, Inc. Photoresist-free metal deposition
US7482261B2 (en) * 2006-07-26 2009-01-27 International Business Machines Corporation Interconnect structure for BEOL applications
US7396762B2 (en) * 2006-08-30 2008-07-08 International Business Machines Corporation Interconnect structures with linear repair layers and methods for forming such interconnection structures
US7531384B2 (en) 2006-10-11 2009-05-12 International Business Machines Corporation Enhanced interconnect structure
US7625815B2 (en) * 2006-10-31 2009-12-01 International Business Machines Corporation Reduced leakage interconnect structure
US7666781B2 (en) * 2006-11-22 2010-02-23 International Business Machines Corporation Interconnect structures with improved electromigration resistance and methods for forming such interconnect structures
US20080128907A1 (en) * 2006-12-01 2008-06-05 International Business Machines Corporation Semiconductor structure with liner
US20080197499A1 (en) * 2007-02-15 2008-08-21 International Business Machines Corporation Structure for metal cap applications
US7745282B2 (en) 2007-02-16 2010-06-29 International Business Machines Corporation Interconnect structure with bi-layer metal cap
US20080254233A1 (en) * 2007-04-10 2008-10-16 Kwangduk Douglas Lee Plasma-induced charge damage control for plasma enhanced chemical vapor deposition processes
US7566653B2 (en) * 2007-07-31 2009-07-28 International Business Machines Corporation Interconnect structure with grain growth promotion layer and method for forming the same
US7732922B2 (en) * 2008-01-07 2010-06-08 International Business Machines Corporation Simultaneous grain modulation for BEOL applications
US7846834B2 (en) * 2008-02-04 2010-12-07 International Business Machines Corporation Interconnect structure and method for Cu/ultra low k integration
US20090200668A1 (en) * 2008-02-07 2009-08-13 International Business Machines Corporation Interconnect structure with high leakage resistance
US7834457B2 (en) * 2008-02-28 2010-11-16 International Business Machines Corporation Bilayer metal capping layer for interconnect applications
US7871935B2 (en) * 2008-04-23 2011-01-18 International Business Machines Corporation Non-plasma capping layer for interconnect applications
US7928569B2 (en) * 2008-08-14 2011-04-19 International Business Machines Corporation Redundant barrier structure for interconnect and wiring applications, design structure and method of manufacture
US8288276B2 (en) * 2008-12-30 2012-10-16 International Business Machines Corporation Method of forming an interconnect structure including a metallic interfacial layer located at a bottom via portion
US8021974B2 (en) * 2009-01-09 2011-09-20 Internatioanl Business Machines Corporation Structure and method for back end of the line integration
US7745324B1 (en) 2009-01-09 2010-06-29 International Business Machines Corporation Interconnect with recessed dielectric adjacent a noble metal cap
US8242600B2 (en) 2009-05-19 2012-08-14 International Business Machines Corporation Redundant metal barrier structure for interconnect applications
US8336204B2 (en) 2009-07-27 2012-12-25 International Business Machines Corporation Formation of alloy liner by reaction of diffusion barrier and seed layer for interconnect application
JP5440221B2 (en) * 2010-02-02 2014-03-12 日本電気株式会社 Manufacturing method of laminated structure of semiconductor device
US8461683B2 (en) * 2011-04-01 2013-06-11 Intel Corporation Self-forming, self-aligned barriers for back-end interconnects and methods of making same
CN103022007B (en) * 2011-09-28 2015-12-16 中芯国际集成电路制造(上海)有限公司 For titanium doped tantalum-based barrier layer and the manufacture method thereof of copper-connection
US8659156B2 (en) 2011-10-18 2014-02-25 International Business Machines Corporation Interconnect structure with an electromigration and stress migration enhancement liner
US9190323B2 (en) 2012-01-19 2015-11-17 GlobalFoundries, Inc. Semiconductor devices with copper interconnects and methods for fabricating same
US9496422B2 (en) * 2012-07-30 2016-11-15 Globalfoundries Inc. Multi-element packaging of concentrator photovoltaic cells
US9312203B2 (en) 2013-01-02 2016-04-12 Globalfoundries Inc. Dual damascene structure with liner
US8871639B2 (en) * 2013-01-04 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9245795B2 (en) 2013-05-28 2016-01-26 Intel Corporation Methods of forming substrate microvias with anchor structures
US9553044B2 (en) 2014-11-05 2017-01-24 International Business Machines Corporation Electrically conductive interconnect including via having increased contact surface area
US9559051B1 (en) * 2015-12-21 2017-01-31 Globalfoundries Inc. Method for manufacturing in a semiconductor device a low resistance via without a bottom liner
US10249501B2 (en) 2016-03-28 2019-04-02 International Business Machines Corporation Single process for liner and metal fill
US9711450B1 (en) 2016-06-07 2017-07-18 International Business Machines Corporation Interconnect structures with enhanced electromigration resistance
US9899327B2 (en) 2016-06-24 2018-02-20 International Business Machines Corporation Surface treatment for semiconductor structure
US10217725B2 (en) * 2017-02-23 2019-02-26 International Business Machines Corporation Microstructure modulation for metal wafer-wafer bonding
US10141392B2 (en) 2017-02-23 2018-11-27 International Business Machines Corporation Microstructure modulation for 3D bonded semiconductor structure with an embedded capacitor
US10141391B2 (en) 2017-02-23 2018-11-27 International Business Machines Corporation Microstructure modulation for 3D bonded semiconductor containing an embedded resistor structure
US11018087B2 (en) 2018-04-25 2021-05-25 International Business Machines Corporation Metal interconnects
DE102020119831A1 (en) 2020-01-29 2021-07-29 Taiwan Semiconductor Manufacturing Co., Ltd. CONDUCTIVE STRUCTURES WITHOUT ANCHOR POINTS
US11929327B2 (en) * 2020-01-29 2024-03-12 Taiwan Semiconductor Manufacturing Co., Inc. Liner-free conductive structures with anchor points
US11183455B2 (en) * 2020-04-15 2021-11-23 International Business Machines Corporation Interconnects with enlarged contact area

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2515731B2 (en) * 1985-10-25 1996-07-10 株式会社日立製作所 Thin film forming apparatus and thin film forming method
US4789648A (en) * 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US5082802A (en) * 1985-11-12 1992-01-21 Texas Instruments Incorporated Method of making a memory device by packaging two integrated circuit dies in one package
JP2578193B2 (en) * 1989-02-01 1997-02-05 沖電気工業株式会社 Method for manufacturing semiconductor device
JPH038359A (en) * 1989-06-06 1991-01-16 Fujitsu Ltd Manufacture of semiconductor device
JPH0311737A (en) 1989-06-09 1991-01-21 Seiko Epson Corp Solid phase epitaxy
US5093710A (en) * 1989-07-07 1992-03-03 Seiko Epson Corporation Semiconductor device having a layer of titanium nitride on the side walls of contact holes and method of fabricating same
US5051812A (en) * 1989-07-14 1991-09-24 Hitachi, Ltd. Semiconductor device and method for manufacturing the same
JP3469251B2 (en) * 1990-02-14 2003-11-25 株式会社東芝 Method for manufacturing semiconductor device
JP2660359B2 (en) * 1991-01-30 1997-10-08 三菱電機株式会社 Semiconductor device
JPH05251566A (en) 1992-03-06 1993-09-28 Nec Corp Multilayer interconnection structure
US5739579A (en) * 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
JPH0645332A (en) 1992-07-22 1994-02-18 Nec Corp Semiconductor device and manufacture thereof
US5385868A (en) * 1994-07-05 1995-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Upward plug process for metal via holes
JP3277098B2 (en) * 1994-07-26 2002-04-22 株式会社東芝 Method for manufacturing semiconductor device
US5744376A (en) * 1996-04-08 1998-04-28 Chartered Semiconductor Manufacturing Pte, Ltd Method of manufacturing copper interconnect with top barrier layer
US5783282A (en) * 1996-10-07 1998-07-21 Micron Technology, Inc. Resputtering to achieve better step coverage of contact holes
US5821168A (en) * 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090203208A1 (en) * 2002-12-09 2009-08-13 Nec Corporation Copper alloy for wiring, semiconductor device, method for forming wiring, and method for manufacturing semiconductor device
TWI406361B (en) * 2006-05-17 2013-08-21 Ibm Structure and method for creating reliable via contacts for interconnect applications
US20130075842A1 (en) * 2011-09-28 2013-03-28 Ga Young Ha Semiconductor device and method for fabricating the same
US11069566B2 (en) * 2018-10-11 2021-07-20 International Business Machines Corporation Hybrid sidewall barrier facilitating low resistance interconnection

Also Published As

Publication number Publication date
US6429519B1 (en) 2002-08-06
JPH10284603A (en) 1998-10-23
JP2999991B2 (en) 2000-01-17
US5930669A (en) 1999-07-27

Similar Documents

Publication Publication Date Title
US5930669A (en) Continuous highly conductive metal wiring structures and method for fabricating the same
US6768203B1 (en) Open-bottomed via liner structure and method for fabricating same
US5925933A (en) Interconnect structure using Al2 -Cu for an integrated circuit chip
US6096648A (en) Copper/low dielectric interconnect formation with reduced electromigration
US6787460B2 (en) Methods of forming metal layers in integrated circuit devices using selective deposition on edges of recesses and conductive contacts so formed
EP1992012B1 (en) Novel structure and method for metal integration
US7605072B2 (en) Interconnect structure with a barrier-redundancy feature
JP3057054B2 (en) Method for forming multilayer interconnect of copper wires
JP5255292B2 (en) Interconnect structure having two-layer metal cap and method of manufacturing the same
US7867895B2 (en) Method of fabricating improved interconnect structure with a via gouging feature absent profile damage to the interconnect dielectric
US6426249B1 (en) Buried metal dual damascene plate capacitor
US6420258B1 (en) Selective growth of copper for advanced metallization
US6169024B1 (en) Process to manufacture continuous metal interconnects
US20020020920A1 (en) Forming submicron integrated-circuit wiring from gold, silver, copper, and other metals
JP2001516153A (en) Borderless via with CVD barrier layer
JP2009510771A (en) Techniques for forming copper-based metallization layers including conductive capping layers
US8026606B2 (en) Interconnect layers without electromigration
US6077768A (en) Process for fabricating a multilevel interconnect
US6225226B1 (en) Method for processing and integrating copper interconnects
US6509257B1 (en) Semiconductor device and process for making the same
US20070072413A1 (en) Methods of forming copper interconnect structures on semiconductor substrates
US7026225B1 (en) Semiconductor component and method for precluding stress-induced void formation in the semiconductor component
US6841471B2 (en) Fabrication method of semiconductor device
US20030143835A1 (en) Dual damascene process for improving planarization of an inter-metal dielectric layer
US9773735B1 (en) Geometry control in advanced interconnect structures

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

REMI Maintenance fee reminder mailed
FPAY Fee payment

Year of fee payment: 12

SULP Surcharge for late payment

Year of fee payment: 11

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910

AS Assignment

Owner name: WILMINGTON TRUST, NATIONAL ASSOCIATION, DELAWARE

Free format text: SECURITY AGREEMENT;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:049490/0001

Effective date: 20181127

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:054636/0001

Effective date: 20201117

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117