US20020137344A1 - Etched substrate - Google Patents

Etched substrate Download PDF

Info

Publication number
US20020137344A1
US20020137344A1 US10/155,755 US15575502A US2002137344A1 US 20020137344 A1 US20020137344 A1 US 20020137344A1 US 15575502 A US15575502 A US 15575502A US 2002137344 A1 US2002137344 A1 US 2002137344A1
Authority
US
United States
Prior art keywords
substrate
etched
protective layer
chemical
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/155,755
Inventor
Stephen Jordan
G. Gray
Arun Malhotra
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/155,755 priority Critical patent/US20020137344A1/en
Publication of US20020137344A1 publication Critical patent/US20020137344A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing

Definitions

  • the present invention generally relates to a substrate wafer having a substantially uniform surface and a plurality of elevated structures on the surface of the substrate.
  • etching techniques are used in the fabrication of various microminiature structures and devices to form patterns in a substrate for many applications.
  • An etching technique which is suitable for a particular purpose etches selected layers in a structure without damaging other layers and forms structures with a sufficient etch rate, etch rate selectivity and directional selectivity that a specified end product is produced efficiently.
  • a wet etching technique employs liquid chemicals, such as acids or corrosive materials, as an etching agent.
  • the etching process proceeds through chemical reactions at the surface of the etched material and is limited by the rate of chemical reactions and the rate of removal of products of the chemical reaction.
  • the wet etching process is electrically aided by connecting the structure to be etched either an anode or a cathode of an electrolytic cell.
  • wet etching has several disadvantages.
  • Direction etch selectivity is typically very poor for wet etchants.
  • One result of this poor direction etch sensitivity is a large line-width loss that precludes the usage of wet etching to form narrow lines that are common in many applications.
  • the etch rate is only marginally controllable for many wet etchants.
  • a further disadvantage is that wet etching requires the handling, use and disposal of highly toxic and corrosive chemicals, raising cost and safety concerns.
  • etching is dry etching, or plasma-assisted etching, which uses either chemical or physical reactions between a low-pressure plasma or glow discharge and the surface to be etched in a gas phase.
  • Dry etching is a complex process with results that are greatly affected by small variations in process parameters. Dry etching typically is used to pattern smaller geometries than wet etching and has lateral etch rates that are small so that the etched pattern is highly controllable and smooth edge profiles are produced.
  • Advantages of dry etching are a highly directional etch anisotropy and a facility to penetrate small photoresist apertures for etching small and intricate geometries.
  • Plasma etching is a process in which a plasma generates reactive species that chemically etch material in direct proximity with the plasma.
  • Plasma etching is typically used to etch photoresists, silicon dioxide (SiO 2 ), silicon nitride (Si 3 N 4 ), aluminum, polysilicon and metal silicides.
  • the process is a kinetically-assisted chemical reaction.
  • Reactive ion etching is similar to plasma etching but only uses kinetically-assisted chemical etching.
  • Reactive ion beam etching separates the wafers from the plasma by a grid that accelerates the ions created in the plasma towards the wafer, raising the ion energy so that some etching is caused by physical reactions.
  • Sputter etching uses energetic ions from the plasma to physically wrench (sputter) atoms from the substrate surface without assistance by chemical reactions.
  • Ion milling is a purely mechanical etching method that uses a roughly collimated beam of energetic ions to erode a surface by bombardment. Ion milling advantageously can be applied at angles other than an angle perpendicular to the substrate wafer.
  • the etch rate achieved by the various etching techniques is widely variable depending on the characteristics of the material to be etched and etchant characteristics such as the selected chemical for chemical etching methods and the ion, energy and density of the etching ions for ion etching methods. Typical etch rates are in the range of 100 to 3000 ⁇ /min for most materials.
  • Some materials are not easily etched in a desired pattern using conventional etching methods.
  • various substrates resist etching using conventional patterned etching methods such as plasma etching.
  • Chemical etching is not easily performed due to the usage of toxic chemicals and the poor directional selectivity of chemical etchants.
  • chemical-mechanical processing of a patterned substrate is highly effective for selectively etching patterned portions of the substrate surface, producing deep narrow features with a rapid etch rate.
  • This chemical-mechanical processing is termed chemical-mechanical etching and produces a result that is substantially the opposite of the planarization that is achieved by conventional chemical-mechanical polishing (CMP).
  • CMP chemical-mechanical polishing
  • Chemical-mechanical etching is useful for patterned etching of substrate materials including, for example, silicon, silicon dioxide, silicon nitride, gallium arsenide, polyimide, photoresist, aluminum, tungsten, molybdenum, titanium, glass, and the like.
  • an etched substrate provided, the etched substrate being fabricated by a chemical-mechanical etching (CME) technique, by forming a patterned mask on the substrate surface prior to mechanical polishing.
  • CME chemical-mechanical etching
  • an etched substrate is provided by a process in which a coating inhibits the removal of the substrate in selectively patterned areas of a substrate, thereby creating a recess in substrate areas that are not protected by the coating.
  • an etched substrate is provided by a process in which the substrate is patterned with a diamond-like carbon (DLC) coating and etched using a chemical-mechanical etch (CME) process.
  • DLC diamond-like carbon
  • CME chemical-mechanical etch
  • CME chemical-mechanical etch
  • Another advantage is that the usage of toxic and dangerous chemicals is avoided, thereby lowering processing costs and improving safety.
  • FIGS. 1A through 1D are a sequence of cross-sectional views of a substrate workpiece depicting steps of a method of etching the substrate workpiece using a chemical-mechanical etch process in accordance with an embodiment of the present invention.
  • FIG. 2A is a two-dimensional top view of the substrate workpiece subsequent to the chemical-mechanical etch process described in FIGS. 1A through 1C.
  • FIG. 2B is a three-dimensional scanning interferometric microscope image of the substrate workpiece following application of the chemical-mechanical etch process described in FIGS. 1A through 1C.
  • FIG. 2C is a profile plot of the substrate workpiece subsequent to chemical-mechanical etch process described in FIGS. 1A through 1C.
  • FIGS. 1A through 1D a sequence of cross-sectional views of a substrate workpiece 100 depict steps of a method of etching the substrate workpiece 100 using a chemical-mechanical etch process.
  • FIG. 1A illustrates a substrate wafer 102 prior to processing.
  • the substrate wafer 102 has an outer surface 104 .
  • the substrate wafer 102 is to be etched to form a recessed surface 106 of the substrate wafer 102 with one or more structures 108 extending essentially to the outer surface 104 .
  • the dimensions of the structures 108 are selected according to the intended application of the processed substrate workpiece 100 .
  • the structures 108 may take the form of lenses, pads, rails, pillars, slider arms, support structures or many other various structures.
  • the substrate wafer 102 is composed of various substrate materials including, for example, silicon, silicon dioxide, silicon nitride, gallium arsenide, polyimide, photoresist, aluminum, tungsten, molybdenum, titanium, glass, and other suitable materials.
  • a protective layer 110 is formed overlying the outer surface 104 of the substrate workpiece 100 .
  • the protective layer 110 is deposited using plasma-enhanced chemical vapor deposition (PECVD) to deposit a silicon intermediate adhesion layer 114 overlying the substrate workpiece 100 .
  • PECVD plasma-enhanced chemical vapor deposition
  • a hard layer for example a layer of diamond-like carbon (DLC) 116 , is deposited on the substrate workpiece 100 overlying the silicon adhesion layer 114 .
  • the DLC layer 116 typically has a Knoop hardness ranging from approximately 700 Knoop to 2000 Knoop. In alternative embodiments, hard materials other than diamond-like carbon may be used which have a Knoop hardness in the range from approximately 700 Knoop to 3000 Knoop or more.
  • the DLC layer 116 is deposited via a chemical vapor deposition (CVD) process and patterned. More specifically, the DLC layer 116 and the silicon adhesion layer 114 are reactive ion etched.
  • CVD chemical vapor deposition
  • the silicon adhesion layer 114 is sputter deposited on an exposed surface of the substrate wafer 102 .
  • the silicon adhesion layer 114 enables the DLC layer 116 to adhere to the substrate wafer 102 .
  • the silicon adhesion layer 114 typically has a thickness in a range from approximately 400 ⁇ to approximately 1000 ⁇ . A suitable thickness is about 600 ⁇ .
  • the silicon adhesion layer 114 is sputter-cleaned prior to deposition of the DLC layer 116 . During sputter cleaning, approximately 200 ⁇ of the 600 ⁇ silicon adhesion layer 114 is removed. In one embodiment, the silicon adhesion layer 114 is sputter-cleaned using a SAMCO plasma machine, Model No. PD-200D (Plasma Enhanced CVD System for DLC Deposition and Etching), called a “plasma machine”. Sputter cleaning is performed with Argon in a plasma within the plasma machine vessel at a pressure of 70 mTorr with 180 watts RF input power at a frequency of 13.56 MHz. The flow rate of Argon is approximately 100 sccm. The substrate workpiece 100 is sputter-cleaned on a 6 inch diameter cathode (i.e. the energized electrode) of the SAMCO plasma machine, Model PD-200D, for approximately 3 to approximately 4 minutes.
  • a SAMCO plasma machine Model No. PD
  • a source of liquid hydrocarbon DLC source material is accessed.
  • one DLC source material that may be used is Part No. S-12 available from SAMCO, Sunnyvale, Calif.
  • the pressure within the vessel ranges from approximately 20 mTorr to approximately 25 mTorr at a flow rate of source material of approximately 25 cm 3 /min.
  • the substrate wafer 102 is held on a water-cooled cathode while in the plasma machine. Under these conditions, a DLC deposition rate of approximately 1000 ⁇ /min is obtained and maintained until the desired DLC thickness of approximately 5 ⁇ is attained.
  • the resulting DLC layer 116 has a Knoop hardness of approximately 800.
  • a DLC layer Knoop hardness of greater than 700 up to approximately 2000 Knoop produces an acceptably hard protective layer 110 for chemical-mechanical etching.
  • the DLC layer 116 is then reactive ion etched.
  • the substrate workpiece 100 Prior to exposing the substrate workpiece 100 to the reactive ion etch, the substrate workpiece 100 is covered with a layer of photoresist (not shown).
  • the photoresist layer is patterned to include unprotected open regions overlying portions of the substrate wafer 102 that are to be etched. In this manner, when the substrate workpiece is subjected to the reactive ion etch, the portions of DLC layer 116 overlying portions of the substrate wafer 102 to be etched are removed and the remaining portions of the DLC layer 116 are protected and remains as DLC layer 116 .
  • An alternative method to the above described photoresist masking approach to patterning the protective layer 110 is to cover the substrate wafer 102 with a metal layer constructed from a metal such as chromium.
  • a metal layer constructed from a metal such as chromium.
  • a relatively thin (for example, 500 ⁇ in thickness) photomask layer (not shown) of chromium is sputtered over the DLC layer 116 .
  • the metal photomask layer is photo-patterned and etched to expose DLC areas which are to be excavated by reactive ion etching.
  • the DLC layer is then reactive ion etched to the desired DLC structure.
  • DLC is advantageously used as a protective barrier in the protective layer 110 since DLC bonds well with various substrates, including examples of aluminum oxide (alumina), silicon dioxide, and glass, etch well in oxygen for removal of the DLC layer subsequent to etching.
  • substrates including examples of aluminum oxide (alumina), silicon dioxide, and glass, etch well in oxygen for removal of the DLC layer subsequent to etching.
  • hard materials other than DLC may be used as the protective barrier of the protective layer 110 .
  • a chemical-mechanical processing step is applied to the outer surface 104 of the substrate workpiece 100 to selectively etch the portions of the substrate workpiece 100 that are not protected by the protective layer 110 .
  • Chemical-mechanical etching utilizes application of polishing pad in a typically orbital or planetary motion to a stationary thin film substrate. The orbital or planetary motion is applied to the thin film substrate by a lapping surface of the polishing pad to etch the areas of the substrate wafer 102 that are not covered by the protective layer 110 .
  • CME processing involves application of a chemical slurry to the polishing pad and the thin film substrate workpiece to generate a chemical etching while the workpiece is mechanically contoured.
  • the slurry is a mixture of a chemical etchant and an abrasive compound.
  • the slurry and polishing motion of the polishing pad are applied to the thin film substrate workpiece, the workpiece is lapped by a lapping surface of the polishing pad.
  • the slurry contains chemical etchants that are nontoxic and benign so that disposal is inexpensive in contrast to conventional chemical etchants such as hydrochloric acid, which are unsafe and expensive to remove.
  • the protective layer 110 is removed by applying an etchant that preferentially etches the hard protective layer 110 .
  • the entire protective layer 110 is removed including the silicon layer 112 , the intermediate adhesion layer 114 , and the diamond-like carbon (DLC) layer 116 .
  • the DLC layer 116 alone may be removed.
  • HOC1, KOC1, KMgO 4 and CH 3 COOH.
  • the substrate wafer 102 is most commonly a substrate such as alumina or glass.
  • the substrate wafer 102 is selected from among silicon, silicon dioxide, silicon nitride, gallium arsenide, polyimide, photoresist, aluminum, tungsten, molybdenum, titanium, and other suitable materials.
  • Protective layer 110 shown in FIG. 1B is deposited including the DLC layer 116 having a thickness of approximately 3.5 ⁇ m.
  • the chemical-mechanical etch process is performed using an eighteen inch “soft” polishing pad and disk which is rotated at a rate of about 60 rpm.
  • the pressure applied to the substrate wafer 102 by the polishing pad is approximately 2.5 psi.
  • the soft polishing pad is flooded, or saturated, with Rodel R-94 slurry.
  • One example of a soft polishing pad is a Model 205 pad from Rodel Products Corp. of Scottsdale, Ariz.
  • the polishing pad and the slurry are selected to achieve a desired etching performance.
  • a soft polishing pad forms a deeper trench and a harder polishing pad forms a more shallow trench.
  • the substrate workpiece 100 is chemical-mechanical etched for a suitable time to etch a desired depth into the substrate wafer 102 .
  • the substrate wafer 102 is chemical-mechanical etched at a substantially linear rate of approximately 1 ⁇ m per minute.
  • FIGS. 2A, 2B, and 2 C are depictions of a substrate workpiece 200 , in particular a magnetic recording head slider, subsequent to CME processing respectively represented by a two-dimensional top view of the substrate workpiece 100 (FIG. 2A), a three-dimensional scanning electron micrograph (SEM) image (FIG. 2B), and a profile plot (FIG. 2C).
  • the substrate workpiece 200 is etched following application of a pattern defining two slider rails 202 and 204 arranged in substantially parallel lines and a pad 206 having a rectangular shape when viewed from the top view of the substrate workpiece 200 .
  • the pad 206 is positioned substantially along a center line equidistant between the two slider rails 202 and 204 .
  • the pad 206 and the two slider rails 202 and 204 are protected from etching by the protective layer 110 during etching so that trenches having a depth of approximately 15 ⁇ m are formed surrounding the pad 206 and two slider rails 202 and 204 .
  • a trench is formed in approximately 15 minutes.
  • the pad 206 and the two slider rails 202 and 204 form a coplanar surface.
  • Side walls 210 of the pad 206 and the two slider rails 202 and 204 are substantially vertical and a trench 212 that is formed using the CME processing has a highly uniform depth with the floor 214 of the trench 212 forming essentially a 90 degree angle with the side walls 210 of the pad 206 and the two slider rails 202 and 204 .
  • the slope of the side walls 210 and the uniformity of the trench depth are dependent upon characteristics of the CME operation including the type of slurry and hardness of the polishing pad.
  • FIG. 2B The three-dimensional interferometric microscope image shown in FIG. 2B illustrates the highly advantageous structure that is produced using the CME process. Essentially no undercutting of the DLC mask takes place. Thus the CME process forms a highly anisotropic etch so that the walls of an etched cut are substantially vertical.
  • a substrate may have a crystal axis with a defined orientation so that etching occurs preferentially depending on the orientation of the crystal. Properly orienting of the substrate is generally difficult or impossible.
  • Chemical-mechanical etching (CME) etches the substrate according to the arrangement of the DLC mask regardless of the orientation of the substrate crystal axis.
  • Chemical-mechanical etching is a process that is similar to conventional chemical-mechanical polishing (CMP) except that the CME process uses a patterned protective mask layer at the surface of the substrate to selectively protect regions of the substrate that are protected from etching.
  • CMP chemical-mechanical polishing
  • the result of the CME process is nearly the opposite of the result of CMP with the CME process forming a structure with high topographical variability and the CMP process forming a planar surface.
  • CMP chemical-mechanical polishing
  • CMP involves simultaneous chemically etching and mechanical polishing or grinding of a surface so that a combined chemical reaction and mechanical polishing removes a desired material from the substrate surface in a controlled manner.
  • the resulting structure is a planarized substrate surface with protruding surface topography leveled.
  • CMP is typically performed by polishing a substrate surface against a polishing pad that is wetted with a slurry including an acidic or basic solution, an abrasive agent and a suspension fluid.
  • the special CME processing that is used to precisely etch a substrate is different from a conventional CMP process on the basis that the CME process includes the formation of a patterned hard mask layer, such as a patterned DLC layer, on the surface of a substrate and the hard mask layer serves to protect patterned regions of the substrate while unprotected regions are etched.
  • the CME process is substantially the same as conventional CMP processing techniques that are otherwise used to planarize surface structures in intermediate steps of integrated circuit fabrication.
  • CME processing is selectively performed using a wide range of mechanical polishing techniques employing polishing pads ranging from hard pads to soft pads, various slurry materials, polishing speeds throughout the range of CMP processes, for example a speed of 50 or more revolutions per minute (rpm), corresponding to a linear speed of 25 inches per second (ips), or faster.
  • CME mechanical polishing is applied at a conventional range of pressures from a relatively high pressure to a relatively low pressure.
  • the procedure involves a mechanical polishing using a hard polishing pad applied at a high speed and low pressure of about 2 psi or less.
  • a typical speed and pressure of a conventional process is 60 rpm and 8 psi.
  • a hard polishing pad typically has a compressibility of less than about ten or twelve percent.
  • Conventional CMP processing procedure typically uses a slurry with an etchant that achieves etching through either chemical or physical reactions taking place between a plasma and the surface to be etched.
  • the special CME processing for etching an alumina or glass substrate using a DLC mask employs a compliant, or soft, polishing pad which develops an advantageous etch shape with substantially vertical structure walls and a substantially uniform trench depth.
  • a soft polishing pad is a Model 205 pad from Rodel Products Corp. of Scottsdale, Ariz. This soft polishing pad is constructed from napped poromeric synthetics and has a compressibility of from 20 to 38 percent.
  • a typical hard polishing pad is constructed from polyurethane impregnated polyester felts.
  • the mechanical etching of the special CME process also employs a soft polishing pad applied at a relatively low speed and a relatively high pressure to the thin film substrate surface.
  • the softness of the polishing pad is selected to determine the shape and contour of the etched substrate surface, specifically to achieve substantially vertical structure walls and a uniform trench depth.
  • the low speed of the special CME processing is typically on the order of 1 ⁇ 3 the speed of a conventional CMP process. For example, a typical low processing speed is approximately 10 RPM to 40 RPM, corresponding to a linear speed of 5 ips to 20 ips.
  • the relatively high pressure of the special CME processing is typically on the order of two to three times the pressure of a conventional CMP procedure. For example, a typical high processing pressure is approximately 2 psi to 10 psi.
  • the special CME processing employs either conventional orbital motion of the polishing pad or a rectilinear motion between the thin film substrate and the polishing pad table. Slurry may be applied either lightly for heavily in the special CME processing.
  • the CME process utilizes a mechanical action, which is generated by movement on a suitable surface, or “lap”.
  • the surface of a thin film substrate to be etched using CME is substantially saturated with a slurry that contains a chemical etchant.
  • the mechanical action etches the unprotected regions of the substrate while the protected regions remain, producing a structure with substantially vertical side walls and a highly uniform trench depth.
  • the material which is etched using the CME process is an alumina or glass material
  • other materials may be etched using the described CME process including silicon, silicon dioxide, silicon nitride, gallium arsenide, polyimide, photoresist, aluminum, tungsten, molybdenum, titanium, glass, and other suitable materials.
  • a protective structure of the mask material is described as being a diamond-like carbon (DLC) structure, other types of protective materials may be used.
  • polishing pad that is saturated with slurry.
  • various other amounts of slurry may be utilized including small amounts of slurry.
  • various hardnesses of polishing pads may be used ranging from hard pads to soft pads.
  • the illustrative method is shown applied to the fabrication of a magnetic thin film head slider structure, the method may be applied to a wide variety of structures.
  • One example is the fabrication of air bearings on an aluminum substrate.

Abstract

Etched substrate produced by chemical-mechanical processing of a patterned substrate which selectively etches patterned portions of the substrate surface, producing deep narrow features with a rapid etch rate. This chemical-mechanical processing is termed chemical-mechanical etching and produces a result that is substantially the opposite of the planarization that is achieved by conventional chemical-mechanical polishing (CMP). A chemical-mechanical polishing (CMP) technique which is widely used for planarization of surfaces is converted for usage as an etching technique, a chemical-mechanical etching (CME) technique, by forming a patterned mask on the substrate surface prior to mechanical polishing. The usage of chemical-mechanical polishing techniques in this manner yields an etching method with properties including a rapid etch rate, a highly controllable etch rate, a highly controllable etch depth, and a greatly selective etch directionality. A coating that inhibits the removal of the substrate material protects selectively patterned areas of a substrate, thereby creating a recess in substrate areas that are not protected by the coating.

Description

    CROSS REFERENCE TO RELATED APPLICATION
  • This application is a division of and claims priority from U.S. patent application Ser. No. 09/625,932, filed Jul. 26, 2000, entitled, “Chemical-Mechanical Etch (CME) Method For Patterned Etching Of A Substrate Surface”[0001]
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0002]
  • The present invention generally relates to a substrate wafer having a substantially uniform surface and a plurality of elevated structures on the surface of the substrate. [0003]
  • 2. Description of the Related Art [0004]
  • Various etching techniques are used in the fabrication of various microminiature structures and devices to form patterns in a substrate for many applications. An etching technique which is suitable for a particular purpose etches selected layers in a structure without damaging other layers and forms structures with a sufficient etch rate, etch rate selectivity and directional selectivity that a specified end product is produced efficiently. [0005]
  • A wet etching technique employs liquid chemicals, such as acids or corrosive materials, as an etching agent. The etching process proceeds through chemical reactions at the surface of the etched material and is limited by the rate of chemical reactions and the rate of removal of products of the chemical reaction. In some applications the wet etching process is electrically aided by connecting the structure to be etched either an anode or a cathode of an electrolytic cell. Unfortunately, wet etching has several disadvantages. Direction etch selectivity is typically very poor for wet etchants. One result of this poor direction etch sensitivity is a large line-width loss that precludes the usage of wet etching to form narrow lines that are common in many applications. Furthermore, the etch rate is only marginally controllable for many wet etchants. A further disadvantage is that wet etching requires the handling, use and disposal of highly toxic and corrosive chemicals, raising cost and safety concerns. [0006]
  • An alternative type of etching is dry etching, or plasma-assisted etching, which uses either chemical or physical reactions between a low-pressure plasma or glow discharge and the surface to be etched in a gas phase. Dry etching is a complex process with results that are greatly affected by small variations in process parameters. Dry etching typically is used to pattern smaller geometries than wet etching and has lateral etch rates that are small so that the etched pattern is highly controllable and smooth edge profiles are produced. Advantages of dry etching are a highly directional etch anisotropy and a facility to penetrate small photoresist apertures for etching small and intricate geometries. [0007]
  • Plasma etching is a process in which a plasma generates reactive species that chemically etch material in direct proximity with the plasma. Plasma etching is typically used to etch photoresists, silicon dioxide (SiO[0008] 2), silicon nitride (Si3N4), aluminum, polysilicon and metal silicides. If the chemical reactions are enhanced by the kinetic energy of the ions in the plasma, the process is a kinetically-assisted chemical reaction. Reactive ion etching is similar to plasma etching but only uses kinetically-assisted chemical etching. Reactive ion beam etching separates the wafers from the plasma by a grid that accelerates the ions created in the plasma towards the wafer, raising the ion energy so that some etching is caused by physical reactions.
  • Sputter etching uses energetic ions from the plasma to physically wrench (sputter) atoms from the substrate surface without assistance by chemical reactions. [0009]
  • Ion milling is a purely mechanical etching method that uses a roughly collimated beam of energetic ions to erode a surface by bombardment. Ion milling advantageously can be applied at angles other than an angle perpendicular to the substrate wafer. [0010]
  • The etch rate achieved by the various etching techniques is widely variable depending on the characteristics of the material to be etched and etchant characteristics such as the selected chemical for chemical etching methods and the ion, energy and density of the etching ions for ion etching methods. Typical etch rates are in the range of 100 to 3000 Å/min for most materials. [0011]
  • Some materials are not easily etched in a desired pattern using conventional etching methods. For example, various substrates resist etching using conventional patterned etching methods such as plasma etching. Chemical etching is not easily performed due to the usage of toxic chemicals and the poor directional selectivity of chemical etchants. [0012]
  • What is needed is a technique for etching hard materials that yields a rapid but controllable etch rate and directionality. What is also needed is an etching technique for hard materials that has high direction selectivity. [0013]
  • SUMMARY OF THE INVENTION
  • It has been discovered that chemical-mechanical processing of a patterned substrate is highly effective for selectively etching patterned portions of the substrate surface, producing deep narrow features with a rapid etch rate. This chemical-mechanical processing is termed chemical-mechanical etching and produces a result that is substantially the opposite of the planarization that is achieved by conventional chemical-mechanical polishing (CMP). Chemical-mechanical etching is useful for patterned etching of substrate materials including, for example, silicon, silicon dioxide, silicon nitride, gallium arsenide, polyimide, photoresist, aluminum, tungsten, molybdenum, titanium, glass, and the like. [0014]
  • In accordance with the present invention, an etched substrate provided, the etched substrate being fabricated by a chemical-mechanical etching (CME) technique, by forming a patterned mask on the substrate surface prior to mechanical polishing. The usage of chemical-mechanical polishing techniques in this manner yields a surprisingly effective etching method with highly desirable properties including a rapid etch rate, a highly controllable etch rate, a highly controllable etch depth, and a greatly selective etch directionality. [0015]
  • In accordance with an embodiment of the present invention, an etched substrate is provided by a process in which a coating inhibits the removal of the substrate in selectively patterned areas of a substrate, thereby creating a recess in substrate areas that are not protected by the coating. [0016]
  • In accordance with a specific embodiment of the present invention, an etched substrate is provided by a process in which the substrate is patterned with a diamond-like carbon (DLC) coating and etched using a chemical-mechanical etch (CME) process. The CME process forms deep narrow features having an angle essentially normal to the plane of the substrate surface. [0017]
  • Many advantages are achieved using the disclosed chemical-mechanical etch (CME) process. One advantage is that the CME process performs a highly anisotropic etch that forms sidewalls of an etched cut that are essentially vertical. Another advantage is that the CME process forms a highly controllable structure with substantially no undercutting of the mask. It is advantageous that the etch rate is relatively rapid (for example, approximately 1 μm per minute) so that processing throughput is facilitated, but also sufficiently linear and restrained so that precise control of the etch depth is facilitated. [0018]
  • Another advantage is that the usage of toxic and dangerous chemicals is avoided, thereby lowering processing costs and improving safety.[0019]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The features of the invention believed to be novel are specifically set forth in the appended claims. However, the invention itself, both as to its structure and method of operation, may best be understood by referring to the following description and accompanying drawings. [0020]
  • FIGS. 1A through 1D are a sequence of cross-sectional views of a substrate workpiece depicting steps of a method of etching the substrate workpiece using a chemical-mechanical etch process in accordance with an embodiment of the present invention. [0021]
  • FIG. 2A is a two-dimensional top view of the substrate workpiece subsequent to the chemical-mechanical etch process described in FIGS. 1A through 1C. [0022]
  • FIG. 2B is a three-dimensional scanning interferometric microscope image of the substrate workpiece following application of the chemical-mechanical etch process described in FIGS. 1A through 1C. [0023]
  • FIG. 2C is a profile plot of the substrate workpiece subsequent to chemical-mechanical etch process described in FIGS. 1A through 1C.[0024]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • Referring to FIGS. 1A through 1D, a sequence of cross-sectional views of a [0025] substrate workpiece 100 depict steps of a method of etching the substrate workpiece 100 using a chemical-mechanical etch process. FIG. 1A illustrates a substrate wafer 102 prior to processing. The substrate wafer 102 has an outer surface 104. The substrate wafer 102 is to be etched to form a recessed surface 106 of the substrate wafer 102 with one or more structures 108 extending essentially to the outer surface 104. The dimensions of the structures 108 are selected according to the intended application of the processed substrate workpiece 100. For example, in some embodiments, the structures 108 may take the form of lenses, pads, rails, pillars, slider arms, support structures or many other various structures. The substrate wafer 102 is composed of various substrate materials including, for example, silicon, silicon dioxide, silicon nitride, gallium arsenide, polyimide, photoresist, aluminum, tungsten, molybdenum, titanium, glass, and other suitable materials.
  • Referring to FIG. 1B, a [0026] protective layer 110 is formed overlying the outer surface 104 of the substrate workpiece 100. In one example, the protective layer 110 is deposited using plasma-enhanced chemical vapor deposition (PECVD) to deposit a silicon intermediate adhesion layer 114 overlying the substrate workpiece 100. A hard layer, for example a layer of diamond-like carbon (DLC) 116, is deposited on the substrate workpiece 100 overlying the silicon adhesion layer 114. The DLC layer 116 typically has a Knoop hardness ranging from approximately 700 Knoop to 2000 Knoop. In alternative embodiments, hard materials other than diamond-like carbon may be used which have a Knoop hardness in the range from approximately 700 Knoop to 3000 Knoop or more.
  • The [0027] DLC layer 116 is deposited via a chemical vapor deposition (CVD) process and patterned. More specifically, the DLC layer 116 and the silicon adhesion layer 114 are reactive ion etched.
  • The [0028] silicon adhesion layer 114 is sputter deposited on an exposed surface of the substrate wafer 102. The silicon adhesion layer 114 enables the DLC layer 116 to adhere to the substrate wafer 102. The silicon adhesion layer 114 typically has a thickness in a range from approximately 400 Å to approximately 1000 Å. A suitable thickness is about 600 Å.
  • The [0029] silicon adhesion layer 114 is sputter-cleaned prior to deposition of the DLC layer 116. During sputter cleaning, approximately 200 Å of the 600 Å silicon adhesion layer 114 is removed. In one embodiment, the silicon adhesion layer 114 is sputter-cleaned using a SAMCO plasma machine, Model No. PD-200D (Plasma Enhanced CVD System for DLC Deposition and Etching), called a “plasma machine”. Sputter cleaning is performed with Argon in a plasma within the plasma machine vessel at a pressure of 70 mTorr with 180 watts RF input power at a frequency of 13.56 MHz. The flow rate of Argon is approximately 100 sccm. The substrate workpiece 100 is sputter-cleaned on a 6 inch diameter cathode (i.e. the energized electrode) of the SAMCO plasma machine, Model PD-200D, for approximately 3 to approximately 4 minutes.
  • Following Argon plasma cleaning (sputter etching), input power to the plasma machine is reduced to 110-150 Watts to the same 6 inch cathode electrode. The Argon source is terminated and a source of liquid hydrocarbon DLC source material is accessed. For example, one DLC source material that may be used is Part No. S-12 available from SAMCO, Sunnyvale, Calif. The pressure within the vessel ranges from approximately 20 mTorr to approximately 25 mTorr at a flow rate of source material of approximately 25 cm[0030] 3/min. Although the temperature is not specifically controlled during the process, the substrate wafer 102 is held on a water-cooled cathode while in the plasma machine. Under these conditions, a DLC deposition rate of approximately 1000 Å/min is obtained and maintained until the desired DLC thickness of approximately 5μ is attained.
  • The resulting [0031] DLC layer 116 has a Knoop hardness of approximately 800. A DLC layer Knoop hardness of greater than 700 up to approximately 2000 Knoop produces an acceptably hard protective layer 110 for chemical-mechanical etching. The DLC layer 116 is then reactive ion etched.
  • Prior to exposing the [0032] substrate workpiece 100 to the reactive ion etch, the substrate workpiece 100 is covered with a layer of photoresist (not shown). The photoresist layer is patterned to include unprotected open regions overlying portions of the substrate wafer 102 that are to be etched. In this manner, when the substrate workpiece is subjected to the reactive ion etch, the portions of DLC layer 116 overlying portions of the substrate wafer 102 to be etched are removed and the remaining portions of the DLC layer 116 are protected and remains as DLC layer 116.
  • An alternative method to the above described photoresist masking approach to patterning the [0033] protective layer 110 is to cover the substrate wafer 102 with a metal layer constructed from a metal such as chromium. For example, a relatively thin (for example, 500 Å in thickness) photomask layer (not shown) of chromium is sputtered over the DLC layer 116. The metal photomask layer is photo-patterned and etched to expose DLC areas which are to be excavated by reactive ion etching. The DLC layer is then reactive ion etched to the desired DLC structure.
  • DLC is advantageously used as a protective barrier in the [0034] protective layer 110 since DLC bonds well with various substrates, including examples of aluminum oxide (alumina), silicon dioxide, and glass, etch well in oxygen for removal of the DLC layer subsequent to etching. In other embodiments, hard materials other than DLC may be used as the protective barrier of the protective layer 110.
  • Referring to FIG. 1C, a chemical-mechanical processing step is applied to the [0035] outer surface 104 of the substrate workpiece 100 to selectively etch the portions of the substrate workpiece 100 that are not protected by the protective layer 110. Chemical-mechanical etching (CME) utilizes application of polishing pad in a typically orbital or planetary motion to a stationary thin film substrate. The orbital or planetary motion is applied to the thin film substrate by a lapping surface of the polishing pad to etch the areas of the substrate wafer 102 that are not covered by the protective layer 110.
  • CME processing involves application of a chemical slurry to the polishing pad and the thin film substrate workpiece to generate a chemical etching while the workpiece is mechanically contoured. The slurry is a mixture of a chemical etchant and an abrasive compound. As the slurry and polishing motion of the polishing pad are applied to the thin film substrate workpiece, the workpiece is lapped by a lapping surface of the polishing pad. Typically, the slurry contains chemical etchants that are nontoxic and benign so that disposal is inexpensive in contrast to conventional chemical etchants such as hydrochloric acid, which are unsafe and expensive to remove. [0036]
  • Referring to FIG. 1D, following etching of the [0037] substrate wafer 102 the protective layer 110 is removed by applying an etchant that preferentially etches the hard protective layer 110. In some embodiments, the entire protective layer 110 is removed including the silicon layer 112, the intermediate adhesion layer 114, and the diamond-like carbon (DLC) layer 116. In other embodiments, the DLC layer 116 alone may be removed. An oxygen-rich liquid, such as hydrogen peroxide (H2O2), preferentially etches DLC. In other embodiments, other oxygen-rich etchants may be used including HOC1, KOC1, KMgO4, and CH3COOH.
  • Referring again to FIGS. 1A through 1D, a more specific embodiment of the method of etching the [0038] substrate workpiece 100 using a chemical-mechanical etch process is described. In the specific embodiment, the substrate wafer 102 is most commonly a substrate such as alumina or glass. In other examples, the substrate wafer 102 is selected from among silicon, silicon dioxide, silicon nitride, gallium arsenide, polyimide, photoresist, aluminum, tungsten, molybdenum, titanium, and other suitable materials. Protective layer 110 shown in FIG. 1B is deposited including the DLC layer 116 having a thickness of approximately 3.5 μm. The chemical-mechanical etch process is performed using an eighteen inch “soft” polishing pad and disk which is rotated at a rate of about 60 rpm. The pressure applied to the substrate wafer 102 by the polishing pad is approximately 2.5 psi. The soft polishing pad is flooded, or saturated, with Rodel R-94 slurry. One example of a soft polishing pad is a Model 205 pad from Rodel Products Corp. of Scottsdale, Ariz.
  • The polishing pad and the slurry are selected to achieve a desired etching performance. In general, a soft polishing pad forms a deeper trench and a harder polishing pad forms a more shallow trench. [0039]
  • The [0040] substrate workpiece 100 is chemical-mechanical etched for a suitable time to etch a desired depth into the substrate wafer 102. In the illustrative example, the substrate wafer 102 is chemical-mechanical etched at a substantially linear rate of approximately 1 μm per minute.
  • FIGS. 2A, 2B, and [0041] 2C are depictions of a substrate workpiece 200, in particular a magnetic recording head slider, subsequent to CME processing respectively represented by a two-dimensional top view of the substrate workpiece 100 (FIG. 2A), a three-dimensional scanning electron micrograph (SEM) image (FIG. 2B), and a profile plot (FIG. 2C). The substrate workpiece 200 is etched following application of a pattern defining two slider rails 202 and 204 arranged in substantially parallel lines and a pad 206 having a rectangular shape when viewed from the top view of the substrate workpiece 200. The pad 206 is positioned substantially along a center line equidistant between the two slider rails 202 and 204. The pad 206 and the two slider rails 202 and 204 are protected from etching by the protective layer 110 during etching so that trenches having a depth of approximately 15 μm are formed surrounding the pad 206 and two slider rails 202 and 204. At an etch rate of approximately 1 μm per minute, a trench is formed in approximately 15 minutes. At a top surface 208 of the substrate workpiece 200, the pad 206 and the two slider rails 202 and 204 form a coplanar surface. Side walls 210 of the pad 206 and the two slider rails 202 and 204 are substantially vertical and a trench 212 that is formed using the CME processing has a highly uniform depth with the floor 214 of the trench 212 forming essentially a 90 degree angle with the side walls 210 of the pad 206 and the two slider rails 202 and 204. The slope of the side walls 210 and the uniformity of the trench depth are dependent upon characteristics of the CME operation including the type of slurry and hardness of the polishing pad.
  • The three-dimensional interferometric microscope image shown in FIG. 2B illustrates the highly advantageous structure that is produced using the CME process. Essentially no undercutting of the DLC mask takes place. Thus the CME process forms a highly anisotropic etch so that the walls of an etched cut are substantially vertical. [0042]
  • In a conventional chemical etching process, a substrate may have a crystal axis with a defined orientation so that etching occurs preferentially depending on the orientation of the crystal. Properly orienting of the substrate is generally difficult or impossible. Chemical-mechanical etching (CME) etches the substrate according to the arrangement of the DLC mask regardless of the orientation of the substrate crystal axis. [0043]
  • Chemical-mechanical etching (CME) is a process that is similar to conventional chemical-mechanical polishing (CMP) except that the CME process uses a patterned protective mask layer at the surface of the substrate to selectively protect regions of the substrate that are protected from etching. The result of the CME process is nearly the opposite of the result of CMP with the CME process forming a structure with high topographical variability and the CMP process forming a planar surface. [0044]
  • Conventional chemical-mechanical polishing (CMP) is a known technique for planarizing various structures on a thin film substrate. CMP is conventionally used to create a smooth, planar surface for intermediate processing steps of a thin film fabrication process. Specifically, various layers such as metallization layers are deposited and etched during the fabrication of thin film devices on a substrate. These layers are commonly subjected to CMP so that planar deposition of additional layers is achieved. CMP processing not only is used to planarize protruding surfaces, but also to remove undesirable residues that remain from other substrate processing steps. [0045]
  • CMP involves simultaneous chemically etching and mechanical polishing or grinding of a surface so that a combined chemical reaction and mechanical polishing removes a desired material from the substrate surface in a controlled manner. The resulting structure is a planarized substrate surface with protruding surface topography leveled. CMP is typically performed by polishing a substrate surface against a polishing pad that is wetted with a slurry including an acidic or basic solution, an abrasive agent and a suspension fluid. [0046]
  • The special CME processing that is used to precisely etch a substrate is different from a conventional CMP process on the basis that the CME process includes the formation of a patterned hard mask layer, such as a patterned DLC layer, on the surface of a substrate and the hard mask layer serves to protect patterned regions of the substrate while unprotected regions are etched. Once the hard mask layer is formed on the substrate, the CME process is substantially the same as conventional CMP processing techniques that are otherwise used to planarize surface structures in intermediate steps of integrated circuit fabrication. CME processing is selectively performed using a wide range of mechanical polishing techniques employing polishing pads ranging from hard pads to soft pads, various slurry materials, polishing speeds throughout the range of CMP processes, for example a speed of 50 or more revolutions per minute (rpm), corresponding to a linear speed of 25 inches per second (ips), or faster. CME mechanical polishing is applied at a conventional range of pressures from a relatively high pressure to a relatively low pressure. In one example, the procedure involves a mechanical polishing using a hard polishing pad applied at a high speed and low pressure of about 2 psi or less. A typical speed and pressure of a conventional process is 60 rpm and 8 psi. A hard polishing pad typically has a compressibility of less than about ten or twelve percent. Conventional CMP processing procedure typically uses a slurry with an etchant that achieves etching through either chemical or physical reactions taking place between a plasma and the surface to be etched. [0047]
  • In one example, the special CME processing for etching an alumina or glass substrate using a DLC mask employs a compliant, or soft, polishing pad which develops an advantageous etch shape with substantially vertical structure walls and a substantially uniform trench depth. One example of a soft polishing pad is a Model 205 pad from Rodel Products Corp. of Scottsdale, Ariz. This soft polishing pad is constructed from napped poromeric synthetics and has a compressibility of from 20 to 38 percent. In contrast, a typical hard polishing pad is constructed from polyurethane impregnated polyester felts. The mechanical etching of the special CME process also employs a soft polishing pad applied at a relatively low speed and a relatively high pressure to the thin film substrate surface. The softness of the polishing pad is selected to determine the shape and contour of the etched substrate surface, specifically to achieve substantially vertical structure walls and a uniform trench depth. The low speed of the special CME processing is typically on the order of ⅓ the speed of a conventional CMP process. For example, a typical low processing speed is approximately 10 RPM to 40 RPM, corresponding to a linear speed of 5 ips to 20 ips. The relatively high pressure of the special CME processing is typically on the order of two to three times the pressure of a conventional CMP procedure. For example, a typical high processing pressure is approximately 2 psi to 10 psi. The special CME processing employs either conventional orbital motion of the polishing pad or a rectilinear motion between the thin film substrate and the polishing pad table. Slurry may be applied either lightly for heavily in the special CME processing. [0048]
  • The CME process utilizes a mechanical action, which is generated by movement on a suitable surface, or “lap”. The surface of a thin film substrate to be etched using CME is substantially saturated with a slurry that contains a chemical etchant. The mechanical action etches the unprotected regions of the substrate while the protected regions remain, producing a structure with substantially vertical side walls and a highly uniform trench depth. [0049]
  • While the invention has been described with reference to various embodiments, it will be understood that these embodiments are illustrative and that the scope of the invention is not limited to them. Many variations, modifications, additions and improvements of the embodiments described are possible. For example, although the material which is etched using the CME process is an alumina or glass material, other materials may be etched using the described CME process including silicon, silicon dioxide, silicon nitride, gallium arsenide, polyimide, photoresist, aluminum, tungsten, molybdenum, titanium, glass, and other suitable materials. Also, although a protective structure of the mask material is described as being a diamond-like carbon (DLC) structure, other types of protective materials may be used. Furthermore, the CME process is described using a polishing pad that is saturated with slurry. In other embodiments, various other amounts of slurry may be utilized including small amounts of slurry. In addition, various hardnesses of polishing pads may be used ranging from hard pads to soft pads. [0050]
  • Although the illustrative method is shown applied to the fabrication of a magnetic thin film head slider structure, the method may be applied to a wide variety of structures. One example is the fabrication of air bearings on an aluminum substrate. [0051]

Claims (25)

What is claimed is:
1. An etched substrate comprising:
a glass substrate wafer having a substantially uniform surface; and
a plurality of elevated structures on the surface of the glass substrate wafer, the elevated structures having side walls substantially perpendicular to the substantially uniform surface of the glass substrate wafer.
2. An etched substrate comprising:
an alumina substrate wafer having a substantially uniform surface; and
a plurality of elevated structures on the surface of the alumina substrate wafer, the elevated structures having side walls substantially perpendicular to the substantially uniform surface of the alumina substrate wafer.
3. An etched substrate comprising:
a substrate wafer selected from the group consisting of silicon, silicon dioxide, silicon nitride, gallium arsenide, polyimide, photoresist, aluminum, tungsten, molybdenum, and titanium having a substantially uniform surface; and
a plurality of elevated structures on the surface of the substrate wafer, the elevated structures having sidewalls substantially perpendicular to the substantially uniform surface of the substrate wafer.
4. An etched substrate fabricated by a process comprising:
forming a patterned protective layer composed of a hard material on a surface of a substrate; and
chemical-mechanical etching (CME) the substrate using a chemical-mechanical polishing technique to form an etched substrate structure having elevated structures in regions of the substrate that are protected by the patterned protective layer and having trenches in regions of the substrate that are not protected by the patterned protective layer.
5. The etched substrate of claim 4, wherein:
the forming step includes the step of forming a patterned protective layer composed of a hard material is composed of diamond-like carbon (DLC).
6. The etched substrate of claim 5, wherein:
the forming step includes the step of forming the diamond-like carbon (DLC) patterned protective layer with a Knoop hardness in a range from approximately 700 to approximately 2000.
7. The etched substrate of claim 4, wherein:
chemical-mechanical etching (CME) the substrate so that the elevated structures have substantially vertical side walls.
8. The etched substrate of claim 4, wherein the process further comprises:
chemical-mechanical etching (CME) the substrate so that the trench structures have a substantially uniform depth.
9. The etched substrate of claim 4, wherein the process further comprises:
providing a glass substrate; wherein
the forming step includes the step of forming, a patterned protective layer composed of a hard material is composed of diamond-like carbon (DLC).
10. The etched substrate of claim 4, wherein the process further comprises:
providing The alumina substrate; wherein
the forming step includes the step of forming a patterned protective layer composed of a hard material is composed of diamond-like carbon (DLC).
11. The etched substrate of claim 4, wherein the process further comprises:
providing a substrate selected from the group consisting of silicon, silicon dioxide, silicon nitride, gallium arsenide, polyimide, photoresist, aluminum, tungsten, molybdenum, and titanium; wherein
the forming step includes the step of forming a patterned protective layer composed of a hard material is composed of diamond-like carbon (DLC).
12. The etched substrate of claim 4, wherein the forming step includes:
depositing a silicon adhesion layer on a surface of the substrate; and
depositing a patterned protective layer composed of diamond-like carbon (DLC).
13. The etched substrate of claim 4, wherein:
the CME step includes the step of contouring the thin film substrate using a orbital, planetary motion.
14. The etched substrate of claim 4, wherein:
the CME step includes the step of contouring the thin film substrate using a rectilinear motion.
15. The etched substrate of claim 4, wherein the process further comprises:
patterning the patterned protective layer using a reactive ion etch process.
16. An etched substrate fabricated by a process comprising:
providing a substrate wafer having a surface;
forming a hard patterned protective layer on a surface of a substrate to form protected regions and unprotected regions of the substrate surface; and
chemical-mechanical polishing (CMP) the substrate, the chemical-mechanical polishing step etching the substrate in unprotected regions to form trenches adjacent to the protected regions of the substrate surface.
17. The etched substrate according to claim 16, wherein: the elevated structures have substantially vertical side walls.
18. The etched substrate according to claim 16, wherein: the trench structures have a substantially uniform depth.
19. The etched substrate according to claim 16, wherein:
the forming step includes the step of forming a patterned protective layer composed of a hard material is composed of diamond-like carbon (DLC).
20. The etched substrate according to claim 19, wherein:
the forming step includes the step of forming the diamond-like carbon (DLC) patterned protective layer with a Knoop hardness in a range from approximately 700 to approximately 2000.
21. The etched substrate according to claim 16, wherein the substrate is fabricated by the process further comprising:
providing a glass substrate; and wherein
the forming step includes the step of forming a patterned protective layer composed of a hard material is composed of diamond-like carbon (DLC).
22. The etched substrate according to claim 16, wherein the substrate is fabricated by the process further comprising:
providing an alumina substrate; and wherein
the forming step includes the step of forming a patterned protective layer composed of a hard material is composed of diamond-like carbon (DLC).
23. The etched substrate according to claim 16, wherein the substrate is fabricated by the process further comprising:
providing a substrate selected from the group consisting of silicon, silicon dioxide, silicon nitride, gallium arsenide, polyimide, photoresist, aluminum, tungsten, molybdenum, and titanium; wherein
the forming step includes the step of forming a patterned protective layer composed of a hard material is composed of diamond-like carbon (DLC).
24. The etched substrate according to claim 16, where the forming step includes:
depositing a silicon adhesion layer on a surface of the substrate; and
depositing a patterned protective layer composed of diamond-like carbon (DLC).
25. The etched substrate according to claim 4, wherein the patterned protective layer is patterned using a reactive ion etch process.
US10/155,755 2000-07-26 2002-05-24 Etched substrate Abandoned US20020137344A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/155,755 US20020137344A1 (en) 2000-07-26 2002-05-24 Etched substrate

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/625,932 US6417109B1 (en) 2000-07-26 2000-07-26 Chemical-mechanical etch (CME) method for patterned etching of a substrate surface
US10/155,755 US20020137344A1 (en) 2000-07-26 2002-05-24 Etched substrate

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/625,932 Division US6417109B1 (en) 2000-07-26 2000-07-26 Chemical-mechanical etch (CME) method for patterned etching of a substrate surface

Publications (1)

Publication Number Publication Date
US20020137344A1 true US20020137344A1 (en) 2002-09-26

Family

ID=24508231

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/625,932 Expired - Fee Related US6417109B1 (en) 2000-07-26 2000-07-26 Chemical-mechanical etch (CME) method for patterned etching of a substrate surface
US10/155,755 Abandoned US20020137344A1 (en) 2000-07-26 2002-05-24 Etched substrate

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/625,932 Expired - Fee Related US6417109B1 (en) 2000-07-26 2000-07-26 Chemical-mechanical etch (CME) method for patterned etching of a substrate surface

Country Status (1)

Country Link
US (2) US6417109B1 (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060003182A1 (en) * 2004-07-01 2006-01-05 Lane Richard H Method for forming controlled geometry hardmasks including subresolution elements and resulting structures
US20080308911A1 (en) * 2007-06-12 2008-12-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US20160276169A1 (en) * 2014-05-13 2016-09-22 Min Zhong Method of forming copper interconnects
JP2019519457A (en) * 2016-06-01 2019-07-11 コーニング インコーポレイテッド Articles and methods for forming vias in a substrate
US11062986B2 (en) 2017-05-25 2021-07-13 Corning Incorporated Articles having vias with geometry attributes and methods for fabricating the same
US11078112B2 (en) 2017-05-25 2021-08-03 Corning Incorporated Silica-containing substrates with vias having an axially variable sidewall taper and methods for forming the same
US11554984B2 (en) 2018-02-22 2023-01-17 Corning Incorporated Alkali-free borosilicate glasses with low post-HF etch roughness
US11774233B2 (en) 2016-06-29 2023-10-03 Corning Incorporated Method and system for measuring geometric parameters of through holes
EP4235751A3 (en) * 2014-12-01 2023-11-15 The Boeing Company Removal of defects by in-situ etching during chemical-mechanical polishing processing

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6776917B2 (en) * 2001-01-03 2004-08-17 International Business Machines Corporation Chemical mechanical polishing thickness control in magnetic head fabrication
US7139081B2 (en) * 2002-09-09 2006-11-21 Zygo Corporation Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
US7869057B2 (en) 2002-09-09 2011-01-11 Zygo Corporation Multiple-angle multiple-wavelength interferometer using high-NA imaging and spectral analysis
US7324214B2 (en) 2003-03-06 2008-01-29 Zygo Corporation Interferometer and method for measuring characteristics of optically unresolved surface features
US7106454B2 (en) 2003-03-06 2006-09-12 Zygo Corporation Profiling complex surface structures using scanning interferometry
US7754552B2 (en) * 2003-07-29 2010-07-13 Intel Corporation Preventing silicide formation at the gate electrode in a replacement metal gate technology
KR101185473B1 (en) * 2003-09-15 2012-10-02 지고 코포레이션 Interferometric analysis of surfaces
US20050244756A1 (en) * 2004-04-30 2005-11-03 Clarner Mark A Etch rate control
US8815060B2 (en) * 2004-08-30 2014-08-26 HGST Netherlands B.V. Method for minimizing magnetically dead interfacial layer during COC process
TWI409451B (en) 2005-01-20 2013-09-21 Zygo Corp Interferometry system, interferometry apparatus, and interferometry systemmethod for determining characteristics of an object surface
US7884947B2 (en) 2005-01-20 2011-02-08 Zygo Corporation Interferometry for determining characteristics of an object surface, with spatially coherent illumination
WO2007044786A2 (en) * 2005-10-11 2007-04-19 Zygo Corporation Interferometry method and system including spectral decomposition
US20070204957A1 (en) * 2006-03-01 2007-09-06 Braymen Steven D Plasma processing of large workpieces
US20070262051A1 (en) 2006-05-12 2007-11-15 Advanced Chip Engineering Technology Inc. Method of plasma etching with pattern mask
WO2008011510A2 (en) * 2006-07-21 2008-01-24 Zygo Corporation Compensation of systematic effects in low coherence interferometry
WO2008080127A2 (en) 2006-12-22 2008-07-03 Zygo Corporation Apparatus and method for measuring characteristics of surface features
US7889355B2 (en) 2007-01-31 2011-02-15 Zygo Corporation Interferometry for lateral metrology
US7619746B2 (en) 2007-07-19 2009-11-17 Zygo Corporation Generating model signals for interferometry
US8072611B2 (en) 2007-10-12 2011-12-06 Zygo Corporation Interferometric analysis of under-resolved features
JP5222954B2 (en) 2007-11-13 2013-06-26 ザイゴ コーポレーション Interferometer using polarization scan
EP2232195B1 (en) 2007-12-14 2015-03-18 Zygo Corporation Analyzing surface structure using scanning interferometry
US8120781B2 (en) 2008-11-26 2012-02-21 Zygo Corporation Interferometric systems and methods featuring spectral analysis of unevenly sampled data
WO2017155969A1 (en) * 2016-03-09 2017-09-14 Applied Materials, Inc. Pad structure and fabrication methods

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5665201A (en) * 1995-06-06 1997-09-09 Advanced Micro Devices, Inc. High removal rate chemical-mechanical polishing
US5926722A (en) * 1997-04-07 1999-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Planarization of shallow trench isolation by differential etchback and chemical mechanical polishing
US5786262A (en) * 1997-04-09 1998-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Self-planarized gapfilling for shallow trench isolation
JP3259704B2 (en) * 1998-12-30 2002-02-25 日本電気株式会社 Method for manufacturing semiconductor device

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060003182A1 (en) * 2004-07-01 2006-01-05 Lane Richard H Method for forming controlled geometry hardmasks including subresolution elements and resulting structures
US7473644B2 (en) * 2004-07-01 2009-01-06 Micron Technology, Inc. Method for forming controlled geometry hardmasks including subresolution elements
US20080308911A1 (en) * 2007-06-12 2008-12-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US8420456B2 (en) * 2007-06-12 2013-04-16 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing for thin film transistor
US8921902B2 (en) 2007-06-12 2014-12-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US10008391B2 (en) * 2014-05-13 2018-06-26 Shanghai Ic R&D Center Co., Ltd Method of forming copper interconnects
US20160276169A1 (en) * 2014-05-13 2016-09-22 Min Zhong Method of forming copper interconnects
EP4235751A3 (en) * 2014-12-01 2023-11-15 The Boeing Company Removal of defects by in-situ etching during chemical-mechanical polishing processing
JP2019519457A (en) * 2016-06-01 2019-07-11 コーニング インコーポレイテッド Articles and methods for forming vias in a substrate
US11114309B2 (en) 2016-06-01 2021-09-07 Corning Incorporated Articles and methods of forming vias in substrates
US11774233B2 (en) 2016-06-29 2023-10-03 Corning Incorporated Method and system for measuring geometric parameters of through holes
US11062986B2 (en) 2017-05-25 2021-07-13 Corning Incorporated Articles having vias with geometry attributes and methods for fabricating the same
US11078112B2 (en) 2017-05-25 2021-08-03 Corning Incorporated Silica-containing substrates with vias having an axially variable sidewall taper and methods for forming the same
US11554984B2 (en) 2018-02-22 2023-01-17 Corning Incorporated Alkali-free borosilicate glasses with low post-HF etch roughness

Also Published As

Publication number Publication date
US6417109B1 (en) 2002-07-09

Similar Documents

Publication Publication Date Title
US6417109B1 (en) Chemical-mechanical etch (CME) method for patterned etching of a substrate surface
US5798302A (en) Low friction polish-stop stratum for endpointing chemical-mechanical planarization processing of semiconductor wafers
US5795495A (en) Method of chemical mechanical polishing for dielectric layers
KR100348097B1 (en) A method of polishing a surface of a substrate, a method of manufacturing a semiconductor device, and an apparatus of manufacturing the same
US6555476B1 (en) Silicon carbide as a stop layer in chemical mechanical polishing for isolation dielectric
US6326298B1 (en) Substantially planar semiconductor topography using dielectrics and chemical mechanical polish
US6858537B2 (en) Process for smoothing a rough surface on a substrate by dry etching
EP0926715B1 (en) Chemical mechanical polishing for isolation dielectric planarization
JPH1092798A (en) Etching of single crystalline silicon
US20020048959A1 (en) Diamond as a polish-stop layer for chemical-mechanical planarization in a damascene process flow
JPH081899B2 (en) Method for planarizing semiconductor device
US6261957B1 (en) Self-planarized gap-filling by HDPCVD for shallow trench isolation
KR100552435B1 (en) Planarization process to achieve improved uniformity across semiconductor wafers
JP4540847B2 (en) Semiconductor device planarization method using high-density plasma system
US5783488A (en) Optimized underlayer structures for maintaining chemical mechanical polishing removal rates
US5940956A (en) Chemical-mechanical contouring (CMC) method for forming a contoured surface
JP3054127B2 (en) Method for performing planarization etching and notch etching
US20060261041A1 (en) Method for manufacturing metal line contact plug of semiconductor device
US20080220585A1 (en) Method of manufacturing a semiconductor device
KR20030044205A (en) Method and apparatus for fabricating semiconductor
CN107731678B (en) Method for manufacturing three-dimensional memory
US6254719B1 (en) Method for controlled removal of material from a solid surface
US6562251B1 (en) Chemical-mechanical contouring (CMC) method for forming a contoured surface using a stair-step etch
JP2004228519A (en) Semiconductor device, and its manufacturing method
JPH0677177A (en) Method and apparatus for dry etching

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION