US20020160600A1 - Method of fabricating low-dielectric constant interlevel dielectric films for BEOL interconnects with enhanced adhesion and low-defect density - Google Patents

Method of fabricating low-dielectric constant interlevel dielectric films for BEOL interconnects with enhanced adhesion and low-defect density Download PDF

Info

Publication number
US20020160600A1
US20020160600A1 US09/789,422 US78942201A US2002160600A1 US 20020160600 A1 US20020160600 A1 US 20020160600A1 US 78942201 A US78942201 A US 78942201A US 2002160600 A1 US2002160600 A1 US 2002160600A1
Authority
US
United States
Prior art keywords
silane
coupling agent
substrate
dielectric
low
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/789,422
Other versions
US6455443B1 (en
Inventor
Andrew Eckert
John Hay
Jeffrey Hedrick
Kang-Wook Lee
Eric Liniger
Eva Simonyi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US09/789,422 priority Critical patent/US6455443B1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ECKERT, ANDREW ROBERT, HAY, JOHN C., HEDRICK, JEFFREY CURTIS, LEE, KANG-WOOK, LINIGER, ERIC GERHARD, SIMONYI, EVA ERIKA
Priority to CNB028063457A priority patent/CN1251312C/en
Priority to JP2002568408A priority patent/JP3759108B2/en
Priority to AT02721047T priority patent/ATE333144T1/en
Priority to EP02721047A priority patent/EP1390972B1/en
Priority to ES02721047T priority patent/ES2262797T3/en
Priority to DE60213086T priority patent/DE60213086T2/en
Priority to KR20037009637A priority patent/KR100516534B1/en
Priority to PCT/US2002/004879 priority patent/WO2002069381A2/en
Priority to TW091103031A priority patent/TW561551B/en
Application granted granted Critical
Publication of US6455443B1 publication Critical patent/US6455443B1/en
Publication of US20020160600A1 publication Critical patent/US20020160600A1/en
Priority to IN1322DE2003 priority patent/IN2003DE01322A/en
Priority to IL157506A priority patent/IL157506A/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • H01L21/3124Layers comprising organo-silicon compounds layers comprising polysiloxane compounds layers comprising hydrogen silsesquioxane

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)
  • Production Of Multi-Layered Print Wiring Board (AREA)
  • Laminated Bodies (AREA)

Abstract

A substantially defect-free, low-k dielectric film having improved adhesion is provided by (a) applying a silane coupling agent containing at least one polymerizable group to a surface of a substrate so as to provide a substantially uniform coating of said silane-coupling agent on said substrate; (b) heating the substrate containing the coating of the silane-coupling agent at a temperature of about 90° C. or above so as to provide a surface containing Si—O bonds; (c) rinsing the heated substrate with a suitable solvent that is effective in removing any residual silane-coupling agent; and (d) applying a dielectric material to the rinsed surface containing the Si—O bonds.

Description

    DESCRIPTION
  • 1. Field of the Invention [0001]
  • The present invention relates to integrated circuits (ICs), and more particular to a method of fabricating an IC which includes at least a low-dielectric constant, k, interlevel dielectric film having improved adhesion, low-defect density and enhanced electrical properties associated therewith. [0002]
  • 2. Background of the Invention [0003]
  • The semiconductor industries drive to continually improve density and performance has forced the use of advanced interconnect structures. For example, copper, Cu, has been introduced as a wiring technology for 0.22 μm generation and below products, and by the 0.13 μm generation, it is expected that low-k dielectrics (materials that have a relative dielectric constant of 3.8 or below) will be combined with copper interconnects to further improve performance. In the case of metallization, the choice of the new wiring material is reasonably straight forward, but the choice of the intermetal dielectric (IMD) is not as clear. Many new low-k dielectrics which are based on spin-on organic or glass materials have become recently available to the semiconductor industry. Extensive characterization and integration efforts are however needed to select appropriate candidates and then incorporate these materials into semiconductor products. [0004]
  • During the material selection process for IMD, emphasis is often placed on the electrical and chemical properties of the material. For example, an IMD for advanced interconnect applications must exhibit a low-dielectric constant, low-leakage, high-breakdown strength and good thermal stability at typical processing temperatures. [0005]
  • Although there maybe great emphasis on these properties during the initial evaluation process, mechanical properties and manufacturability issues play a large role, perhaps even a dominant role, in the selection of a dielectric for use in semiconductor manufacturing. For example, mechanical properties such as chemical-mechanical polishing (CMP) and packaging operations may damage soft dielectric structures; therefore the mechanical properties and manufacturability must also be taken into careful consideration when selecting an IMD. [0006]
  • Moreover, for many low-dielectric constant materials, an adhesion promoter is typically needed to ensure efficient adhesion of the low-k dielectric to the substrate. This in itself is a concern since many of the presently available low-k dielectrics such as spin-on organic or glass materials are extremely sensitive to defects caused by particle contamination which, in turn, may cause the dielectric to breakdown under bias at low-electrical fields. As such, typical adhesion promoters known in the art cannot be employed for low-k dielectrics. U.S. Pat. Nos. 4,950,583 and 4,732,858 to Brewer, et al. describe an adhesion promoting product and process for treating an integrated substrate. Specifically, Brewer, et al. describe the use of alkoxysilane to improve the adhesion of a photoresist to a substrate as well as adding an activating catalyst to the alkoxysilane to enhance bonding at the interface. Further, Brewer, et al. describe the addition of an adjuvant polymer, such as methyl cellulose, to the alkoxysilane to enhance bonding to interfaces. In some examples, heating of the adhesion promoter (110-140° C. for 15-30 minutes) to improve adhesion is recommended. [0007]
  • The current invention describes a process to adhere an IMD to a substrate or interconnect layer to produce excellent adhesion after repeated thermal cycles while maintaining the electrical properties of the IMD (i.e., defect free films). The process entails spin applying the adhesion promoter, baking to promote reaction, rinsing with a solvent to remove the unreacted adhesion promoter (to prevent the formation of particulate defects in the subsequent IMD layer) followed by coating of the IMD layer. The process described by Brewer, et al. would result in a high concentration of particulate defects in the IMD rendering it unreliability and ineffective as an insulator. [0008]
  • U.S. Pat. No. 5,760,480 to You, et al. describe the use of a silane-based adhesion promoter which can be applied between the metal and dielectric layer or which can be incorporated in the dielectric layer. The current invention, as mentioned above, describes a process to adhere an IMD to a substrate or interconnect layer to produce excellent adhesion after repeated thermal cycles while maintaining the electrical properties of the IMD (i.e., defect free films). You, et al. do not describe a process or procedure to effectively utilize an adhesion promoter with a spin-on dielectric insulator. [0009]
  • In view of the drawbacks mentioned hereinabove concerning low-k dielectrics, there is a need for developing a method in which a low-k dielectric can be used in back-end of the line (BEOL) processing in which the low-k dielectric has improved adhesion, low-defect density and good electrical properties. [0010]
  • SUMMARY OF THE INVENTION
  • The present invention is directed to a method of fabricating an IC which includes at least a low-k dielectric material in contact with a substrate, wherein the low-k dielectric exhibits improved adhesion to the substrate and is substantially-free of defects. Such an IC can be obtained in the present invention by utilizing processing steps which include application of a high-concentration of a silane-coupling agent to a substrate, as well as a heating step and a rinsing step. It has been unexpectedly determined that each of these processing steps, which will be defined in more detail below, are essential in providing an IC which includes a substantially defect-free, low-k dielectric that has improved adhesion properties as well as good electrical properties. Specifically, the processing steps of the present invention comprise: [0011]
  • (a) applying a silane-coupling agent containing at least one polymerizable group to a surface of a substrate so as to provide a substantially uniform coating of said silane-coupling agent on said substrate; [0012]
  • (b) heating said substrate containing said coating of said silane-coupling agent at a temperature of about 90° C. or above so as to provide a surface layer on said substrate containing Si—O bonds; [0013]
  • (c) rinsing said heated substrate with a suitable solvent that is effective in removing any residual unreacted silane-coupling agent; and [0014]
  • (d) applying a dielectric material to said rinsed surface containing said Si—O bonds. [0015]
  • The silane-coupling agent employed in the present invention serves as an adhesion promoter for the dielectric material without causing any significant formation of defects therein. Moreover, by employing the silane-coupling agent of the present invention, it is possible to apply a low-k dielectric having a relative dielectric constant of about 3.8 or below in step (d) above. This allows for the formation of an interconnect structure having a substantially defect-free, low-k dielectric as an interlayer or intralayer dielectric.[0016]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. [0017] 1-4 are pictorial views illustrating the basic processing steps that are employed in the present invention in forming an IC which includes a substantially defect-free, low-k dielectric that has improved adhesive properties associated therewith.
  • DETAILED DESCRIPTION OF THE INVENTION
  • The present invention will now be described in more detail by referring to the drawings that accompany the present application. It is noted that in the accompanying drawings like reference numerals are used for describing like and/or corresponding elements. [0018]
  • Reference is first made to FIG. 1 which illustrates a structure that is formed after conducting the first step of the present invention, i.e., after applying a silane-coupling agent onto a surface of a substrate. [0019]
  • Specifically, the structure shown in FIG. 1 comprises [0020] substrate 10 having coating 12 comprised of a silane-coupling agent formed thereon.
  • [0021] Substrate 10 which is employed in the present invention may be a Si-containing semiconductor material such as Si, SiGe, and silicon-on insulators; a conductive metal such as Cu, Al, W, Pt, Ag, Au and alloys or multilayers thereof; a copper barrier material such as silicon nitride or an amorphous silicon carbide material which may (or may not) also contain nitrogen; or one of the interconnect levels of an interconnect structure. When substrate 10 is an interconnect level, the substrate may be composed of any conventional inorganic, e.g., SiO2 or perovskite-type oxide, or organic, e.g., polyimide, dielectric material and it may contain conductive metal lines or vias therein. For clarity, substrate 10 does not show the presence of the conductive metal lines or vias, but nevertheless, the substrate may contain the same.
  • When [0022] substrate 10 is an interconnect level of an IC structure, it is fabricated using conventional techniques, including damascene, dual damascene and non-damascene such as metal etch processes that are well known to those skilled in the art. Since the fabrication of interconnect structures is well known and is not critical to the present invention, a detail discussion regarding the same is not provided herein.
  • The term “silane-coupling” agent is used herein to denote any silane-containing material having at least one polymerizable group therein which can serve as an adhesion promoter for a subsequent low-k dielectric material. Specifically, the silane-coupling agent employed in the present invention is an alkoxysilane that has the following formula: [0023]
    Figure US20020160600A1-20021031-C00001
  • wherein X is a polymerizable group capable of undergoing a Diels-Alder reaction or a free radical reaction and is selected from alkenes, norborenylenes, vinyl and alkynes; R[0024] 1 and R2 are the same or different and are H, alkyl, alkoxy, alkylester, alkenyl, alkynyl, aryl, or cycloalkyl; R3 is alkyl or a -C(O)R4 radical wherein R4 is alkyl; a and b are the same or different and are 0, 1 or 2, and y is from 1-3, with the proviso that the sum of a+b+y is 3.
  • Various alkoxysilanes may be employed in the present invention, such as vinyltrialkoxysilane, allyltrialkoxysilane, vinyldiphenylalkoxysilane, norborenyltrialkoxysilane, and trivinyltrialkoxysilane. Some specific examples include vinyltriacetoxysilane, vinyltrimethoxysilane, vinyltriethoxysilane, allyltrimethoxysilane, vinyldiphenylethoxysilane, norborenyltriethoxysilane and trivinyltriethoxysilane. Of the various alkoxysilanes that may be employed in the present invention, vinyltrialkoxysilanes such as vinyltriacetoxysilane, vinyltrimethoxysilane and vinyldiphenylethoxysilane are preferred. Of these vinyltrialkoxysilanes, vinyltriacetoxysilane is most particularly preferred. [0025]
  • Notwithstanding which silane-coupling agent is employed herein, it is required in the present invention that the silane-coupling agent by applied in an amount so as to obtain a substantially uniform coating of the silane-coupling agent on the surface of the substrate. By “substantially uniform” it is meant that the silane-coupling agent is applied so as to obtain a continuous coating of silane-coupling agent on the substrate without any discontinuity therein. [0026]
  • To obtain the substantially uniform coating of silane-coupling agent on the surface of [0027] substrate 10, a concentrated solution of the silane-coupling agent is used. The term “concentrated” as used herein denotes a solution of silane-coupling agent, wherein the silane-coupling agent is present in a concentration of about 0.10% or higher, with a concentration of from about 0.2% to about 5.0% being more highly preferred. The most preferred concentration is about 2.5%. Typically, an organic solvent is used to dilute the alkoxysilane adhesive promoter. Examples of such solvents include: propylene glycol monomethyl ether acetate, propylene glycol monomethyl ether alcohol and cyclohexane. However, in some instances water may be used as the dilution solvent.
  • The silane-coupling agent may be applied to the substrate using any conventional means well known to those skilled in the art including, but not limited to: spin coating, spray coating, dip coating, brushing, evaporation, dissolution, and other means that are capable of forming a substantially uniform coating of silane-coupling agent on the substrate. [0028]
  • In accordance with the next step of the present invention, the structure shown in FIG. 1 is heated, i.e., baked, at a temperature of about 90° C. or above for a time period of about 10 to about 300 seconds, most preferably 120 seconds, to obtain a [0029] surface layer 14 on substrate 10 that contains Si—O bonds thereon, See FIG. 2. Specifically, the surface layer forms the following coupling:
    Figure US20020160600A1-20021031-C00002
  • wherein X is as defined above. [0030]
  • Specifically, this heating step is carried out at a temperature of from about 90° C. to about 200° C. for a time period of from about 10 to about 300 seconds. Moreover, this heating step which forms a coating on the surface of substrate having Si—O bonds is typically carried out in an inert gas atmosphere such Ar, He, N[0031] 2 or mixtures thereof.
  • Following the heating step described above, the structure shown in FIG. 2 is subjected to a rinsing step that is effective in removing any residual unreacted silane-coupling agent from the structure leaving only a structure wherein [0032] substrate 10 has Si—O coating 14 thereon, See FIG. 3. Specifically, the rinsing step of the present invention is carried out using a suitable solvent such as propylene glycol monomethyl ether acetate (PGMEA) which is capable of removing the silane-coupling agent from the structure without removing any substantial portion of Si—O coating 14. The rinsing step is typically carried out at temperature of 19-26° C., but elevated temperatures up to about 45° C. may be employed.
  • Following the rinsing step, an optional post-baking step may be utilized using the same or different conditions as mentioned above. [0033]
  • Next, and as shown in FIG. 4, a [0034] dielectric material 16 having a dielectric constant of about 3.8 or below, i.e., low-k dielectric, is formed on Si—O treated surface 14 of substrate 10. The low-k dielectric is formed on the Si—O treated surface of the substrate by utilizing any conventional deposition process including, but not limited to: spin-on coating, chemical solution deposition, chemical vapor deposition (CVD), plasma-assisted CVD, evaporation, dip coating and other like deposition processes that are capable of forming a low-k dielectric layer on a structure.
  • Suitable low-k dielectrics that may be employed in the present invention include, but are not limited to: polyarylene ethers, thermosetting polyarylene ethers, aromatic thermosetting resins such as the SILK® (semiconductor dielectric from The Dow Chemical Company); polyimides; Si-containing polymers such as hydrogensilsesquioxanes and organosilsesquioxanes; benzocyclobutenes; polynorboranes; parylene copolymers; parylene-F; polynapthalene; polytetrafluoronaphthalene; poly(octafluoro-bis-benzocyclobutene); Telfon-AF; fluorinated-amorphous carbon; Xerogels and nanoporous silica. [0035]
  • A description of each of the above low-k dielectrics, is found in the following articles that were published in MRS Bulletin, October 1997, Vol. 22, No. 10, the contents of which are incorporated herein by reference: [0036]
  • (i) T-M. Lu, et al., “Vapor Deposition of Low-Dielectric-Constant Polymeric Films”, pp. 28-31; [0037]
  • (ii) Nigel P. Hacker, “Organic and Inorganic Spin-On Polymers for Low-Dielectric-Constant Applications”, pp. 33-38; [0038]
  • (iii) Changming Jin, et al. “Nanoporous Silica as an Ultralow-k Dielectric”, pp. 39-42; and [0039]
  • (iv) Kazuhiko Endo, “Fluorinated Amorphous Carbon as a Low-Dielectric-Constant Interlayer Dielectric”, pp. 55-58. [0040]
  • Some highly preferred low-k dielectrics that are employed in the present invention include: methylsilsesquixoane (MSSQ), hydridosilsesquixoane and SiLK®. [0041]
  • The above processing steps can be repeated any number of times to provide a multi-level interconnect structure including substantially defect-free, low-dielectric constant materials that have good adhesion and good electrical properties. [0042]
  • It should be noted that the various processing steps mentioned above are critical in obtaining a substantially defect-free, low-dielectric constant material that has good adhesion and good electrical properties associated therewith. If one or more of the above described processing steps are omitted, the dielectric layer may lack good adhesion, may have a high-degree of defect density and/or it may have bad electrical properties associated therewith. [0043]
  • The following examples are given to illustrate some advantages that can be obtained utilizing the method of the present invention and show the importance of the inventive processing steps in obtaining a substantially defect-free, low-dielectric constant material that has good adhesion and good electrical properties. [0044]
  • EXAMPLES Example 1
  • Three adhesion promoter solutions were prepared and evaluated to determine their ability to bond to a silicon containing substrate (i.e., form a Si—O covalent bond with a substrate). The substrate chosen was an 8″ inch bare silicon wafer (n-type) with a thin (15 Å) native oxide coating. The three solutions comprised: (1) a 0.1% solution of 3-aminopropyltrimethoxysilane in propylene glycol monomethyl ether alcohol, (2) a 1.0% solution of vinyltriacetoxysilane in propylene glycol monomethyl ether acetate (PGMEA), and (3) a 2.5% solution of vinyltriacetoxysilane in PGMEA. Each solution contained 1 mol equivalent of water the partially hydrolyze the alkoxysilane (i.e., 3 mol equivalents would result in complete hydrolysis). [0045]
  • The adhesion promoter solutions were spun onto the 8 inch silicon substrates. Two sets of wafers were prepared with multiple wafers in each split, thus the values in Table 1 represent an average value. The first set of wafers received the following process flow: spin apply adhesion promoter (spin to dryness), test thickness, bake at 100° C. for 60 seconds, rinse with PGMEA, retest thickness and evaluate water contact angle on wafer surface (advancing contact angle). The second set of wafers received the following process flow: spin apply adhesion promoter (spin to dryness), test thickness, NO BAKE, rinse with PGMEA, retest thickness and evaluate water contact angle on wafer surface (advancing contact angle). [0046]
  • The experiment results are tabulated below. [0047]
    TABLE 1
    Sample\Process Spin apply, test Spin apply, test
    thickness, BAKE, thickness, NO BAKE,
    rinse, retest rinse, retest
    thickness and thickness and
    evaluate contact evaluate contact
    angle angle
    0.1% solution of 3- Initial Initial
    aminopropyltrimetho thickness = 15.7Å thickness = 15.7Å
    xysilane Post rinse Final
    thickness = 17.1Å thickness = 3.9Å
    Contact Angle = 38 Contact angle = 26
    degrees degrees
    1.0% solution of Initial Initial
    vinyltriacetoxysila thickness = 37.0Å thickness = 37.0Å
    ne Final Final
    thickness = 2.1Å thickness = 1.4Å
    Contact angle = 65 Contact angle = 11
    degrees degrees
    2.5% solution of Initial Initial
    vinyltriacetoxysila thickness = 123Å thickness = 123Å
    ne Final Final
    thickness = 5.1Å thickness = 1.5Å
    Contact angle = 65 Contact angle = 9
    degrees degrees
  • The results in Table 1 show a comparison between an aminopropyltrimethoxysilane (APS) adhesion promoter and vinyltriacetoxysilane (VTAS) adhesion promoter at two different concentrations. The APS adhesion promoter provides a coating thickness of 15.7 Å as measured by an Optiprobe Instrument. The full thickness remains if the sample is baked and rinsed, however a substantial amount is removed if the bake step is removed. The thickness retention indicates that the adhesion promoter is very reactive. [0048]
  • Analysis of the VTAS (vinyltriacetoxysilane) adhesion promoter at two different concentrations reveals that this system does not possess the same level of reactivity. The theoretical thickness for a monolayer of adhesion promoter is approximately 5 Å. Evaluation of the results in the Table 1 indicate that a monolayer of adhesion promoter can be achieved with a concentrated solution (concentrated relative to traditional adhesion promoters), an intermediate bake step and rinse. Removal of the bake results in almost complete removal of the adhesion promoter irregardless of the adhesion promoter concentration. And if the concentration is not sufficiently high (>2 % for this system), complete coverage of the adhesion promoter is not achieved and a monolayer is not obtained. [0049]
  • All the adhesion promoters above covalently bond with hydroxyl functionalities on the surface of the substrate and change the surface properties of the wafer surface. The initial water contact angle of the bare silicon substrate with the native oxide layer is 6 degrees. Evaluation of Table 1 indicates that the surface is substantially modified except in the VTAS adhesion promoter without a bake process. This indicates that the bake is critical in modifying the surface properties of the wafer surface. [0050]
  • Example 2
  • Foreign matter (FM) (i.e., particular matter) significantly degrades the electrical properties of IMD's especially in reliability tests such as time dependent dielectric breakdown (TDDB), line to line leakage, etc. An experiment was conducted to determine the influence of the adhesion promoter on the amount of foreign matter in intermetal dielectric (IMD) films. The SiLK® semiconductor dielectric was spin coated onto silicon substrates with the adhesion promoter process described in the second column of Table 1 in Example 1. All samples possessed the 100° C. bake, however with and without the PGMEA rinse process. The results are shown in Table 2 below. [0051]
    TABLE 2
    Number of FM Number of FM
    defects in SiLK ® defects in SiLK ®
    with adhesion with adhesion
    promoter process promoter process
    possessing a possessing NO
    Sample\Process PGMEA RINSE PGMEA RINSE
    1.0% solution of FM < 10 FM > 3000
    vinyltriacetoxysila
    ne
    2.5% solution of FM < 10 FM > 2800
    vinyltriacetoxysila
    ne
  • The results in Table 2 indicate that the PGMEA rinse with VTAS adhesion promoters is essential in reducing FM defects in IMD layers (such as SILK®). [0052]
  • Example 3.
  • Adhesion experiments were conducted using the three adhesion promoters described in the second column of Table 1 with the SiLK® Semiconductor Dielectric from The Dow Chemical Company. Two different adhesion tests were conducted; a modified edge lift off test (MELT) to evaluate the fracture toughness and a 90 degree peel test. The adhesion was evaluated after a single cure process and after six additional cure processes (T[0053] 6) to simulate the effects of multiple thermal cure processes in a multilevel integration build. The SiLKO was cured at 385° C. in all cases. The results are shown in Table 3.
    TABLE 3
    Peel Peel
    K Value K Value Strength Strength
    (MPam−1/2) (MParr−1/2) (g/mm) (g/mm)
    Sample As cured T6 As cured T6
    0.1% APS 0.44 0.30 18  7
    1.0% VTAS 0.48 0.39 18 13
    2.5% VTAS 0.56 0.42 24 21
  • The results in Table 3 indicate that the 2.5% VTAS adhesion promoter is superior for SiLK® with one cure and after an additional simulated six cure processes (T[0054] 6). The APS adhesion promoter being an amine based system does not covalently bond with SILK® and thus possesses the worst adhesive properties. The 1.0% VTAS adhesion promoter exhibits a significant reduction in adhesion at T6 due to the poor coverage of the adhesion promoter.
  • While this invention has been particularly shown and described with respect to preferred embodiments thereof, it will be understood by those skilled in the art that the foregoing and other changes in forms and details may be made without departing from the spirit and scope of the present invention. It is therefore intended that the present invention not be limited to the exact forms and details described and illustrated, but fall within the scope of the appended claims. [0055]

Claims (22)

Having thus described our invention in detail, what we claim as new and desire to secure by the Letters Patent is:
1. A method of fabricating an integrated circuit comprising at least the steps of:
(a) applying a silane coupling agent containing at least one polymerizable group to a surface of a substrate so as to provide a substantially uniform coating of said silane-coupling agent on said substrate;
(b) heating said substrate containing said coating of said silane-coupling agent at a temperature of about 90° C. or above so as to provide a surface layer to said substrate containing Si—O bonds;
(c) rinsing said heated substrate with a suitable solvent that is effective in removing any residual unreacted silane-coupling agent; and
(d) applying a dielectric material to said rinsed surface containing said Si—O bonds.
2. The method of claim 1 wherein said substrate is a Si-containing substrate, a conductive metal, a metal barrier dielectric or an interlevel dielectric layer of an IC having metallic lines and vias formed therein.
3. The method of claim 1 wherein said silane-coupling agent is any silane-containing compound having at least one polymerizable group therein.
4. The method of claim 3 wherein said silane-coupling agent is a compound having the formula:
Figure US20020160600A1-20021031-C00003
wherein X is a polymerizable group selected from alkenes, vinyl and alkynes; R1 and R2 are the same or different and are H, alkyl, alkoxy, alkylester, alkenyl, alkynyl, aryl, cycloalkyl; R3 is alkyl or a —C(O)R4 radical wherein R4 is alkyl; a and b are the same or different and are 0, 1 or 2, and y is from 1-3, with the proviso that the sum of a+b+y is 3.
5. The method of claim 4 wherein said silane-coupling agent is an alkoxysilane.
6. The method of claim 5 wherein said alkoxysilane is selected from the group consisting of vinyltriacetoxysilane, vinyltrimethoxysilane, vinyltriethoxysilane, allyltrimethoxysilane, vinyldiphenylethoxysilane, norborenyltriethoxysilane and trivinyltriethoxysilane.
7. The method of claim 1 wherein said silane-coupling agent is vinyltriacetoxysilane.
8. The method of claim 1 wherein said silane-coupling agent is applied as a concentrated solution.
9. The method of claim 8 wherein said silane-coupling agent is present in said concentrated solution in a concentration of about 0.10% or higher.
10. The method of claim 9 wherein said silane-coupling agent is present in a concentration of from about 0.2% to about 5.0%.
11. The method of claim 10 wherein said silane-coupling agent is present in a concentration of about 2.5%.
12. The method of claim 1 wherein said silane-coupling agent is be applied to the substrate by spin-on deposition, spray coating, dip coating, brushing, evaporation, or dissolution.
13. The method of claim 1 wherein step (b) is carried out for a time period of about 10 seconds or above.
14. The method of claim 1 wherein step (b) is carried out at a temperature of from about 90° C. to about 200° C. for a time period of from about 10 to about 300 seconds.
15. The method of claim 1 wherein step (b) is carried out in an inert gas atmosphere.
16. The method of claim 1 wherein said solvent in step (c) is propylene glycol monomethyl ether acetate.
17. The method of claim 1 wherein an optional baking step is conducted after step (c), but prior to step (d).
18. The method of claim 1 wherein said dielectric material has a dielectric constant of about 3.8 or below.
19. The method of claim 1 wherein step (d) includes spin-on coating, chemical solution deposition, chemical vapor deposition (CVD), plasma-assisted CVD, evaporation, and dip coating.
20. The method of claim 16 wherein said dielectric material is a polyimide; a Si-containing polymer; a benzocyclobutene; a polynorborane; a polyarylene ether; thermosetting polyarylene ethers; aromatic thermosetting resins; a parylene copolymer; parylene-F; polynapthalene; polytetrafluoronaphthalene; poly(octafluoro-bis-benzocyclobutene); Telfon-AF; fluorinated-amorphous carbon; an Xerogels or a nanoporous silica.
21. The method of claim 20 wherein said dielectric material is methylsilsesquixoane (MSSQ), hydridosilsesquixoane or SiLK®.
22. The method of claim 1 wherein processing steps (a)-(d) are repeated any number of times to provide a multi-level interconnect structure.
US09/789,422 2001-02-21 2001-02-21 Method of fabricating low-dielectric constant interlevel dielectric films for BEOL interconnects with enhanced adhesion and low-defect density Expired - Fee Related US6455443B1 (en)

Priority Applications (12)

Application Number Priority Date Filing Date Title
US09/789,422 US6455443B1 (en) 2001-02-21 2001-02-21 Method of fabricating low-dielectric constant interlevel dielectric films for BEOL interconnects with enhanced adhesion and low-defect density
KR20037009637A KR100516534B1 (en) 2001-02-21 2002-02-19 Method of fabricating low-dielectric constant interlevel dielectric films for BEOL interconnects with enhanced adhesion and low-defect density
PCT/US2002/004879 WO2002069381A2 (en) 2001-02-21 2002-02-19 Method of fabricating low-dielectric constant interlevel dielectric films for beol interconnects with enhanced adhesion and low-defect density
JP2002568408A JP3759108B2 (en) 2001-02-21 2002-02-19 Method of manufacturing low defect density interlevel dielectric coatings for BEOL interconnects with increased adhesion and low defect density
AT02721047T ATE333144T1 (en) 2001-02-21 2002-02-19 METHOD FOR PRODUCING DIELECTRIC INTERMEDIATE LAYERS WITH LOW DIELECTRICITY CONSTANT FOR BEOL CONNECTING CABLES WITH IMPROVED ADHESION AND LOW DEFECT DENSITY
EP02721047A EP1390972B1 (en) 2001-02-21 2002-02-19 Method of fabicating low-dielectric constant interlevel dielectric films for beol interconnects with enhanced adhesion and low-defect density
ES02721047T ES2262797T3 (en) 2001-02-21 2002-02-19 METHOD OF MANUFACTURE OF DIELECTRIC FILMS OF INTER-LEVEL OF LOW DIELECTRIC CONSTANT FOR BEOL INTERCONNECTIONS WITH IMPROVED ADHESION AND LOW DEFENSE DENSITY.
DE60213086T DE60213086T2 (en) 2001-02-21 2002-02-19 METHOD OF MANUFACTURING DIELECTRIC INTERMEDIATE LAYERS WITH LOW DIELECTRIC CONSTANT FOR BEOL CONNECTING LINES WITH IMPROVED ADHESION AND LOW ERROR DENSITY
CNB028063457A CN1251312C (en) 2001-02-21 2002-02-19 Method of fabricating low-dielectric constant interlevel dielectric films for beol interconnects with enhanced adhesion and low-defect density
TW091103031A TW561551B (en) 2001-02-21 2002-02-21 Method of fabricating low-dielectric constant interlevel dielectric films for BEOL interconnects with enhanced adhesion and low-defect density
IN1322DE2003 IN2003DE01322A (en) 2001-02-21 2003-08-19
IL157506A IL157506A (en) 2001-02-21 2003-08-21 Method of fabricating low-dielectric constant interlevel dielectric films for beol interconnects with enhanced adhesion and low-defect density

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/789,422 US6455443B1 (en) 2001-02-21 2001-02-21 Method of fabricating low-dielectric constant interlevel dielectric films for BEOL interconnects with enhanced adhesion and low-defect density

Publications (2)

Publication Number Publication Date
US6455443B1 US6455443B1 (en) 2002-09-24
US20020160600A1 true US20020160600A1 (en) 2002-10-31

Family

ID=25147596

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/789,422 Expired - Fee Related US6455443B1 (en) 2001-02-21 2001-02-21 Method of fabricating low-dielectric constant interlevel dielectric films for BEOL interconnects with enhanced adhesion and low-defect density

Country Status (12)

Country Link
US (1) US6455443B1 (en)
EP (1) EP1390972B1 (en)
JP (1) JP3759108B2 (en)
KR (1) KR100516534B1 (en)
CN (1) CN1251312C (en)
AT (1) ATE333144T1 (en)
DE (1) DE60213086T2 (en)
ES (1) ES2262797T3 (en)
IL (1) IL157506A (en)
IN (1) IN2003DE01322A (en)
TW (1) TW561551B (en)
WO (1) WO2002069381A2 (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1460685A1 (en) * 2003-03-17 2004-09-22 Semiconductor Leading Edge Technologies, Inc. Semiconductor device and method of manufacturing the same
US20050070124A1 (en) * 2003-09-30 2005-03-31 International Business Machines Corporation Direct photo-patterning of nanoporous organosilicates, and method of use
US20060275616A1 (en) * 2005-06-03 2006-12-07 Clough Robert S Silane-based coupling agent
WO2007022538A2 (en) * 2005-08-19 2007-02-22 Kla-Tencor Technologies Corporation Test pads for measuring properties of a wafer
US20070085212A1 (en) * 2003-06-19 2007-04-19 3M Innovative Properties Company Dielectric composite material
EP1861857A2 (en) * 2004-12-07 2007-12-05 M-Flex Multi-Fineline Electronix, Inc. Miniature circuitry and inductive components and methods for manufacturing same
US20080044950A1 (en) * 2003-06-20 2008-02-21 National Institute Of Advanced Industrial Sci & Tech Multi-layer fin wiring interposer fabrication process
US7538333B1 (en) 2002-11-04 2009-05-26 Kla-Tencor Technologies Corporation Contactless charge measurement of product wafers and control of corona generation and deposition
WO2009135780A1 (en) * 2008-05-08 2009-11-12 Basf Se Layered structures comprising silicon carbide layers, a process for their manufacture and their use
US10056460B2 (en) 2015-08-18 2018-08-21 Fujitsu Limited Semiconductor device and manufacturing method thereof

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6685983B2 (en) * 2001-03-14 2004-02-03 International Business Machines Corporation Defect-free dielectric coatings and preparation thereof using polymeric nitrogenous porogens
US6716771B2 (en) * 2002-04-09 2004-04-06 Intel Corporation Method for post-CMP conversion of a hydrophobic surface of a low-k dielectric layer to a hydrophilic surface
WO2004053205A2 (en) * 2002-07-22 2004-06-24 Massachusetts Institute Of Technolgoy Porous material formation by chemical vapor deposition onto colloidal crystal templates
US6974762B2 (en) * 2002-08-01 2005-12-13 Intel Corporation Adhesion of carbon doped oxides by silanization
JP2004274020A (en) * 2002-09-24 2004-09-30 Rohm & Haas Electronic Materials Llc Manufacture of electronic device
US7005390B2 (en) * 2002-10-09 2006-02-28 Intel Corporation Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
US20040137243A1 (en) * 2002-10-21 2004-07-15 Massachusetts Institute Of Technology Chemical vapor deposition of organosilicate thin films
KR20040051097A (en) * 2002-12-11 2004-06-18 패럴린코리아(주) Pretreatment method and its application device for promoting adhesion of parylene polymer
CN100334695C (en) * 2003-01-02 2007-08-29 上海华虹(集团)有限公司 Process for silicon low dielectric material curing in furnace
US6825561B1 (en) 2003-06-19 2004-11-30 International Business Machines Corporation Structure and method for eliminating time dependent dielectric breakdown failure of low-k material
US6992003B2 (en) * 2003-09-11 2006-01-31 Freescale Semiconductor, Inc. Integration of ultra low K dielectric in a semiconductor fabrication process
US6903004B1 (en) 2003-12-16 2005-06-07 Freescale Semiconductor, Inc. Method of making a semiconductor device having a low K dielectric
US7094661B2 (en) * 2004-03-31 2006-08-22 Dielectric Systems, Inc. Single and dual damascene techniques utilizing composite polymer dielectric film
US7309395B2 (en) * 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
US6962871B2 (en) * 2004-03-31 2005-11-08 Dielectric Systems, Inc. Composite polymer dielectric film
US20060046044A1 (en) * 2004-08-24 2006-03-02 Lee Chung J Porous composite polymer dielectric film
US20060128163A1 (en) * 2004-12-14 2006-06-15 International Business Machines Corporation Surface treatment of post-rie-damaged p-osg and other damaged materials
US7446055B2 (en) * 2005-03-17 2008-11-04 Air Products And Chemicals, Inc. Aerosol misted deposition of low dielectric organosilicate films
US20060275547A1 (en) * 2005-06-01 2006-12-07 Lee Chung J Vapor Phase Deposition System and Method
US8264819B2 (en) 2005-08-19 2012-09-11 Avx Corporation Polymer based solid state capacitors and a method of manufacturing them
GB0517952D0 (en) * 2005-09-02 2005-10-12 Avx Ltd Method of forming anode bodies for solid state capacitors
JP4616154B2 (en) * 2005-11-14 2011-01-19 富士通株式会社 Manufacturing method of semiconductor device
US7851138B2 (en) * 2007-07-19 2010-12-14 Hitachi Global Storage Technologies, Netherlands, B.V. Patterning a surface comprising silicon and carbon
US7760487B2 (en) * 2007-10-22 2010-07-20 Avx Corporation Doped ceramic powder for use in forming capacitor anodes
US7760488B2 (en) * 2008-01-22 2010-07-20 Avx Corporation Sintered anode pellet treated with a surfactant for use in an electrolytic capacitor
US7852615B2 (en) * 2008-01-22 2010-12-14 Avx Corporation Electrolytic capacitor anode treated with an organometallic compound
US7768773B2 (en) * 2008-01-22 2010-08-03 Avx Corporation Sintered anode pellet etched with an organic acid for use in an electrolytic capacitor
US8203827B2 (en) * 2009-02-20 2012-06-19 Avx Corporation Anode for a solid electrolytic capacitor containing a non-metallic surface treatment
CN102487057B (en) * 2010-12-03 2014-03-12 中芯国际集成电路制造(北京)有限公司 Metal front dielectric layer and preparation method thereof
TWI445626B (en) * 2011-03-18 2014-07-21 Eternal Chemical Co Ltd Method for fabricating a flexible device
CN103367107B (en) * 2012-04-09 2016-04-20 中芯国际集成电路制造(上海)有限公司 Improve the method for surface conjunction power
TWI504514B (en) * 2012-12-11 2015-10-21 Ind Tech Res Inst Laminate structure and method fabricating thereof and luminescent device
WO2014163188A1 (en) * 2013-04-04 2014-10-09 富士電機株式会社 Method for manufacturing semiconductor device
US9159556B2 (en) 2013-09-09 2015-10-13 GlobalFoundries, Inc. Alleviation of the corrosion pitting of chip pads
US9806132B2 (en) * 2013-11-22 2017-10-31 General Electric Company Organic X-ray detector with barrier layer
CN105418926B (en) * 2014-09-12 2018-07-13 中国科学院上海高等研究院 A kind of fluorine-containing naphthylethyl silicones and its preparation method and application
US9799593B1 (en) * 2016-04-01 2017-10-24 Intel Corporation Semiconductor package substrate having an interfacial layer
US10468243B2 (en) * 2017-11-22 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor device and method of cleaning substrate
CN110965045A (en) * 2018-09-29 2020-04-07 南京理工大学 Method for protecting thin-wall energy-gathered cutting rope by utilizing Parylene micro-nano film
US11500290B2 (en) * 2018-11-13 2022-11-15 International Business Machines Corporation Adhesion promoters
CN110606970A (en) * 2019-09-30 2019-12-24 福州大学 Plastic surface pretreatment method for improving adhesive force between coating and plastic

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2193864B1 (en) * 1972-07-31 1974-12-27 Rhone Poulenc Sa
JPH0791509B2 (en) * 1985-12-17 1995-10-04 住友化学工業株式会社 Insulating film forming coating solution for semiconductors
US4950583A (en) * 1986-09-17 1990-08-21 Brewer Science Inc. Adhesion promoting product and process for treating an integrated circuit substrate therewith
US4732858A (en) * 1986-09-17 1988-03-22 Brewer Science, Inc. Adhesion promoting product and process for treating an integrated circuit substrate
JP3743519B2 (en) * 1994-10-18 2006-02-08 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Method for producing silicon-oxide thin layer
US5760480A (en) * 1995-09-20 1998-06-02 Advanced Micro Devics, Inc. Low RC interconnection
JPH09143420A (en) * 1995-09-21 1997-06-03 Asahi Glass Co Ltd Resin composition having low dielectric constant
US6071830A (en) * 1996-04-17 2000-06-06 Sony Corporation Method of forming insulating film
US5989998A (en) * 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7719294B1 (en) 2002-11-04 2010-05-18 Kla-Tencor Technologies Corp. Systems configured to perform a non-contact method for determining a property of a specimen
US7538333B1 (en) 2002-11-04 2009-05-26 Kla-Tencor Technologies Corporation Contactless charge measurement of product wafers and control of corona generation and deposition
EP1460685A1 (en) * 2003-03-17 2004-09-22 Semiconductor Leading Edge Technologies, Inc. Semiconductor device and method of manufacturing the same
US20070085212A1 (en) * 2003-06-19 2007-04-19 3M Innovative Properties Company Dielectric composite material
US20080044950A1 (en) * 2003-06-20 2008-02-21 National Institute Of Advanced Industrial Sci & Tech Multi-layer fin wiring interposer fabrication process
US7833835B2 (en) * 2003-06-20 2010-11-16 National Institute Of Advanced Industrial Science And Technology Multi-layer fin wiring interposer fabrication process
US20050070124A1 (en) * 2003-09-30 2005-03-31 International Business Machines Corporation Direct photo-patterning of nanoporous organosilicates, and method of use
US7056840B2 (en) * 2003-09-30 2006-06-06 International Business Machines Corp. Direct photo-patterning of nanoporous organosilicates, and method of use
US7690110B2 (en) 2004-12-07 2010-04-06 Multi-Fineline Electronix, Inc. Methods for manufacturing miniature circuitry and inductive components
AU2005314077B2 (en) * 2004-12-07 2010-08-05 Multi-Fineline Electronix, Inc. Miniature circuitry and inductive components and methods for manufacturing same
EP1861857A2 (en) * 2004-12-07 2007-12-05 M-Flex Multi-Fineline Electronix, Inc. Miniature circuitry and inductive components and methods for manufacturing same
US20070294888A1 (en) * 2004-12-07 2007-12-27 Whittaker Ronald W Miniature circuitry and inductive components and methods for manufaturing same
EP1861857A4 (en) * 2004-12-07 2009-09-02 Multi Fineline Electronix Inc Miniature circuitry and inductive components and methods for manufacturing same
US20060275616A1 (en) * 2005-06-03 2006-12-07 Clough Robert S Silane-based coupling agent
US20070109003A1 (en) * 2005-08-19 2007-05-17 Kla-Tencor Technologies Corp. Test Pads, Methods and Systems for Measuring Properties of a Wafer
US20070069759A1 (en) * 2005-08-19 2007-03-29 Kla-Tencor Technologies Corp. Systems and Methods for Controlling Deposition of a Charge on a Wafer for Measurement of One or More Electrical Properties of the Wafer
WO2007022538A2 (en) * 2005-08-19 2007-02-22 Kla-Tencor Technologies Corporation Test pads for measuring properties of a wafer
WO2007022538A3 (en) * 2005-08-19 2009-04-23 Kla Tencor Tech Corp Test pads for measuring properties of a wafer
US7893703B2 (en) 2005-08-19 2011-02-22 Kla-Tencor Technologies Corp. Systems and methods for controlling deposition of a charge on a wafer for measurement of one or more electrical properties of the wafer
WO2009135780A1 (en) * 2008-05-08 2009-11-12 Basf Se Layered structures comprising silicon carbide layers, a process for their manufacture and their use
US20110204382A1 (en) * 2008-05-08 2011-08-25 Base Se Layered structures comprising silicon carbide layers, a process for their manufacture and their use
US10056460B2 (en) 2015-08-18 2018-08-21 Fujitsu Limited Semiconductor device and manufacturing method thereof
US10680073B2 (en) 2015-08-18 2020-06-09 Fujitsu Limited Semiconductor device and manufacturing method thereof

Also Published As

Publication number Publication date
EP1390972A2 (en) 2004-02-25
TW561551B (en) 2003-11-11
WO2002069381A3 (en) 2003-12-18
JP3759108B2 (en) 2006-03-22
US6455443B1 (en) 2002-09-24
ATE333144T1 (en) 2006-08-15
KR20030071841A (en) 2003-09-06
KR100516534B1 (en) 2005-09-22
CN1550036A (en) 2004-11-24
IN2003DE01322A (en) 2005-05-27
ES2262797T3 (en) 2006-12-01
EP1390972B1 (en) 2006-07-12
WO2002069381A2 (en) 2002-09-06
IL157506A0 (en) 2004-03-28
DE60213086T2 (en) 2006-12-28
CN1251312C (en) 2006-04-12
DE60213086D1 (en) 2006-08-24
JP2004532514A (en) 2004-10-21
IL157506A (en) 2007-06-03

Similar Documents

Publication Publication Date Title
US6455443B1 (en) Method of fabricating low-dielectric constant interlevel dielectric films for BEOL interconnects with enhanced adhesion and low-defect density
US7253105B2 (en) Reliable BEOL integration process with direct CMP of porous SiCOH dielectric
US7842518B2 (en) Method for fabricating semiconductor device
US6828258B2 (en) Method of forming an insulating film having SI-C, SI-O and SI-H bonds to cover wiringlines of a semiconductor device
KR101144535B1 (en) Dielectric barrier deposition using nitrogen containing precursor
JPH07135185A (en) Formation method of metal via
JP2004172590A (en) Silicon oxycarbide, method for growing silicon oxycarbide layer, semiconductor device, and method for manufacturing semiconductor device
CN1839468A (en) Repairing damage to low-K dielectric materials using silylating agents
US7830012B2 (en) Material for forming exposure light-blocking film, multilayer interconnection structure and manufacturing method thereof, and semiconductor device
KR100383498B1 (en) Fabrication method of semiconductor device
KR101413803B1 (en) Coating method for enhanced electromigration resistance of copper
US8089138B2 (en) Surface-hydrophobicized film, material for formation of surface-hydrophobicized film, wiring layer, semiconductor device and process for producing semiconductor device
Hendricks et al. Synthesis and characterization of fluorinated poly (arylethers): organic polymers for IC IMD
CN1832117A (en) Method of processing semiconductor component and forming method of semiconductor component
JP3483500B2 (en) Insulating film forming material, insulating film forming method, and semiconductor device
CN101960582B (en) Wiring board, semiconductor device, and process for producing semiconductor device
US7056825B2 (en) Method for manufacturing a semiconductor device that includes plasma treating an insulating film with a mixture of helium and argon gases
JP2006351877A (en) Manufacturing method of lamination, semiconductor device and its manufacturing method
US20040188675A1 (en) Semiconductor device and method of manufacturing the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ECKERT, ANDREW ROBERT;HAY, JOHN C.;HEDRICK, JEFFREY CURTIS;AND OTHERS;REEL/FRAME:011977/0797;SIGNING DATES FROM 20010213 TO 20010626

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

CC Certificate of correction
FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20140924

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910