US20020175371A1 - Device improvement by lowering LDD resistance with new silicide process - Google Patents

Device improvement by lowering LDD resistance with new silicide process Download PDF

Info

Publication number
US20020175371A1
US20020175371A1 US10/195,566 US19556601A US2002175371A1 US 20020175371 A1 US20020175371 A1 US 20020175371A1 US 19556601 A US19556601 A US 19556601A US 2002175371 A1 US2002175371 A1 US 2002175371A1
Authority
US
United States
Prior art keywords
conductive layer
dielectric
gate conductor
depositing
salicided
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/195,566
Inventor
Frederick Hause
Manfred Horstmann
Karsten Wieczorek
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/195,566 priority Critical patent/US20020175371A1/en
Publication of US20020175371A1 publication Critical patent/US20020175371A1/en
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. AFFIRMATION OF PATENT ASSIGNMENT Assignors: ADVANCED MICRO DEVICES, INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • H01L29/66507Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide providing different silicide thicknesses on the gate and on source or drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET

Definitions

  • This invention relates generally to semiconductor fabrication technology, and, more particularly, to a method of fabricating a semiconductor device such as a transistor.
  • reducing the channel length of a transistor also requires reducing the size and area of electrical contacts to active areas, such as N + (P + ) source/drain regions and a doped-polycrystalline silicon (doped-polysilicon or doped-poly) gate conductor.
  • active areas such as N + (P + ) source/drain regions and a doped-polycrystalline silicon (doped-polysilicon or doped-poly) gate conductor.
  • active areas such as N + (P + ) source/drain regions and a doped-polycrystalline silicon (doped-polysilicon or doped-poly) gate conductor.
  • active area contact resistance increases.
  • Increased active area contact resistance is undesirable for a number of reasons. For example, increased active area contact resistance may reduce device drive current, and source/drain current through the device, and may also adversely affect the overall speed and operation of the transistor.
  • Ti titanium
  • Co cobalt
  • depositing titanium (Ti) or cobalt (Co) on the active area electrical contacts may decrease active area contact resistance.
  • the Ti may then be silicided by annealing with a heat-treatment to form titanium silicide (TiSi 2 ) at the active area electrical contacts (self-aligned silicidation or salicidation).
  • TiSi 2 titanium silicide
  • a metal oxide semiconductor field effect transistor (MOSFET or MOS transistor) 100 may be formed on a semiconducting substrate 105 , such as doped-silicon.
  • the MOS transistor 100 may have a doped-poly gate 110 formed above a gate oxide 115 formed above the semiconducting substrate 105 .
  • the doped-poly gate 110 and the gate oxide 115 may be separated from N + -doped (P + -doped) source/drain regions 120 of the MOS transistor 100 by dielectric spacers 125 .
  • the dielectric spacers 125 may be formed above N ⁇ -doped (P ⁇ -doped) lightly doped drain (LDD) regions 130 .
  • LDD lightly doped drain
  • the N ⁇ -doped (P ⁇ -doped) LDD regions 130 are typically provided to reduce the magnitude of the maximum channel electric field found close to the N + -doped (P + -doped) source/drain regions 120 of the MOS transistor 100 , and, thereby, to reduce the associated hot-carrier effects.
  • a Ti metal layer 235 may be blanket-deposited on the MOS transistor 100 shown in FIG. 1 and then subjected to an initial rapid thermal anneal (RTA) process performed at a temperature ranging from approximately 450-800° C. for a time ranging from approximately 15-60 seconds.
  • RTA rapid thermal anneal
  • active areas 245 such as the N + -doped (P + -doped) source/drain regions 120 and the doped-poly gate 110 , exposed Si reacts upon heating with the Ti metal layer 235 to form TiSi 2 at the surfaces 240 of the active areas 245 .
  • the Ti metal layer 235 is not believed to react with the dielectric spacers 125 upon heating.
  • a wet chemical strip of the Ti metal layer 235 removes excess, unreacted portions (not shown) of the Ti metal layer 235 , leaving behind the salicided TiSi 2 350 only at and below the surfaces 240 of the active areas 245 .
  • the salicided TiSi 2 350 may then be subjected to a final RTA process performed at a temperature ranging from approximately 800-1000° C. for a time ranging from approximately 10-60 seconds.
  • the present invention is directed to overcoming, or at least reducing the effects of, one or more of the problems set forth above.
  • a method for fabricating a semiconductor device on a structure, the method including forming a dielectric layer adjacent a gate conductor of the semiconductor device and above an LDD region of the structure and removing a first portion of the dielectric layer above the gate conductor and above the LDD region.
  • the method also includes forming a first conductive layer above the gate conductor, adjacent the dielectric layer and above the LDD region and saliciding the first conductive layer above the gate conductor and above the LDD region to form a salicided first conductive layer.
  • a semiconductor device including a structure, a gate dielectric above the structure and a gate conductor above the gate dielectric.
  • the semiconductor device also includes an LDD region of the structure adjacent the gate dielectric and the gate conductor, a dielectric layer adjacent the gate conductor and the gate dielectric, and a salicided first conductive layer above the gate conductor and above the LDD region.
  • FIGS. 1 - 3 illustrate schematically in cross-section a conventional salicidation method for MOS transistor fabrication
  • FIGS. 4 - 12 illustrate schematically in cross-section various embodiments of a method for semiconductor device fabrication according to the present invention.
  • FIGS. 4 - 12 Illustrative embodiments of a method for semiconductor device fabrication according to the present invention are shown in FIGS. 4 - 12 .
  • FIGS. 4 - 12 Illustrative embodiments of a method for semiconductor device fabrication according to the present invention are shown in FIGS. 4 - 12 .
  • the various regions and structures of a semiconductor device are depicted in the drawings as having very precise, sharp configurations and profiles, those skilled in the art recognize that, in reality, these regions and structures are not as precise as indicated in the drawings. Nevertheless, the attached drawings are included to provide illustrative examples of the present invention.
  • the present invention is directed towards the manufacture of a semiconductor device.
  • the present method is applicable to a variety of technologies, for example, NMOS, PMOS, CMOS, and the like, and is readily applicable to a variety of devices, including, but not limited to, logic devices, memory devices, and the like.
  • a MOS transistor 400 may be formed on a structure 405 such as a semiconducting substrate (e.g., doped-silicon).
  • the MOS transistor 400 may have a doped-poly gate 410 formed above a gate dielectric 415 formed above the structure 405 .
  • the doped-poly gate 410 may doped with arsenic (As) for an NMOS transistor for example, or boron (B) for a PMOS transistor, to render the poly more conductive.
  • the poly may be formed undoped, by an LPCVD process for higher throughput, to have a thickness ranging from approximately 1000-2000 ⁇ , for example.
  • the doping of the poly may conveniently be accomplished by diffusing or implanting the dopant atoms and/or molecules through the upper surface of the poly.
  • the doped-poly gate 410 may then be subjected to a heat-treating process that may be a rapid thermal anneal (RTA) process performed at a temperature ranging from approximately 800-1100° C. for a time ranging from approximately 5-60 seconds.
  • RTA rapid thermal anneal
  • the gate dielectric 415 may have a thickness ranging from approximately 25-50 ⁇ , for example, and may be formed from a variety of dielectric materials and may, for example, be an oxide (e.g., Ge oxide), an oxynitride (e.g., GaP oxynitride), silicon dioxide (SiO 2 ), a nitrogen-bearing oxide (e.g., nitrogen-bearing SiO 2 ), a nitrogen-doped oxide (e.g., N 2 -implanted SiO 2 ), silicon oxynitride (Si x O y N z ), and the like.
  • oxide e.g., Ge oxide
  • an oxynitride e.g., GaP oxynitride
  • silicon dioxide SiO 2
  • a nitrogen-bearing oxide e.g., nitrogen-bearing SiO 2
  • a nitrogen-doped oxide e.g., N 2 -implanted SiO 2
  • the gate dielectric 415 may also be formed of any suitable “high dielectric constant” or “high K” material, where K is greater than or equal to about 8, such as titanium oxide (Ti x O y , e g, TiO 2 ), tantalum oxide (Ta x O y , e.g., Ta 2 O 5 ), barium strontium titanate (BST, BaTiO 3 /SrTiO 3 ), and the like.
  • the gate dielectric 415 may have an equivalent oxide thickness t ox-eq ranging from approximately 25-50 ⁇ , for example.
  • the doped-poly gate 410 and the gate dielectric 415 may be adjacent N ⁇ -doped (P ⁇ -doped) lightly doped drain (LDD) regions 420 formed in the structure 405 .
  • the N ⁇ -doped (P ⁇ -doped) LDD regions 420 may be formed by being implanted with an LDD dose of arsenic (As, for N ⁇ -doping appropriate for an NMOS transistor 400 ) or boron difluoride (BF 2 , for P ⁇ -doping appropriate for a PMOS transistor 400 ).
  • the LDD dose may range from about 1.0 ⁇ 10 14 ⁇ 1.0 ⁇ 10 15 ions/cm 2 at an implant energy ranging from about 3-50 keV.
  • the N ⁇ -doped (P ⁇ -doped) LDD regions 420 may be subjected to an RTA process performed at a temperature ranging from approximately 800-1100° C. for a time ranging from approximately 5-60 seconds.
  • the RTA process may activate the implant and form a more sharply defined and less graded activated implant junction with the structure 405 than would an RTA process following an implant with an LDD dose of more mobile phosphorus (P, for N ⁇ -doping appropriate for an NMOS transistor 400 ) or boron (B, for P ⁇ -doping appropriate for a PMOS transistor 400 ).
  • P mobile phosphorus
  • B boron
  • a dielectric layer 425 may be formed adjacent the doped-poly gate 410 and the gate dielectric 415 of the MOS transistor 400 and above the N ⁇ -doped (P ⁇ -doped) LDD regions 420 .
  • the dielectric layer 425 may be formed by a variety of known techniques for forming such layers, e.g., chemical vapor deposition (CVD), low-pressure CVD (LPCVD), plasma-enhanced CVD (PECVD), sputtering, physical vapor deposition (PVD), thermal growing, and the like, and may have an equivalent oxide thickness t ox-eq ranging from approximately 50 ⁇ -300 ⁇ , for example.
  • CVD chemical vapor deposition
  • LPCVD low-pressure CVD
  • PECVD plasma-enhanced CVD
  • PVD physical vapor deposition
  • the dielectric layer 425 may be formed from a variety of dielectric materials and may, for example, be an oxide (e.g., Ge oxide), an oxynitride (e.g., GaP oxynitride), silicon dioxide (SiO 2 ), a nitrogen-bearing oxide (e.g., nitrogen-bearing SiO 2 ), a nitrogen-doped oxide (e.g., N 2 -implanted SiO 2 ), silicon oxynitride (Si x O y N z ). and the like.
  • the dielectric layer 425 may also be formed of any suitable “low dielectric constant” or “low K” material, where K is less than or equal to about 4.
  • the dielectric layer 425 may be formed of any suitable “high dielectric constant” or “high K” material. where K is greater than or equal to about 8, such as titanium oxide (Ti x O y , e g, TiO 2 ), tantalum oxide (Ta x O y , e.g., Ta 2 O 5 ), barium strontium titanate (BST, BaTiO 3 /SrTiO 3 ), and the like.
  • the dielectric layer 425 is comprised of a silicon dioxide (SiO 2 ) having a thickness of approximately 50 ⁇ , which is formed by being blanket-deposited by an LPCVD process for higher throughput.
  • the dielectric layer 425 may be formed by, for example, thermally growing a layer of dielectric material on the exposed surfaces 430 and 435 of the respective doped-poly gate 410 and the N ⁇ -doped (P ⁇ -doped) LDD regions 420 . Note that, in this case (not shown), the material for the dielectric layer 425 would not be expected to grow thermally on the exposed sidewall 440 of the gate dielectric 415 .
  • the dielectric layer 425 may be comprised of SiO 2 , having a thickness of approximately 50 ⁇ , which is thermally grown for higher throughput.
  • the thermal growth may be performed in a traditional tube furnace, at a temperature ranging from approximately 700-900° C., for a time period ranging from approximately 2-10 minutes, in a nitrogen-containing ambient that may include at least one of nitrous oxide (N 2 O), nitric oxide (NO), ammonia (NH 3 ), and the like.
  • the thermal growth may be an RTA process performed at a temperature ranging from approximately 700-900° C. for a time ranging from approximately 5-30 seconds in a nitrogen-containing ambient that may include at least one of nitrous oxide (N 2 O), nitric oxide (NO), ammonia (NH 3 ), and the like.
  • portions 525 of the dielectric layer 425 remaining on the sidewalls 530 of the doped-poly gate 410 and the gate dielectric 415 of the MOS transistor 400 may be formed using a variety of known anisotropic etching techniques, such as a reactive ion etching (RIE) process using hydrogen bromide (HBr) and argon (Ar) as the etchant gases, for example.
  • RIE reactive ion etching
  • HBr hydrogen bromide
  • Ar argon
  • an RIE process with CHF 3 and Ar as the etchant gases may be used, for example.
  • This anisotropic etching removes portions (not shown) of the dielectric layer 425 from above the respective upper surfaces 430 and 435 of the doped-poly gate 410 and the N ⁇ -doped (P ⁇ -doped) LDD regions 420 while retaining the portions 525 remaining on the sidewalls 530 .
  • a first conductive layer 640 may be formed above the respective upper surfaces 430 and 435 of the doped-poly gate 410 and the N ⁇ -doped (P ⁇ -doped) LDD regions 420 , and adjacent the portions 525 of the dielectric layer 425 remaining on the sidewalls 530 .
  • the first conductive layer 640 may be formed by a variety of known techniques, e.g., high-density ionized metal plasma (IMP) deposition, high-density inductively coupled plasma (ICP) deposition, sputtering, PVD, CVD, LPCVD, PECVD, and the like, and may have a thickness ranging from approximately 50-150 ⁇ .
  • IMP high-density ionized metal plasma
  • ICP inductively coupled plasma
  • the first conductive layer 640 may be formed of a variety of materials suitable to form a high-temperature-stable, thin silicide able to withstand the elevated temperatures of annealing and heating, such as RTA processes used to diffuse and activate ion-implanted dopants. Such dopant-activating RTA processes may be performed at temperatures ranging from approximately 800-1100° C. for a time ranging from approximately 5-60 seconds.
  • the first conductive layer 640 may also be formed of a variety of materials suitable to form a high-temperature-stable, thin silicide that is also stable against agglomeration. Agglomeration is believed to be the tendency of some silicides, such as titanium suicide (TiSi 2 ) and zirconium silicide (ZrSi 2 ), to try to minimize their surface areas at high temperatures by balling up and forming spheres that increase the resistance of the agglomerated silicides.
  • TiSi 2 titanium suicide
  • ZrSi 2 zirconium silicide
  • the first conductive layer 640 may be formed by blanket-depositing refractory metals such as tungsten (W), molybdenum (Mo), cobalt (Co), and the like, above the respective upper surfaces 430 and 435 of the doped-poly gate 410 and the N ⁇ -doped (P ⁇ -doped) LDD regions 420 , and adjacent the portions 525 of the dielectric layer 425 remaining on the sidewalls 530 .
  • refractory metals such as tungsten (W), molybdenum (Mo), cobalt (Co), and the like
  • the first conductive layer 640 may then be subjected to a self-aligned silicidation (salicidation) process to render the doped-poly gate 410 and the N ⁇ -doped (P ⁇ -doped) LDD regions 420 more conductive, for example.
  • self-aligned silicided (salicided) first conductive layers 740 are formed only at the respective upper surfaces 430 and 435 of the doped-poly gate 410 and the N ⁇ -doped (P ⁇ -doped) LDD regions 420 .
  • FIG. 1 the first conductive layer 640 may then be subjected to a self-aligned silicidation (salicidation) process to render the doped-poly gate 410 and the N ⁇ -doped (P ⁇ -doped) LDD regions 420 more conductive, for example.
  • self-aligned silicided (salicided) first conductive layers 740 are formed only
  • a minimum distance d may be provided between the salicided first conductive layers 740 and a junction 745 between the N ⁇ -doped (P ⁇ -doped) LDD regions 420 and the structure 405 .
  • the minimum distance d may be in a range of at least about 50 ⁇ -200 ⁇ .
  • the first conductive layer 640 may be subjected to the first step of a two-step heat-treating process to begin converting the first conductive layer 640 into a metal suicide.
  • the first step of the two-step heat-treating process may be an RTA process performed at a temperature ranging from approximately 450-800° C. for a time ranging from approximately 15-60 seconds. It is believed that only upper portions of the doped-poly gate 410 and the N ⁇ -doped (P ⁇ -doped) LDD regions 420 below the respective upper surfaces 430 and 435 would be consumed to form the metal silicide of the salicided first conductive layers 740 . It is further believed that silicide will not form on the portions 525 of the dielectric layer 425 remaining on the sidewalls 530 , facilitating the self-alignment of the salicidization process.
  • Unsilicided material in the first conductive layer 640 may be removed by a cleaning and/or a wet chemical stripping, for example. Thereafter, the remaining silicided material may be subjected to the second step of the two-step heat-treating process to finish converting the remaining portions of the first conductive layer 640 into the metal silicide of the salicided first conductive layers 740 .
  • the salicidization process renders the doped-poly gate 410 and the N-doped (P-doped) LDD regions 420 of the structure 405 more conductive by providing the salicided first conductive layers 740 , lowering the overall resistivity of the MOS transistor 400 .
  • dielectric spacers 850 may be formed by a variety of techniques above the salicided first conductive layers 740 above portions 855 of the N ⁇ -doped (P ⁇ -doped) LDD regions 420 and adjacent the portions 525 of the dielectric layer 425 remaining on the sidewalls 530 .
  • the dielectric spacers 850 may be formed by depositing a conformal layer of the appropriate material above and adjacent the doped-poly gate 410 and the portions 525 of the dielectric layer 425 remaining on the sidewalls 530 and then performing an anisotropic RIE process on the conformally blanket-deposited layer.
  • the dielectric spacers 850 may each have a base thickness ranging from approximately 300-1500 ⁇ , for example, as measured horizontally from the sidewalls 860 of the portions 525 of the dielectric layer 425 remaining on the sidewalls 530 .
  • the dielectric spacers 850 may be formed from a variety of dielectric materials and may, for example, be an oxide (e.g., Ge oxide), a nitride (e.g., GaAs nitride), an oxynitride (e.g., GaP oxynitride), silicon dioxide (SiO 2 ), nitrogen-bearing SiO 2 , silicon nitride (Si 3 N 4 ), silicon oxynitride (Si x O y N z ), and the like.
  • oxide e.g., Ge oxide
  • a nitride e.g., GaAs nitride
  • an oxynitride e.g., GaP oxy
  • the dielectric spacers 850 may also be formed of any suitable “low dielectric constant” or “low K” material, where K is less than or equal to about 4. Additionally, the dielectric spacers 850 may be comprised of a fluorine-doped oxide, a fluorine-doped nitride, a fluorine-doped oxynitride, a fluorine-doped low K material, and the like. In one illustrative embodiment, the dielectric spacers 850 are comprised of SiO 2 , having a base thickness of approximately 300 ⁇ .
  • the dielectric spacers 850 may be comprised of a material selective to the salicided first conductive layers 740 .
  • the dielectric spacers 850 may be comprised of an oxynitride.
  • a dopant 965 may be implanted to introduce dopant atoms and/or molecules to form N + -doped (P + -doped) source/drain regions 970 .
  • a dose of the dopant 965 atoms and/or molecules may range from approximately 1.0 ⁇ 10 15 -5.0 ⁇ 10 15 ions/cm 2 of the appropriate dopant 965 atoms and/or molecules, e.g., phosphorus (P) for an illustrative NMOS transistor or boron (B) for an illustrative PMOS transistor.
  • An implant energy of the dopant 965 atoms and/or molecules may range from approximately 30-100 keV.
  • a dose of the dopant 965 atoms is approximately 1.0 ⁇ 10 15 ions/cm 2 of P for an NMOS transistor or B for a PMOS transistor at an implant energy of approximately 30 keV.
  • the dopant 965 may be an N + implant such as phosphorus (P), arsenic (As), antimony (Sb), bismuth (Bi), and the like, and may form heavily doped N + source/drain regions 970 .
  • An N + implant would be appropriate for the fabrication of an NMOS transistor 400 , for example.
  • the dopant 965 may be a P + implant such as boron (B), boron fluoride (BF, BF 2 ), aluminum (Al), gallium (Ga), Indium (In), Thallium (Tl), and the like, and may form heavily doped P + source/drain regions 970 .
  • a P + implant would be appropriate for the fabrication of a PMOS transistor 400 , for example.
  • the N + -doped (P + -doped) source/drain regions 970 may be subjected to an RTA process performed at a temperature ranging from approximately 800-1100° C. for a time ranging from approximately 5-60 seconds.
  • the RTA process may activate the implant of the more mobile P (for N + -doping appropriate for an NMOS transistor 400 ) or B (for P + -doping appropriate for a PMOS transistor 400 ) and form a less sharply defined and more graded activated implant junction 1075 with the structure 405 than would an RTA process following an implant with a source/drain dose of less mobile As (for N + -doping appropriate for an NMOS transistor) or BF 2 (for P + -doping appropriate for a PMOS transistor).
  • an RTA process to diffuse and activate the N + -doped (P + -doped) source/drain regions 970 may be performed in conjunction with a second salicidation described in more detail below (see FIGS. 11 - 12 ), either prior to, during or following the second salicidation.
  • a salicidation-conjoined RTA process may be performed at a temperature ranging from approximately 800-1000° C. for a time ranging from approximately 10-60 seconds.
  • a second conductive layer 1180 may be formed above the respective upper surfaces 430 and 435 of the salicided first conductive layers 740 above the doped-poly gate 410 and the N + -doped (P + -doped) source/drain regions 970 , and adjacent the dielectric spacers 850 .
  • the second conductive layer 1180 may be formed by a variety of known techniques, e.g., high-density ionized metal plasma (IMP) deposition, high-density inductively coupled plasma (ICP) deposition, sputtering, PVD, CVD, LPCVD, PECVD, and the like, and may have a thickness ranging from approximately 100-400 ⁇ .
  • IMP high-density ionized metal plasma
  • ICP inductively coupled plasma
  • the second conductive layer 1180 may be formed of a variety of materials suitable to form silicides such as titanium silicide (TiSi 2 ) and zirconium silicide (ZrSi 2 ).
  • the second conductive layer 1180 may be formed by blanket-depositing metals such as titanium (Ti), zirconium (Zr), tungsten (W), tantalum (Ta), nickel (Ni), molybdenum (Mo), cobalt (Co), and the like, above the respective upper surfaces 430 and 435 of the salicided first conductive layers 740 above the doped-poly gate 410 and the N + -doped (P + -doped) source/drain regions 970 , and adjacent the dielectric spacers 850 .
  • the second conductive layer 1180 may then be subjected to a salicidation process to render the doped-poly gate 410 and the N + -doped (P + -doped) source/drain regions 970 more conductive, for example.
  • salicided second conductive layers 1280 are formed only at and below the respective upper surfaces 430 and 435 of the doped-poly gate 410 and the N + -doped (P + -doped) source/drain regions 970 .
  • a minimum distance D may be provided between the salicided second conductive layers 1280 and a junction 1075 between the N + -doped (P + -doped) source/drain regions 970 and the structure 405 .
  • the minimum distance D may be in a range of at least about 50 ⁇ -200 ⁇ .
  • the second conductive layer 1180 may be subjected to the first step of a two-step heat-treating process to begin diffusing the metal atoms of the second conductive layer 1180 through the salicided first conductive layers 740 and to begin converting the second conductive layer 1180 into a metal suicide.
  • the first step of the two-step heat-treating process may be an RTA process performed at a temperature ranging from approximately 450-800° C. for a time ranging from approximately 15-60 seconds.
  • Unsilicided material in the second conductive layer 1180 may be removed by a cleaning and/or a wet chemical stripping, for example. Thereafter, the remaining silicided material may be subjected to the second step of the two-step heat-treating process to finish converting the remaining portions of the second conductive layer 1180 into the metal suicide of the salicided second conductive layers 1280 .
  • the salicidization process renders the doped-poly gate 410 and the N + -doped (P + -doped) source/drain regions 970 of the structure 405 more conductive by providing the salicided second conductive layers 1280 , lowering the overall resistivity of the MOS transistor 400 .
  • any of the above-disclosed embodiments of a method for fabricating a semiconductor device according to the present invention provides for increased operating speed and performance of the semiconductor device. Additionally, the present invention allows formation of semiconductor devices with decreased resistivity and increased conductivity, increasing the operating speed of the semiconductor devices and allowing more drive current.
  • the N ⁇ -doped (P ⁇ -doped) LDD regions 130 continue to degrade the device drive current, and the source/drain current through the device, because of the higher resistances of the N ⁇ -doped (P ⁇ -doped) LDD regions 130 .
  • any of the above-disclosed embodiments (see FIGS. 4 - 12 ) of a method for fabricating a semiconductor device according to the present invention provides for lower resistances of the N ⁇ -doped (P ⁇ -doped) LDD regions 420 .
  • the overall source-to-drain resistance even with the conventional salicided TiSi 2 350 in the N + -doped (P + -doped) source/drain regions 970 , is no longer determined by the lower dopings, and, hence, higher resistances, of the N ⁇ -doped (P ⁇ -doped) LDD regions 420 because the salicidization process renders the doped-poly gate 410 and the N ⁇ -doped (P ⁇ -doped) LDD regions 420 of the structure 405 more conductive by providing the salicided first conductive layers 740 , lowering the overall source-to-drain resistance and resistivity of the MOS transistor 400 .
  • the above-disclosed embodiments of methods for semiconductor device fabrication according to the present invention enable semiconductor device fabrication with increased device density and precision and an increased signal-to-noise ratio, and enable a streamlined and simplified process flow. For example, no additional masking steps are required to form both salicided source/drain regions and salicided LDD regions in an MOS transistor and to reduce the device channel length. This decreases the complexity, and lowers the costs, of the manufacturing process, increasing reliability and throughput.

Abstract

A method is provided for fabricating a semiconductor device on a structure, the method including forming a dielectric layer adjacent a gate conductor of the semiconductor device and above an LDD region of the structure and removing a first portion of the dielectric layer above the gate conductor and above the LDD region. The method also includes forming a first conductive layer above the gate conductor, adjacent the dielectric layer and above the LDD region and saliciding the first conductive layer above the gate conductor and above the LDD region to form a salicided first conductive layer.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • This invention relates generally to semiconductor fabrication technology, and, more particularly, to a method of fabricating a semiconductor device such as a transistor. [0002]
  • 2. Description of the Related Art [0003]
  • There is a constant drive within the semiconductor industry to increase the operating speed of integrated circuit devices, e.g., microprocessors, memory devices, and the like. This drive is fueled by consumer demands for computers and electronic devices that operate at increasingly greater speeds. This demand for increased speed has resulted in a continual reduction in the size of semiconductor devices, e.g., transistors. That is, many components of a typical field effect transistor (FET), e.g., channel length, junction depths, gate dielectric thickness, and the like, are reduced. For example, all other things being equal, the smaller the channel length of the FET, the faster the transistor will operate. Thus, there is a constant drive to reduce the size, or scale, of the components of a typical transistor to increase the overall speed of the transistor, as well as integrated circuit devices incorporating such transistors. Additionally, reducing the size, or scale, of the components of a typical transistor also increases the density, and number, of the transistors that can be produced on a given amount of wafer real estate, lowering the overall cost per transistor as well as the cost of integrated circuit devices incorporating such transistors. [0004]
  • However, reducing the channel length of a transistor also requires reducing the size and area of electrical contacts to active areas, such as N[0005] + (P+) source/drain regions and a doped-polycrystalline silicon (doped-polysilicon or doped-poly) gate conductor. As the size and area of the electrical contacts to the active areas get smaller, the active area contact resistance increases. Increased active area contact resistance is undesirable for a number of reasons. For example, increased active area contact resistance may reduce device drive current, and source/drain current through the device, and may also adversely affect the overall speed and operation of the transistor.
  • Typically, depositing titanium (Ti) or cobalt (Co) on the active area electrical contacts may decrease active area contact resistance. The Ti may then be silicided by annealing with a heat-treatment to form titanium silicide (TiSi[0006] 2) at the active area electrical contacts (self-aligned silicidation or salicidation). The salicided TiSi2 lowers active area contact resistance.
  • As shown in FIG. 1, a metal oxide semiconductor field effect transistor (MOSFET or MOS transistor) [0007] 100 may be formed on a semiconducting substrate 105, such as doped-silicon. The MOS transistor 100 may have a doped-poly gate 110 formed above a gate oxide 115 formed above the semiconducting substrate 105. The doped-poly gate 110 and the gate oxide 115 may be separated from N+-doped (P+-doped) source/drain regions 120 of the MOS transistor 100 by dielectric spacers 125. The dielectric spacers 125 may be formed above N-doped (P-doped) lightly doped drain (LDD) regions 130.
  • The N[0008] -doped (P-doped) LDD regions 130 are typically provided to reduce the magnitude of the maximum channel electric field found close to the N+-doped (P+-doped) source/drain regions 120 of the MOS transistor 100, and, thereby, to reduce the associated hot-carrier effects. The lower (or lighter) doping of the N-doped (P-doped) LDD regions 130, relative to the N+-doped (P+-doped) source/drain regions 120 of the MOS transistor 100, reduces the magnitude of the maximum channel electric field found close to the N+-doped (P+-doped) source/drain regions 120 of the MOS transistor 100, but increases the source-to-drain resistances of the N-doped (P-doped) LDD regions 130.
  • As shown in FIG. 2, a [0009] Ti metal layer 235 may be blanket-deposited on the MOS transistor 100 shown in FIG. 1 and then subjected to an initial rapid thermal anneal (RTA) process performed at a temperature ranging from approximately 450-800° C. for a time ranging from approximately 15-60 seconds. At surfaces 240 of active areas 245, such as the N+-doped (P+-doped) source/drain regions 120 and the doped-poly gate 110, exposed Si reacts upon heating with the Ti metal layer 235 to form TiSi2 at the surfaces 240 of the active areas 245. The Ti metal layer 235 is not believed to react with the dielectric spacers 125 upon heating.
  • As shown in FIG. 3, a wet chemical strip of the [0010] Ti metal layer 235 removes excess, unreacted portions (not shown) of the Ti metal layer 235, leaving behind the salicided TiSi 2 350 only at and below the surfaces 240 of the active areas 245. The salicided TiSi 2 350 may then be subjected to a final RTA process performed at a temperature ranging from approximately 800-1000° C. for a time ranging from approximately 10-60 seconds.
  • However, even though conventional salicided TiSi[0011] 2 (or salicided CoSi2) lowers the contact resistances of the active areas 245, such as the N+-doped (P+-doped) source/drain regions 120 and the doped-poly gate 110, the N-doped (P-doped) LDD regions 130 continue to degrade the device drive current, and the source/drain current through the device, because of the higher resistances of the N-doped (P-doped) LDD regions 130. The overall source-to-drain resistance, even with the conventional salicided TiSi 2 350 in the N+-doped (P+-doped) source/drain regions 120, is significantly determined by the lower dopings, and, hence, higher resistances, of the N-doped (P-doped) LDD regions 130.
  • The present invention is directed to overcoming, or at least reducing the effects of, one or more of the problems set forth above. [0012]
  • SUMMARY OF THE INVENTION
  • In one aspect of the present invention, a method is provided for fabricating a semiconductor device on a structure, the method including forming a dielectric layer adjacent a gate conductor of the semiconductor device and above an LDD region of the structure and removing a first portion of the dielectric layer above the gate conductor and above the LDD region. The method also includes forming a first conductive layer above the gate conductor, adjacent the dielectric layer and above the LDD region and saliciding the first conductive layer above the gate conductor and above the LDD region to form a salicided first conductive layer. [0013]
  • In another aspect of the present invention, a semiconductor device is provided including a structure, a gate dielectric above the structure and a gate conductor above the gate dielectric. The semiconductor device also includes an LDD region of the structure adjacent the gate dielectric and the gate conductor, a dielectric layer adjacent the gate conductor and the gate dielectric, and a salicided first conductive layer above the gate conductor and above the LDD region.[0014]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The invention may be understood by reference to the following description taken in conjunction with the accompanying drawings, in which the leftmost significant digit(s) in the reference numerals denote(s) the first figure in which the respective reference numerals appear, and in which: [0015]
  • FIGS. [0016] 1-3 illustrate schematically in cross-section a conventional salicidation method for MOS transistor fabrication; and
  • FIGS. [0017] 4-12 illustrate schematically in cross-section various embodiments of a method for semiconductor device fabrication according to the present invention.
  • While the invention is susceptible to various modifications and alternative forms, specific embodiments thereof have been shown by way of example in the drawings and are herein described in detail. It should be understood, however, that the description herein of specific embodiments is not intended to limit the invention to the particular forms disclosed, but on the contrary, the intention is to cover all modifications, equivalents, and alternatives falling within the spirit and scope of the invention as defined by the appended claims. [0018]
  • DETAILED DESCRIPTION OF SPECIFIC EMBODIMENTS
  • Illustrative embodiments of the invention are described below. In the interest of clarity, not all features of an actual implementation are described in this specification. It will of course be appreciated that in the development of any such actual embodiment, numerous implementation-specific decisions must be made to achieve the developers' specific goals. such as compliance with system-related and business-related constraints, which will vary from one implementation to another. Moreover, it will be appreciated that such a development effort might be complex and time-consuming, but would nevertheless be a routine undertaking for those of ordinary skill in the art having the benefit of this disclosure. [0019]
  • Illustrative embodiments of a method for semiconductor device fabrication according to the present invention are shown in FIGS. [0020] 4-12. Although the various regions and structures of a semiconductor device are depicted in the drawings as having very precise, sharp configurations and profiles, those skilled in the art recognize that, in reality, these regions and structures are not as precise as indicated in the drawings. Nevertheless, the attached drawings are included to provide illustrative examples of the present invention.
  • In general, the present invention is directed towards the manufacture of a semiconductor device. As will be readily apparent to those skilled in the art upon a complete reading of the present application, the present method is applicable to a variety of technologies, for example, NMOS, PMOS, CMOS, and the like, and is readily applicable to a variety of devices, including, but not limited to, logic devices, memory devices, and the like. [0021]
  • As shown in FIG. 4, a [0022] MOS transistor 400 may be formed on a structure 405 such as a semiconducting substrate (e.g., doped-silicon). The MOS transistor 400 may have a doped-poly gate 410 formed above a gate dielectric 415 formed above the structure 405.
  • The doped-[0023] poly gate 410 may doped with arsenic (As) for an NMOS transistor for example, or boron (B) for a PMOS transistor, to render the poly more conductive. The poly may be formed undoped, by an LPCVD process for higher throughput, to have a thickness ranging from approximately 1000-2000 Å, for example. The doping of the poly may conveniently be accomplished by diffusing or implanting the dopant atoms and/or molecules through the upper surface of the poly. The doped-poly gate 410 may then be subjected to a heat-treating process that may be a rapid thermal anneal (RTA) process performed at a temperature ranging from approximately 800-1100° C. for a time ranging from approximately 5-60 seconds.
  • The gate dielectric [0024] 415 may have a thickness ranging from approximately 25-50 Å, for example, and may be formed from a variety of dielectric materials and may, for example, be an oxide (e.g., Ge oxide), an oxynitride (e.g., GaP oxynitride), silicon dioxide (SiO2), a nitrogen-bearing oxide (e.g., nitrogen-bearing SiO2), a nitrogen-doped oxide (e.g., N2-implanted SiO2), silicon oxynitride (SixOyNz), and the like.
  • The gate dielectric [0025] 415 may also be formed of any suitable “high dielectric constant” or “high K” material, where K is greater than or equal to about 8, such as titanium oxide (TixOy, e g, TiO2), tantalum oxide (TaxOy, e.g., Ta2O5), barium strontium titanate (BST, BaTiO3/SrTiO3), and the like. The gate dielectric 415 may have an equivalent oxide thickness tox-eq ranging from approximately 25-50 Å, for example. An equivalent oxide thickness tox-eq may be defined to be the thickness t of a dielectric material (with a dielectric constant K) that would have a capacitance C that is approximately the same as the capacitance Cox that a thickness tox-eq of silicon dioxide (SiO2) would have. Since SiO2 has a dielectric constant Kox of approximately 4, and since C=K/t and Cox=Kox/tox-eq, then t=K/C=K/Cox=Ktox-eq/Kox=Ktox-eq/4, approximately. For example, the gate dielectric 415 may be formed of a tantalum oxide (TaxOy, e.g., Ta2O5) with a dielectric constant KTaO of approximately 24. Then, using t=KTaO/C=KTaO/Cox=KTaOtox-eq/Kox=24tox-eq/4, approximately, an equivalent oxide thickness tox-eq ranging from approximately 25-50 Å would correspond to a Ta2O5 thickness tTaO ranging from approximately 150-300 Å.
  • The doped-[0026] poly gate 410 and the gate dielectric 415 may be adjacent N-doped (P-doped) lightly doped drain (LDD) regions 420 formed in the structure 405. In illustrative embodiments, the N-doped (P-doped) LDD regions 420 may be formed by being implanted with an LDD dose of arsenic (As, for N-doping appropriate for an NMOS transistor 400) or boron difluoride (BF2, for P-doping appropriate for a PMOS transistor 400). The LDD dose may range from about 1.0×1014−1.0×1015 ions/cm2 at an implant energy ranging from about 3-50 keV. The N-doped (P-doped) LDD regions 420 may be subjected to an RTA process performed at a temperature ranging from approximately 800-1100° C. for a time ranging from approximately 5-60 seconds. The RTA process may activate the implant and form a more sharply defined and less graded activated implant junction with the structure 405 than would an RTA process following an implant with an LDD dose of more mobile phosphorus (P, for N-doping appropriate for an NMOS transistor 400) or boron (B, for P-doping appropriate for a PMOS transistor 400).
  • As shown in FIG. 4, a [0027] dielectric layer 425 may be formed adjacent the doped-poly gate 410 and the gate dielectric 415 of the MOS transistor 400 and above the N-doped (P-doped) LDD regions 420. The dielectric layer 425 may be formed by a variety of known techniques for forming such layers, e.g., chemical vapor deposition (CVD), low-pressure CVD (LPCVD), plasma-enhanced CVD (PECVD), sputtering, physical vapor deposition (PVD), thermal growing, and the like, and may have an equivalent oxide thickness tox-eq ranging from approximately 50 Å-300 Å, for example.
  • The [0028] dielectric layer 425 may be formed from a variety of dielectric materials and may, for example, be an oxide (e.g., Ge oxide), an oxynitride (e.g., GaP oxynitride), silicon dioxide (SiO2), a nitrogen-bearing oxide (e.g., nitrogen-bearing SiO2), a nitrogen-doped oxide (e.g., N2-implanted SiO2), silicon oxynitride (SixOyNz). and the like. The dielectric layer 425 may also be formed of any suitable “low dielectric constant” or “low K” material, where K is less than or equal to about 4. Alternatively, the dielectric layer 425 may be formed of any suitable “high dielectric constant” or “high K” material. where K is greater than or equal to about 8, such as titanium oxide (TixOy, e g, TiO2), tantalum oxide (TaxOy, e.g., Ta2O5), barium strontium titanate (BST, BaTiO3/SrTiO3), and the like. In one illustrative embodiment, the dielectric layer 425 is comprised of a silicon dioxide (SiO2) having a thickness of approximately 50 Å, which is formed by being blanket-deposited by an LPCVD process for higher throughput.
  • In another illustrative embodiment, the [0029] dielectric layer 425 may be formed by, for example, thermally growing a layer of dielectric material on the exposed surfaces 430 and 435 of the respective doped-poly gate 410 and the N-doped (P-doped) LDD regions 420. Note that, in this case (not shown), the material for the dielectric layer 425 would not be expected to grow thermally on the exposed sidewall 440 of the gate dielectric 415. In this illustrative embodiment, the dielectric layer 425 may be comprised of SiO2, having a thickness of approximately 50 Å, which is thermally grown for higher throughput. The thermal growth may be performed in a traditional tube furnace, at a temperature ranging from approximately 700-900° C., for a time period ranging from approximately 2-10 minutes, in a nitrogen-containing ambient that may include at least one of nitrous oxide (N2O), nitric oxide (NO), ammonia (NH3), and the like. Alternatively, the thermal growth may be an RTA process performed at a temperature ranging from approximately 700-900° C. for a time ranging from approximately 5-30 seconds in a nitrogen-containing ambient that may include at least one of nitrous oxide (N2O), nitric oxide (NO), ammonia (NH3), and the like.
  • As shown in FIG. 5, [0030] portions 525 of the dielectric layer 425 remaining on the sidewalls 530 of the doped-poly gate 410 and the gate dielectric 415 of the MOS transistor 400 may be formed using a variety of known anisotropic etching techniques, such as a reactive ion etching (RIE) process using hydrogen bromide (HBr) and argon (Ar) as the etchant gases, for example. Alternatively, an RIE process with CHF3 and Ar as the etchant gases may be used, for example. This anisotropic etching removes portions (not shown) of the dielectric layer 425 from above the respective upper surfaces 430 and 435 of the doped-poly gate 410 and the N-doped (P-doped) LDD regions 420 while retaining the portions 525 remaining on the sidewalls 530.
  • As shown in FIG. 6, a first [0031] conductive layer 640 may be formed above the respective upper surfaces 430 and 435 of the doped-poly gate 410 and the N-doped (P-doped) LDD regions 420, and adjacent the portions 525 of the dielectric layer 425 remaining on the sidewalls 530. The first conductive layer 640 may be formed by a variety of known techniques, e.g., high-density ionized metal plasma (IMP) deposition, high-density inductively coupled plasma (ICP) deposition, sputtering, PVD, CVD, LPCVD, PECVD, and the like, and may have a thickness ranging from approximately 50-150 Å.
  • The first [0032] conductive layer 640 may be formed of a variety of materials suitable to form a high-temperature-stable, thin silicide able to withstand the elevated temperatures of annealing and heating, such as RTA processes used to diffuse and activate ion-implanted dopants. Such dopant-activating RTA processes may be performed at temperatures ranging from approximately 800-1100° C. for a time ranging from approximately 5-60 seconds.
  • The first [0033] conductive layer 640 may also be formed of a variety of materials suitable to form a high-temperature-stable, thin silicide that is also stable against agglomeration. Agglomeration is believed to be the tendency of some silicides, such as titanium suicide (TiSi2) and zirconium silicide (ZrSi2), to try to minimize their surface areas at high temperatures by balling up and forming spheres that increase the resistance of the agglomerated silicides. The first conductive layer 640 may be formed by blanket-depositing refractory metals such as tungsten (W), molybdenum (Mo), cobalt (Co), and the like, above the respective upper surfaces 430 and 435 of the doped-poly gate 410 and the N-doped (P-doped) LDD regions 420, and adjacent the portions 525 of the dielectric layer 425 remaining on the sidewalls 530.
  • As shown in FIG. 7 the first [0034] conductive layer 640 may then be subjected to a self-aligned silicidation (salicidation) process to render the doped-poly gate 410 and the N-doped (P-doped) LDD regions 420 more conductive, for example. In particular, self-aligned silicided (salicided) first conductive layers 740 are formed only at the respective upper surfaces 430 and 435 of the doped-poly gate 410 and the N-doped (P-doped) LDD regions 420. As shown in FIG. 7, a minimum distance d may be provided between the salicided first conductive layers 740 and a junction 745 between the N-doped (P-doped) LDD regions 420 and the structure 405. The minimum distance d may be in a range of at least about 50 Å-200 Å.
  • The first [0035] conductive layer 640 may be subjected to the first step of a two-step heat-treating process to begin converting the first conductive layer 640 into a metal suicide. For example, the first step of the two-step heat-treating process may be an RTA process performed at a temperature ranging from approximately 450-800° C. for a time ranging from approximately 15-60 seconds. It is believed that only upper portions of the doped-poly gate 410 and the N-doped (P-doped) LDD regions 420 below the respective upper surfaces 430 and 435 would be consumed to form the metal silicide of the salicided first conductive layers 740. It is further believed that silicide will not form on the portions 525 of the dielectric layer 425 remaining on the sidewalls 530, facilitating the self-alignment of the salicidization process.
  • Unsilicided material in the first [0036] conductive layer 640, particularly adjacent the portions 525 of the dielectric layer 425 remaining on the sidewalls 530, may be removed by a cleaning and/or a wet chemical stripping, for example. Thereafter, the remaining silicided material may be subjected to the second step of the two-step heat-treating process to finish converting the remaining portions of the first conductive layer 640 into the metal silicide of the salicided first conductive layers 740. The salicidization process renders the doped-poly gate 410 and the N-doped (P-doped) LDD regions 420 of the structure 405 more conductive by providing the salicided first conductive layers 740, lowering the overall resistivity of the MOS transistor 400.
  • As shown in FIG. 8, [0037] dielectric spacers 850 may be formed by a variety of techniques above the salicided first conductive layers 740 above portions 855 of the N-doped (P-doped) LDD regions 420 and adjacent the portions 525 of the dielectric layer 425 remaining on the sidewalls 530. For example, the dielectric spacers 850 may be formed by depositing a conformal layer of the appropriate material above and adjacent the doped-poly gate 410 and the portions 525 of the dielectric layer 425 remaining on the sidewalls 530 and then performing an anisotropic RIE process on the conformally blanket-deposited layer. The dielectric spacers 850 may each have a base thickness ranging from approximately 300-1500 Å, for example, as measured horizontally from the sidewalls 860 of the portions 525 of the dielectric layer 425 remaining on the sidewalls 530. The dielectric spacers 850, like the dielectric layer 425, may be formed from a variety of dielectric materials and may, for example, be an oxide (e.g., Ge oxide), a nitride (e.g., GaAs nitride), an oxynitride (e.g., GaP oxynitride), silicon dioxide (SiO2), nitrogen-bearing SiO2, silicon nitride (Si3N4), silicon oxynitride (SixOyNz), and the like. The dielectric spacers 850 may also be formed of any suitable “low dielectric constant” or “low K” material, where K is less than or equal to about 4. Additionally, the dielectric spacers 850 may be comprised of a fluorine-doped oxide, a fluorine-doped nitride, a fluorine-doped oxynitride, a fluorine-doped low K material, and the like. In one illustrative embodiment, the dielectric spacers 850 are comprised of SiO2, having a base thickness of approximately 300 Å.
  • In another illustrative embodiment, the [0038] dielectric spacers 850 may be comprised of a material selective to the salicided first conductive layers 740. For example, if the salicided first conductive layers 740 were comprised of CoSi2, then the dielectric spacers 850 may be comprised of an oxynitride.
  • As shown in FIG. 9, a dopant [0039] 965 (indicated by arrows) may be implanted to introduce dopant atoms and/or molecules to form N+-doped (P+-doped) source/drain regions 970. In one illustrative embodiment, a dose of the dopant 965 atoms and/or molecules may range from approximately 1.0×1015-5.0×1015 ions/cm2 of the appropriate dopant 965 atoms and/or molecules, e.g., phosphorus (P) for an illustrative NMOS transistor or boron (B) for an illustrative PMOS transistor. An implant energy of the dopant 965 atoms and/or molecules may range from approximately 30-100 keV. In another illustrative embodiment, a dose of the dopant 965 atoms is approximately 1.0×1015 ions/cm2 of P for an NMOS transistor or B for a PMOS transistor at an implant energy of approximately 30 keV.
  • The [0040] dopant 965 may be an N+ implant such as phosphorus (P), arsenic (As), antimony (Sb), bismuth (Bi), and the like, and may form heavily doped N+ source/drain regions 970. An N+ implant would be appropriate for the fabrication of an NMOS transistor 400, for example. Alternatively, the dopant 965 may be a P+ implant such as boron (B), boron fluoride (BF, BF2), aluminum (Al), gallium (Ga), Indium (In), Thallium (Tl), and the like, and may form heavily doped P+ source/drain regions 970. A P+ implant would be appropriate for the fabrication of a PMOS transistor 400, for example.
  • As shown in FIG. 10, the N[0041] +-doped (P+-doped) source/drain regions 970 may be subjected to an RTA process performed at a temperature ranging from approximately 800-1100° C. for a time ranging from approximately 5-60 seconds. The RTA process may activate the implant of the more mobile P (for N+-doping appropriate for an NMOS transistor 400) or B (for P+-doping appropriate for a PMOS transistor 400) and form a less sharply defined and more graded activated implant junction 1075 with the structure 405 than would an RTA process following an implant with a source/drain dose of less mobile As (for N+-doping appropriate for an NMOS transistor) or BF2 (for P+-doping appropriate for a PMOS transistor).
  • Alternatively, an RTA process to diffuse and activate the N[0042] +-doped (P+-doped) source/drain regions 970 may be performed in conjunction with a second salicidation described in more detail below (see FIGS. 11-12), either prior to, during or following the second salicidation. Such a salicidation-conjoined RTA process may be performed at a temperature ranging from approximately 800-1000° C. for a time ranging from approximately 10-60 seconds.
  • As shown in FIG. 11, a second [0043] conductive layer 1180 may be formed above the respective upper surfaces 430 and 435 of the salicided first conductive layers 740 above the doped-poly gate 410 and the N+-doped (P+-doped) source/drain regions 970, and adjacent the dielectric spacers 850. The second conductive layer 1180 may be formed by a variety of known techniques, e.g., high-density ionized metal plasma (IMP) deposition, high-density inductively coupled plasma (ICP) deposition, sputtering, PVD, CVD, LPCVD, PECVD, and the like, and may have a thickness ranging from approximately 100-400 Å.
  • The second [0044] conductive layer 1180 may be formed of a variety of materials suitable to form silicides such as titanium silicide (TiSi2) and zirconium silicide (ZrSi2). The second conductive layer 1180 may be formed by blanket-depositing metals such as titanium (Ti), zirconium (Zr), tungsten (W), tantalum (Ta), nickel (Ni), molybdenum (Mo), cobalt (Co), and the like, above the respective upper surfaces 430 and 435 of the salicided first conductive layers 740 above the doped-poly gate 410 and the N+-doped (P+-doped) source/drain regions 970, and adjacent the dielectric spacers 850.
  • As shown in FIG. 12. the second [0045] conductive layer 1180 may then be subjected to a salicidation process to render the doped-poly gate 410 and the N+-doped (P+-doped) source/drain regions 970 more conductive, for example. In particular, salicided second conductive layers 1280 are formed only at and below the respective upper surfaces 430 and 435 of the doped-poly gate 410 and the N+-doped (P+-doped) source/drain regions 970. As shown in FIG. 12, a minimum distance D may be provided between the salicided second conductive layers 1280 and a junction 1075 between the N+-doped (P+-doped) source/drain regions 970 and the structure 405. The minimum distance D may be in a range of at least about 50 Å-200 Å.
  • The second [0046] conductive layer 1180 may be subjected to the first step of a two-step heat-treating process to begin diffusing the metal atoms of the second conductive layer 1180 through the salicided first conductive layers 740 and to begin converting the second conductive layer 1180 into a metal suicide. For example, the first step of the two-step heat-treating process may be an RTA process performed at a temperature ranging from approximately 450-800° C. for a time ranging from approximately 15-60 seconds. It is believed that only upper portions of the doped-poly gate 410 and the N+-doped (P+-doped) source/drain regions 970 below the respective upper surfaces 430 and 435 would be consumed to form the metal silicide of the salicided second conductive layers 1280. It is further believed that silicide will not form on the dielectric spacers 850, facilitating the self-alignment of the salicidization process.
  • Unsilicided material in the second [0047] conductive layer 1180, particularly adjacent the dielectric spacers 850, may be removed by a cleaning and/or a wet chemical stripping, for example. Thereafter, the remaining silicided material may be subjected to the second step of the two-step heat-treating process to finish converting the remaining portions of the second conductive layer 1180 into the metal suicide of the salicided second conductive layers 1280. The salicidization process renders the doped-poly gate 410 and the N+-doped (P+-doped) source/drain regions 970 of the structure 405 more conductive by providing the salicided second conductive layers 1280, lowering the overall resistivity of the MOS transistor 400.
  • Any of the above-disclosed embodiments of a method for fabricating a semiconductor device according to the present invention provides for increased operating speed and performance of the semiconductor device. Additionally, the present invention allows formation of semiconductor devices with decreased resistivity and increased conductivity, increasing the operating speed of the semiconductor devices and allowing more drive current. [0048]
  • As described above, referring to FIGS. [0049] 1-3 even though conventional salicided TiSi2 (or salicided CoSi2) lowers the contact resistances of active areas 245, such as the N+-doped (P+-doped) source/drain regions 120 and the doped-poly gate 110, the N-doped (P-doped) LDD regions 130 continue to degrade the device drive current, and the source/drain current through the device, because of the higher resistances of the N-doped (P-doped) LDD regions 130. The overall source-to-drain resistance, even with the conventional salicided TiSi 2 350 in the N+-doped (P+-doped) source/drain regions 120, is significantly determined by the lower dopings, and, hence, higher resistances, of the N-doped (P-doped) LDD regions 130. By way of contrast any of the above-disclosed embodiments (see FIGS. 4-12) of a method for fabricating a semiconductor device according to the present invention provides for lower resistances of the N-doped (P-doped) LDD regions 420. The overall source-to-drain resistance, even with the conventional salicided TiSi 2 350 in the N+-doped (P+-doped) source/drain regions 970, is no longer determined by the lower dopings, and, hence, higher resistances, of the N-doped (P-doped) LDD regions 420 because the salicidization process renders the doped-poly gate 410 and the N-doped (P-doped) LDD regions 420 of the structure 405 more conductive by providing the salicided first conductive layers 740, lowering the overall source-to-drain resistance and resistivity of the MOS transistor 400.
  • Furthermore, the above-disclosed embodiments of methods for semiconductor device fabrication according to the present invention enable semiconductor device fabrication with increased device density and precision and an increased signal-to-noise ratio, and enable a streamlined and simplified process flow. For example, no additional masking steps are required to form both salicided source/drain regions and salicided LDD regions in an MOS transistor and to reduce the device channel length. This decreases the complexity, and lowers the costs, of the manufacturing process, increasing reliability and throughput. [0050]
  • The particular embodiments disclosed above are illustrative only, as the invention may be modified and practiced in different but equivalent manners apparent to those skilled in the art having the benefit of the teachings herein. Furthermore, no limitations are intended to the details of construction or design herein shown, other than as described in the claims below. It is therefore evident that the particular embodiments disclosed above may be altered or modified and all such variations are considered within the scope and spirit of the invention. Accordingly, the protection sought herein is as set forth in the claims below. [0051]

Claims (40)

What is claimed:
1. A method for fabricating a semiconductor device on a structure, the method comprising:
forming a dielectric layer adjacent a gate conductor of the semiconductor device and above an LDD region of the structure;
removing a first portion of the dielectric layer above the gate conductor and above the LDD region;
forming a first conductive layer above the gate conductor, adjacent the dielectric layer and above the LDD region; and
saliciding the first conductive layer above the gate conductor and above the LDD region to form a salicided first conductive layer.
2. The method of claim 1, the method further comprising:
forming a dielectric spacer adjacent a second portion the dielectric layer adjacent the gate conductor;
introducing a dopant into a source/drain region of the structure;
forming a second conductive layer adjacent the dielectric spacer and above the salicided first conductive layer above the gate conductor and above the source/drain region; and
saliciding the second conductive layer above the gate conductor and above the source/drain region to form a salicided second conductive layer.
3. The method of claim 2, wherein forming the first conductive layer includes forming the first conductive layer from one of tungsten, molybdenum and cobalt and wherein forming the second conductive layer includes forming the second conductive layer from one of titanium, tantalum, nickel, zirconium, tungsten, molybdenum and cobalt.
4. The method of claim 1, wherein forming the dielectric layer includes forming the dielectric layer from one of an oxide and an oxynitride.
5. The method of claim 2, wherein forming the dielectric spacer includes forming the dielectric spacer from a material selective to the salicided first conductive layer.
6. A method for fabricating a MOSFET on a substrate, the method comprising:
forming a dielectric layer adjacent a gate conductor of the MOSFET and above LDD regions of the substrate;
removing a first portion of the dielectric layer above the gate conductor and above the LDD regions;
forming a first conductive layer above the gate conductor, adjacent the dielectric layer and above the LDD regions;
saliciding the first conductive layer above the gate conductor and above the LDD regions to form a salicided first conductive layer;
forming dielectric spacers adjacent a second portion the dielectric layer adjacent the gate conductor;
introducing a dopant into source/drain regions of the substrate;
forming a second conductive layer adjacent the dielectric spacers and above the salicided first conductive layer above the gate conductor and above the source/drain regions; and
saliciding the second conductive layer above the gate conductor and above the source/drain regions to form a salicided second conductive layer.
7. The method of claim 6, wherein forming the first conductive layer includes forming the first conductive layer from one of tungsten, molybdenum and cobalt.
8. The method of claim 6, wherein forming the second conductive layer includes forming the second conductive layer from one of titanium, tantalum, nickel, zirconium, tungsten, molybdenum and cobalt.
9. The method of claim 6, wherein forming the dielectric layer includes forming the dielectric layer from one of an oxide and an oxynitride.
10. The method of claim 6. wherein forming the dielectric spacers includes forming the dielectric spacers from a material selective to the salicided first conductive layer.
11. A method for fabricating a MOSFET on a substrate, the method comprising:
depositing a dielectric layer adjacent a gate conductor and gate dielectric of the MOSFET and above LDD regions of the substrate;
etching away a first portion of the dielectric layer above the gate conductor and above the LDD regions;
depositing a first conductive layer above the gate conductor, adjacent the dielectric layer and above the LDD regions;
annealing the first conductive layer above the gate conductor and above the LDD regions to form a salicided first conductive layer;
forming dielectric spacers adjacent a second portion the dielectric layer adjacent the gate conductor and the gate dielectric;
implanting a dopant into source/drain regions of the substrate;
depositing a second conductive layer adjacent the dielectric spacers and above the salicided first conductive layer above the gate conductor and above the source/drain regions; and
annealing the second conductive layer above the gate conductor and above the source/drain regions to form a salicided second conductive layer.
12. The method of claim 11, wherein depositing the first conductive layer includes depositing one of tungsten, molybdenum and cobalt.
13. The method of claim 11, wherein depositing the second conductive layer includes depositing one of titanium, tantalum, nickel. zirconium, tungsten, molybdenum and cobalt.
14. The method of claim 11, wherein depositing the dielectric layer includes depositing one of an oxide and an oxynitride.
15. The method of claim 11, wherein forming the dielectric spacers includes forming the dielectric spacers from a material selective to the salicided first conductive layer.
16. A method for fabricating a MOSFET on a substrate, the method comprising:
depositing a dielectric layer adjacent a gate conductor and gate dielectric of the MOSFET and above LDD regions of the substrate, the dielectric layer having a thickness in a range of about 50 Å-300 Å and the LDD regions having been implanted with an LDD dose of one of arsenic and boron difluoride and subjected to a rapid thermal anneal process performed at a temperature ranging from approximately 800-1100° C. for a time ranging from approximately 5-60 seconds, the LDD dose ranging from about 1.0×1014-1.0×1015 ions/cm2 at an implant energy ranging from about 3-50 keV;
etching away a First portion of the dielectric layer above the gate conductor and above the LDD regions using anisotropic reactive ion etching;
depositing a first conductive layer above the gate conductor, adjacent the dielectric layer and above the LDD regions, the first conductive layer having a thickness in a range of about 50 Å-150 Å;
annealing the first conductive layer above the gate conductor and above the LDD regions to form a salicided first conductive layer, the first conductive layer being subjected to a rapid thermal anneal process performed at a temperature ranging from approximately 450-800° C. for a time ranging from approximately 15-60 seconds, a distance between the salicided first conductive layer and a junction between the LDD regions and the substrate being in a range of at least about 50 Å-200 Å;
forming dielectric spacers adjacent a second portion the dielectric layer adjacent the gate conductor and the gate dielectric, the dielectric spacers having a base thickness in a range of about 300 Å-1500 Å;
implanting one of phosphorus and boron into source/drain regions of the substrate, a dose of the one of phosphorus and boron ranging from about 1.0×1015-5.0×1015 ions/cm2 at an implant energy ranging from about 30-100 keV;
depositing a second conductive layer adjacent the dielectric spacers and above the salicided first conductive layer above the gate conductor and above the source/drain regions, the second conductive layer having a thickness in a range of about 100 Å-400 Å; and
annealing the second conductive layer above the gate conductor and above the source/drain regions to form a salicided second conductive layer, the second conductive layer being subjected to an initial rapid thermal anneal process performed at a temperature ranging from approximately 450-800° C. for a time ranging from approximately 15-60 seconds, the second conductive layer being subjected to wet chemical strip to remove unsilicided portions of the second conductive layer, the second conductive layer being subjected to a final rapid thermal anneal process performed at a temperature ranging from approximately 800-1000° C. for a time ranging from approximately 10-60 seconds, a distance between the salicided second conductive layer and a junction between the source/drain regions and the substrate being in a range of at least about 50 Å-200 Å.
17. The method of claim 16, wherein implanting the one of phosphorus and boron into source/drain regions of the substrate includes subjecting the source/drain regions to a rapid thermal anneal process performed at a temperature ranging from approximately 800-1100° C. for a time ranging from approximately 5-60 seconds.
18. The method of claim 16, wherein depositing the first conductive layer includes depositing one of tungsten, molybdenum and cobalt and depositing the second conductive layer includes depositing one of titanium, tantalum, nickel, zirconium, tungsten, molybdenum and cobalt.
19. The method of claim 16, wherein depositing the dielectric layer includes depositing one of an oxide and an oxynitride.
20. The method of claim 16, wherein depositing the first conductive layer includes depositing cobalt and forming the dielectric spacers includes forming the dielectric spacers from an oxynitride.
21. A semiconductor device comprising:
a structure;
a gate dielectric above the structure;
a gate conductor above the gate dielectric;
an LDD region of the structure adjacent the gate dielectric and the gate conductor;
a dielectric layer adjacent the gate conductor and the gate dielectric; and
a salicided first conductive layer above the gate conductor and above the LDD region.
22. The semiconductor device of claim 21, the semiconductor device further comprising:
a dielectric spacer adjacent the dielectric layer adjacent the gate conductor;
a source/drain region of the structure adjacent the dielectric spacer; and
a salicided second conductive layer above the gate conductor and above the source/drain region.
23. The semiconductor device of claim 22, wherein the first conductive layer includes one of tungsten, molybdenum and cobalt and wherein the second conductive layer includes one of titanium, tantalum, nickel, zirconium, tungsten, molybdenum and cobalt.
24. Thc semiconductor device of claim 21, wherein the dielectric layer includes one of an oxide and an oxynitride.
25. The semiconductor device of claim 22, wherein the dielectric spacer includes a material selective to the salicided first conductive layer.
26. A MOSFET comprising:
a substrate;
a gate dielectric above the substrate;
a gate conductor above the gate dielectric;
LDD regions of the substrate adjacent the gate dielectric and the gate conductor;
a dielectric layer adjacent the gate conductor and the gate dielectric;
a salicided first conductive layer above the gate conductor and above the LDD regions;
dielectric spacers adjacent the dielectric layer adjacent the gate conductor;
source/drain regions of the substrate adjacent the dielectric spacers; and
a salicided second conductive layer above the gate conductor and above the source/drain regions.
27. The MOSFET of claim 26, wherein the first conductive layer includes one of tungsten, molybdenum and cobalt.
28. The MOSFET of claim 26. wherein the second conductive layer includes one of titanium, tantalum, nickel, zirconium, tungsten, molybdenum and cobalt.
29. The MOSFET of claim 26. wherein the dielectric layer includes one of an oxide and an oxynitride.
30. The MOSFET of claim 26, wherein the dielectric spacers include a material selective to the salicided first conductive layer.
31. A MOSFET on a substrate formed by a method comprising:
depositing a dielectric layer adjacent a gate conductor and gate dielectric of the MOSFET and above LDD regions of the substrate;
etching away a first portion of the dielectric layer above the gate conductor and above the LDD regions;
depositing a first conductive layer above the gate conductor, adjacent the dielectric layer and above the LDD regions;
annealing the first conductive layer above the gate conductor and above the LDD regions to form a salicided first conductive layer;
forming dielectric spacers adjacent a second portion the dielectric layer adjacent the gate conductor and the gate dielectric;
implanting a dopant into source/drain regions of the substrate;
depositing a second conductive layer adjacent the dielectric spacers and above the salicided first conductive layer above the gate conductor and above the source/drain regions; and
annealing the second conductive layer above the gate conductor and above the source/drain regions to form a salicided second conductive layer.
32. The MOSFET of claim 31, wherein depositing the first conductive layer includes depositing one of tungsten, molybdenum and cobalt.
33. The MOSFET of claim 31, wherein depositing the second conductive layer includes depositing one of titanium, tantalum, nickel, zirconium, tungsten, molybdenum and cobalt.
34. The MOSFET of claim 31, wherein depositing the dielectric layer includes depositing one of an oxide and an oxynitride.
35. The MOSFET of claim 31, wherein forming the dielectric spacers includes forming the dielectric spacers from a material selective to the salicided first conductive layer.
36. A MOSFET on a substrate formed by a method comprising:
depositing a dielectric layer adjacent a gate conductor and gate dielectric of the MOSFET and above LDD regions of the substrate, the dielectric layer having a thickness in a range of about 50 Å-300 Å and the LDD regions having been implanted with an LDD dose of one of arsenic and boron difluoride and subjected to a rapid thermal anneal process performed at a temperature ranging from approximately 800-1100° C. for a time ranging from approximately 5-60 seconds, the LDD dose ranging from about 1.0×1014-1.0×1015 ions/cm2 at an implant energy ranging from about 3-50 keV;
etching away a first portion of the dielectric layer above the gate conductor and above the LDD regions using anisotropic reactive ion etching;
depositing a first conductive layer above the gate conductor, adjacent the dielectric layer and above the LDD regions. the first conductive layer having a thickness in a range of about 50 Å-150 Å;
annealing the first conductive layer above the gate conductor and above the LDD regions to form a salicided first conductive layer, the first conductive layer being subjected to a rapid thermal anneal process performed at a temperature ranging from approximately 450-800° C. for a time ranging from approximately 15-60 seconds, a distance between the salicided first conductive layer and a junction between the LDD regions and the substrate being in a range of at least about 50 Å-200 Å;
forming dielectric spacers adjacent a second portion the dielectric layer adjacent the gate conductor and the gate dielectric, the dielectric spacers having a base thickness in a range of about 300 Å-1500 Å;
implanting one of phosphorus and boron into source/drain regions of the substrate, a dose of the one of phosphorus and boron ranging from about 1.0×1015-5.0×1015 ions/cm2 at an implant energy ranging from about 30-100 keV;
depositing a second conductive layer adjacent the dielectric spacers and above the salicided first conductive layer above the gate conductor and above the source/drain regions, the second conductive layer having a thickness in a range of about 100 Å-400 Å; and
annealing the second conductive layer above the gate conductor and above the source/drain regions to form a salicided second conductive layer, the second conductive layer being subjected to an initial rapid thermal anneal process performed at a temperature ranging from approximately 450-800° C. for a time ranging from approximately 15-60 seconds, the second conductive layer being subjected to wet chemical strip to remove unsilicided portions of the second conductive layer, the second conductive layer being subjected to a final rapid thermal anneal process performed at a temperature ranging from approximately 800-1000° C. for a time ranging from approximately 10-60 seconds, a distance between the salicided second conductive layer and a junction between the source/drain regions and the substrate being in a range of at least about 50 Å-200 Å.
37. The MOSFET of claim 36, wherein implanting the one of phosphorus and boron into source/drain regions of the substrate includes subjecting the source/drain regions to a rapid thermal anneal process performed at a temperature ranging from approximately 800-1100° C. for a time ranging from approximately 5-60 seconds.
38. The MOSFET of claim 36, wherein depositing the first conductive layer includes depositing one of tungsten, molybdenum and cobalt and depositing the second conductive layer includes depositing one of titanium, tantalum, nickel, zirconium, tungsten, molybdenum and cobalt.
39. The MOSFET of claim 36, wherein depositing the dielectric layer includes depositing one of an oxide and an oxynitride.
40. The MOSFET of claim 36, wherein depositing the first conductive layer includes depositing cobalt and forming the dielectric spacers includes forming the dielectric spacers from an oxynitride.
US10/195,566 1999-06-02 2001-04-16 Device improvement by lowering LDD resistance with new silicide process Abandoned US20020175371A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/195,566 US20020175371A1 (en) 1999-06-02 2001-04-16 Device improvement by lowering LDD resistance with new silicide process

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/324,879 US6242776B1 (en) 1999-06-02 1999-06-02 Device improvement by lowering LDD resistance with new silicide process
US10/195,566 US20020175371A1 (en) 1999-06-02 2001-04-16 Device improvement by lowering LDD resistance with new silicide process

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/324,879 Division US6242776B1 (en) 1999-06-02 1999-06-02 Device improvement by lowering LDD resistance with new silicide process

Publications (1)

Publication Number Publication Date
US20020175371A1 true US20020175371A1 (en) 2002-11-28

Family

ID=23265493

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/324,879 Expired - Lifetime US6242776B1 (en) 1999-06-02 1999-06-02 Device improvement by lowering LDD resistance with new silicide process
US10/195,566 Abandoned US20020175371A1 (en) 1999-06-02 2001-04-16 Device improvement by lowering LDD resistance with new silicide process

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/324,879 Expired - Lifetime US6242776B1 (en) 1999-06-02 1999-06-02 Device improvement by lowering LDD resistance with new silicide process

Country Status (1)

Country Link
US (2) US6242776B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050095766A1 (en) * 2003-02-20 2005-05-05 Yang Shih-L Method of forming a gate structure using a dual step polysilicon deposition procedure
US20160013279A1 (en) * 2013-12-23 2016-01-14 Chun Wai NG Field plate structure for power semiconductor device and manufacturing method thereof

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6555455B1 (en) * 1998-09-03 2003-04-29 Micron Technology, Inc. Methods of passivating an oxide surface subjected to a conductive material anneal
JP2000332242A (en) * 1999-05-21 2000-11-30 Mitsubishi Electric Corp Semiconductor device and manufacture thereof
US6242776B1 (en) * 1999-06-02 2001-06-05 Advanced Micro Devices, Inc. Device improvement by lowering LDD resistance with new silicide process
US6642593B2 (en) * 1999-12-27 2003-11-04 Texas Instruments Incorporated Microelectromechanical switch
US6406945B1 (en) * 2001-01-26 2002-06-18 Chartered Semiconductor Manufacturing Ltd. Method for forming a transistor gate dielectric with high-K and low-K regions
US20020137268A1 (en) * 2001-03-20 2002-09-26 Pellerin John G. Method of forming silicide contacts and device incorporation same
US6566213B2 (en) 2001-04-02 2003-05-20 Advanced Micro Devices, Inc. Method of fabricating multi-thickness silicide device formed by disposable spacers
US6441433B1 (en) * 2001-04-02 2002-08-27 Advanced Micro Devices, Inc. Method of making a multi-thickness silicide SOI device
US6518631B1 (en) 2001-04-02 2003-02-11 Advanced Micro Devices, Inc. Multi-Thickness silicide device formed by succesive spacers
AU2002253822A1 (en) * 2001-04-02 2002-10-21 Advanced Micro Devices, Inc. Multi-thickness silicide device
US6680233B2 (en) * 2001-10-09 2004-01-20 Advanced Micro Devices, Inc. Semiconductor device formed with disposable spacer and liner using high-K material and method of fabrication
US6830996B2 (en) * 2003-03-24 2004-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. Device performance improvement by heavily doped pre-gate and post polysilicon gate clean
US20040188765A1 (en) * 2003-03-28 2004-09-30 International Business Machines Corporation Cmos device integration for low external resistance
US6933157B2 (en) * 2003-11-13 2005-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor wafer manufacturing methods employing cleaning delay period
WO2005083769A1 (en) * 2004-02-19 2005-09-09 Koninklijke Philips Electronics N.V. Semiconductor device and method of manufacturing a semiconductor device
US7129548B2 (en) * 2004-08-11 2006-10-31 International Business Machines Corporation MOSFET structure with multiple self-aligned silicide contacts
FR2892856A1 (en) * 2005-11-02 2007-05-04 St Microelectronics Crolles 2 Formation of silicide areas of different thickness in device having two silicon areas includes implanting antimony or aluminum in upper portion of silicon areas covering silicon areas with metallic material and heating device
US8299463B2 (en) * 2009-04-08 2012-10-30 Hermes Microvision, Inc. Test structure for charged particle beam inspection and method for defect determination using the same

Citations (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5034348A (en) * 1990-08-16 1991-07-23 International Business Machines Corp. Process for forming refractory metal silicide layers of different thicknesses in an integrated circuit
US5384485A (en) * 1988-05-27 1995-01-24 Fujitsu Limited Contact structure for connecting an electrode to a semiconductor
US5545578A (en) * 1994-06-08 1996-08-13 Samsung Electronics Co., Ltd. Method of maufacturing a semiconductor device having a low resistance gate electrode
US5659194A (en) * 1994-01-28 1997-08-19 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having metal silicide film
US5846857A (en) * 1997-09-05 1998-12-08 Advanced Micro Devices, Inc. CMOS processing employing removable sidewall spacers for independently optimized N- and P-channel transistor performance
US5851921A (en) * 1997-04-04 1998-12-22 Advanced Micro Devices, Inc. Semiconductor device and method for forming the device using a dual layer, self-aligned silicide to enhance contact performance
US5858867A (en) * 1996-05-20 1999-01-12 Mosel Vitelic, Inc. Method of making an inverse-T tungsten gate
US5874342A (en) * 1997-07-09 1999-02-23 Lsi Logic Corporation Process for forming MOS device in integrated circuit structure using cobalt silicide contacts as implantation media
US5883418A (en) * 1994-11-16 1999-03-16 Mitsubishi Denki Kabushiki Kaisha Semiconductor device with particular silicide structure
US5923070A (en) * 1997-03-19 1999-07-13 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having an element inclusion region for reducing stress caused by lattice mismatch
US5950098A (en) * 1995-06-26 1999-09-07 Mitsubishi Denki Kabushiki Kaisha Manufacturing method of a semiconductor device with a silicide layer
US5953614A (en) * 1997-10-09 1999-09-14 Lsi Logic Corporation Process for forming self-aligned metal silicide contacts for MOS structure using single silicide-forming step
US5982001A (en) * 1998-03-30 1999-11-09 Texas Instruments - Acer Incorporated MOSFETS structure with a recessed self-aligned silicide contact and an extended source/drain junction
US5986312A (en) * 1995-02-07 1999-11-16 Sony Corporation Field effect semiconductor device having improved connections
US6013569A (en) * 1997-07-07 2000-01-11 United Microelectronics Corp. One step salicide process without bridging
US6017784A (en) * 1996-06-09 2000-01-25 Fujitsu Limited Manufacture method of semiconductor device with suppressed impurity diffusion from gate electrode
US6025241A (en) * 1997-05-26 2000-02-15 United Microelectronics Corp. Method of fabricating semiconductor devices with self-aligned silicide
US6037625A (en) * 1997-12-08 2000-03-14 Nec Corporation Semiconductor device with salicide structure and fabrication method thereof
US6040606A (en) * 1998-11-04 2000-03-21 National Semiconductor Corporation Integrated circuit structure with dual thickness cobalt silicide layers and method for its manufacture
US6063681A (en) * 1998-01-13 2000-05-16 Lg Semicon Co., Ltd. Silicide formation using two metalizations
US6100145A (en) * 1998-11-05 2000-08-08 Advanced Micro Devices, Inc. Silicidation with silicon buffer layer and silicon spacers
US6153455A (en) * 1998-10-13 2000-11-28 Advanced Micro Devices Method of fabricating ultra shallow junction CMOS transistors with nitride disposable spacer
US6242766B1 (en) * 1999-03-19 2001-06-05 Fujitsu Quantum Devices Limited High electron mobility transistor
US6242776B1 (en) * 1999-06-02 2001-06-05 Advanced Micro Devices, Inc. Device improvement by lowering LDD resistance with new silicide process

Patent Citations (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5384485A (en) * 1988-05-27 1995-01-24 Fujitsu Limited Contact structure for connecting an electrode to a semiconductor
US5034348A (en) * 1990-08-16 1991-07-23 International Business Machines Corp. Process for forming refractory metal silicide layers of different thicknesses in an integrated circuit
US5659194A (en) * 1994-01-28 1997-08-19 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having metal silicide film
US5545578A (en) * 1994-06-08 1996-08-13 Samsung Electronics Co., Ltd. Method of maufacturing a semiconductor device having a low resistance gate electrode
US5883418A (en) * 1994-11-16 1999-03-16 Mitsubishi Denki Kabushiki Kaisha Semiconductor device with particular silicide structure
US5986312A (en) * 1995-02-07 1999-11-16 Sony Corporation Field effect semiconductor device having improved connections
US5950098A (en) * 1995-06-26 1999-09-07 Mitsubishi Denki Kabushiki Kaisha Manufacturing method of a semiconductor device with a silicide layer
US5858867A (en) * 1996-05-20 1999-01-12 Mosel Vitelic, Inc. Method of making an inverse-T tungsten gate
US6057576A (en) * 1996-05-20 2000-05-02 Mosel Vitelic, Inc. Inverse-T tungsten gate apparatus
US6017784A (en) * 1996-06-09 2000-01-25 Fujitsu Limited Manufacture method of semiconductor device with suppressed impurity diffusion from gate electrode
US5923070A (en) * 1997-03-19 1999-07-13 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having an element inclusion region for reducing stress caused by lattice mismatch
US5851921A (en) * 1997-04-04 1998-12-22 Advanced Micro Devices, Inc. Semiconductor device and method for forming the device using a dual layer, self-aligned silicide to enhance contact performance
US6025241A (en) * 1997-05-26 2000-02-15 United Microelectronics Corp. Method of fabricating semiconductor devices with self-aligned silicide
US6013569A (en) * 1997-07-07 2000-01-11 United Microelectronics Corp. One step salicide process without bridging
US5874342A (en) * 1997-07-09 1999-02-23 Lsi Logic Corporation Process for forming MOS device in integrated circuit structure using cobalt silicide contacts as implantation media
US5846857A (en) * 1997-09-05 1998-12-08 Advanced Micro Devices, Inc. CMOS processing employing removable sidewall spacers for independently optimized N- and P-channel transistor performance
US5953614A (en) * 1997-10-09 1999-09-14 Lsi Logic Corporation Process for forming self-aligned metal silicide contacts for MOS structure using single silicide-forming step
US6037625A (en) * 1997-12-08 2000-03-14 Nec Corporation Semiconductor device with salicide structure and fabrication method thereof
US6063681A (en) * 1998-01-13 2000-05-16 Lg Semicon Co., Ltd. Silicide formation using two metalizations
US5982001A (en) * 1998-03-30 1999-11-09 Texas Instruments - Acer Incorporated MOSFETS structure with a recessed self-aligned silicide contact and an extended source/drain junction
US6153455A (en) * 1998-10-13 2000-11-28 Advanced Micro Devices Method of fabricating ultra shallow junction CMOS transistors with nitride disposable spacer
US6040606A (en) * 1998-11-04 2000-03-21 National Semiconductor Corporation Integrated circuit structure with dual thickness cobalt silicide layers and method for its manufacture
US6100145A (en) * 1998-11-05 2000-08-08 Advanced Micro Devices, Inc. Silicidation with silicon buffer layer and silicon spacers
US6242766B1 (en) * 1999-03-19 2001-06-05 Fujitsu Quantum Devices Limited High electron mobility transistor
US6242776B1 (en) * 1999-06-02 2001-06-05 Advanced Micro Devices, Inc. Device improvement by lowering LDD resistance with new silicide process

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050095766A1 (en) * 2003-02-20 2005-05-05 Yang Shih-L Method of forming a gate structure using a dual step polysilicon deposition procedure
US7385249B2 (en) * 2003-02-20 2008-06-10 Taiwan Semiconductor Manufacturing Company Transistor structure and integrated circuit
US20160013279A1 (en) * 2013-12-23 2016-01-14 Chun Wai NG Field plate structure for power semiconductor device and manufacturing method thereof
US10593767B2 (en) * 2013-12-23 2020-03-17 Chun Wai NG Field plate structure for power semiconductor device and manufacturing method thereof

Also Published As

Publication number Publication date
US6242776B1 (en) 2001-06-05

Similar Documents

Publication Publication Date Title
US6255703B1 (en) Device with lower LDD resistance
US6242776B1 (en) Device improvement by lowering LDD resistance with new silicide process
US6451693B1 (en) Double silicide formation in polysicon gate without silicide in source/drain extensions
US6103559A (en) Method of making disposable channel masking for both source/drain and LDD implant and subsequent gate fabrication
KR0135163B1 (en) Manufacturing method of mos transistor with shallow
US7785999B2 (en) Formation of fully silicided metal gate using dual self-aligned silicide process
US6555453B1 (en) Fully nickel silicided metal gate with shallow junction formed
KR100738066B1 (en) Method of forming silicide film having excellent thermal stability, semiconductor device and semiconductor memory device comprising silicide film formed by the same, and methods of manufacturing the same
KR100533891B1 (en) Method of forming a silicide layer using metallic impurities and pre-amorphization
US20090294871A1 (en) Semiconductor devices having rare earth metal silicide contact layers and methods for fabricating the same
US6602754B1 (en) Nitrogen implant into nitride spacer to reduce nickel silicide formation on spacer
US7189644B2 (en) CMOS device integration for low external resistance
US7208409B2 (en) Integrated circuit metal silicide method
US6258682B1 (en) Method of making ultra shallow junction MOSFET
US6737324B2 (en) Method for fabricating raised source/drain of semiconductor device
US6727558B1 (en) Channel isolation using dielectric isolation structures
US6734099B2 (en) System for preventing excess silicon consumption in ultra shallow junctions
US6169005B1 (en) Formation of junctions by diffusion from a doped amorphous silicon film during silicidation
US6586331B2 (en) Low sheet resistance of titanium salicide process
US7544553B2 (en) Integration scheme for fully silicided gate
US6667233B2 (en) Method for forming a silicide layer of semiconductor device
US20030003723A1 (en) Method for manufacturing semiconductor device
US6197672B1 (en) Method for forming polycide dual gate
JP3094914B2 (en) Method for manufacturing semiconductor device
US20060172519A1 (en) Method for eliminating polycide voids through nitrogen implantation

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: AFFIRMATION OF PATENT ASSIGNMENT;ASSIGNOR:ADVANCED MICRO DEVICES, INC.;REEL/FRAME:023120/0426

Effective date: 20090630

Owner name: GLOBALFOUNDRIES INC.,CAYMAN ISLANDS

Free format text: AFFIRMATION OF PATENT ASSIGNMENT;ASSIGNOR:ADVANCED MICRO DEVICES, INC.;REEL/FRAME:023120/0426

Effective date: 20090630