US20020192852A1 - Micromechanical and microoptomechanical structures with backside metalization - Google Patents

Micromechanical and microoptomechanical structures with backside metalization Download PDF

Info

Publication number
US20020192852A1
US20020192852A1 US10/192,087 US19208702A US2002192852A1 US 20020192852 A1 US20020192852 A1 US 20020192852A1 US 19208702 A US19208702 A US 19208702A US 2002192852 A1 US2002192852 A1 US 2002192852A1
Authority
US
United States
Prior art keywords
layer
crystal silicon
backside
single crystal
etch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/192,087
Inventor
Bruce Scharf
Andrew Zosel
Joel Kubby
Peter Gulvin
Chuang-Chia Lin
Jingkuang Chen
Alex Tran
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/192,087 priority Critical patent/US20020192852A1/en
Publication of US20020192852A1 publication Critical patent/US20020192852A1/en
Assigned to JPMORGAN CHASE BANK, AS COLLATERAL AGENT reassignment JPMORGAN CHASE BANK, AS COLLATERAL AGENT SECURITY AGREEMENT Assignors: XEROX CORPORATION
Assigned to XEROX CORPORATION reassignment XEROX CORPORATION RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: JPMORGAN CHASE BANK, N.A. AS SUCCESSOR-IN-INTEREST ADMINISTRATIVE AGENT AND COLLATERAL AGENT TO JPMORGAN CHASE BANK
Abandoned legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00444Surface micromachining, i.e. structuring layers on the substrate
    • B81C1/00468Releasing structures
    • B81C1/00484Processes for releasing structures not provided for in group B81C1/00476
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00642Manufacture or treatment of devices or systems in or on a substrate for improving the physical properties of a device
    • B81C1/0065Mechanical properties
    • B81C1/00666Treatments for controlling internal stress or strain in MEMS structures
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/04Optical MEMS
    • B81B2201/042Micromirrors, not used as optical switches
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2203/00Basic microelectromechanical structures
    • B81B2203/01Suspended structures, i.e. structures allowing a movement
    • B81B2203/0118Cantilevers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0128Processes for removing material
    • B81C2201/013Etching
    • B81C2201/0135Controlling etch progression
    • B81C2201/0142Processes for controlling etch progression not provided for in B81C2201/0136 - B81C2201/014

Definitions

  • Micromechanical and microoptomechanical structures fabricated on silicon-on-insulator (SOI) wafers are described. More particularly micromechanical and mircooptomechanical components created by chemically and mechanically modifying SOI wafers and metalizing a backside of the components are described.
  • SOI silicon-on-insulator
  • Inherent thin film properties of materials limit many surface micromachining processes. For example, variability of materials properties in polysilicon thin films (such as Young's modulus and Poisson's ratio, residual stress, and stress gradients) can prohibit manufacture of desired microstructures. This is particularly apparent in microoptical components such as mirrors, lenses, and diffraction gratings, which must be very flat for high-optical performance, and normally have to be made in the single crystal silicon layer. Since conventional surface micromachining requires that all components be made in polysilicon layers, optical performance can be limited.
  • MEMS microelectromechanical
  • bulk micromachining of single crystal silicon an excellent material with well-controlled electrical and mechanical properties in its pure state, has historically utilized wet anisotropic wet etching to form mechanical elements.
  • the etch rate is dependent on the crystallographic planes that are exposed to the etch solution, so that mechanical elements are formed that are aligned to the rate limiting crystallographic planes.
  • these planes are the (1,1,1) crystal planes.
  • the alignment of mechanical features to the crystallographic planes leads to limitations in the geometries that can be generated using this technique.
  • Typical geometries include v-groove trenches and inverted pyramidal structures in (1,0,0) oriented silicon wafers, where the trenches and inverted pyramids are bound by (1,1,1) crystallographic planes. Geometries that include convex corners are not allowed unless additional measures are taken to protect etching of the crystal planes that make up the corners. The etch rate also varies with dopant concentration, so that the etch rate can be modified by the incorporation of dopant atoms, which substitute for silicon atoms in the crystal lattice.
  • a boron dopant concentration on the order of 5 ⁇ 10 19 /cm 3 is sufficient to completely stop etching, so that mechanical elements bounded by other crystal planes can be generated by using dopant “etch stop” techniques.
  • dopant concentrations of this magnitude are sufficient to modify the desirable electrical and mechanical properties of the pure single crystal silicon material, leading to device design and manufacturability constraints.
  • DRIE Deep Reactive Ion Etching
  • CVD chemical vapor deposition
  • RIE reactive ion etching
  • mechanical elements from stacked layers of thin films
  • CVD polysilicon is used to form the mechanical elements
  • CVD nitride is used to form electrical insulators
  • CVD oxide is used as a sacrificial layer. Removal of the oxide by wet or dry etching releases the polysilicon thin film structures.
  • the advantage of the surface micromachining process is the ability to make complex structures in the direction normal to the wafer surface by stacking releasable polysilicon layers (see, e.g., K. S. J. Pister, M. W. Judy, S. R. Burgett, and R. S. Fearing, “Microfabricated hinges”, Sensors and Actuators A33, (1992) 249 and L. Y. Lin, S. S. Lee, K. S. J. Pister, and M. C. Wu, “Micromachined three-dimensional micro-optics for free-space optical system”, IEEE Photon. Technol. Lett.
  • An additional limitation of conventional surface micromachining is that holes through the mechanical elements must be included in the design to allow the etchants used to release the mechanical elements to reach the sacrificial layers. While this is not an important limitation for optical elements such as Fresnel lenses and diffraction grating that include holes in their design, it is an important limitation for optical elements such as mirrors where holes are a detriment to optical performance. Flatness and reflectivity are also important optical design criteria that can be impacted by conventional surface micromachining processes. Thin film stresses and stress gradients, typical of polysilicon thin films, can lead to warping of optical surfaces. In addition the surface of as-deposited polysilicon thin films is not polished, and thus requires post-processing Chemical Mechanical Polishing (CMP) techniques to obtain an optical quality surface finish.
  • CMP Chemical Mechanical Polishing
  • the present invention provides a micromechanical or microoptomechanical structure produced by a process comprising defining the structure in a single-crystal silicon layer separated by an insulator layer from a substrate layer; selectively etching the single crystal silicon layer; depositing and etching a polysilicon layer on the insulator layer, with remaining polysilicon forming mechanical elements of the structure; metalizing a backside of the structure; and releasing the formed structure.
  • FIG. 1 illustrates in perspective view a MEMS device having various optical and mechanical elements formed in accordance with the process of the present invention
  • FIG. 2 is a cross-sectional view of a silicon-on-insulator (SOI) wafer in which MEMS and MOEMS devices can be created according to the present invention
  • FIGS. 3 - 18 show an embodiment of process steps used to form a MEMS device such as those illustrated in FIG. 1.
  • FIG. 1 illustrates some of the very complex microelectromechanical (MEMS) and microoptoelectromechanical (MOEMS) devices that can be constructed on a silicon wafer using the embodiment of the present invention.
  • the device 200 includes movable optical elements constructed from single crystal silicon overlaying an insulator such as a diffraction grating 202 , a grating 204 , and a Fresnel lens 206 .
  • Active electronic elements can also be defined in the single crystal silicon layer, including flip chip bonded light producing laser diodes 201 , light detecting photodiodes 203 , or conventional CMOS logic circuitry 205 .
  • Bulk modifications required for packaging or mounting of the substrate are also possible, such as illustrated by etched cavity 208 , and added polysilicon layers can be used for mechanical elements such as hinges 209 .
  • FIG. 2 shows an embodiment of a silicon-on-insulator (SOI) wafer 10 suitable for use in the embodiment of the process described herein.
  • the SOI wafer 10 includes a thin single crystal silicon device wafer layer 12 , and a substrate layer 14 .
  • the substrate layer 14 is preferably polysilicon.
  • a buried oxide (BOX) layer 16 that integrally bonds the device layer 12 and the substrate layer 14 .
  • This buried oxide layer 16 can also be used as an etch stop in wet and dry etching procedures to form a thin membrane.
  • a back oxide layer 18 on the back side of the substrate layer 14 , which is used to control etch down to the interface between the device layer 12 and substrate layer 14 from the backside.
  • the wafer is circular with a diameter of 100 mm ⁇ 0.5 mm and a thickness of 525 ⁇ 25 microns.
  • the overall thickness of the wafer is made up of 1 ⁇ 0.5 microns of backside oxide 20 , 1 ⁇ 0.05 microns of buried oxide (BOX), and 5 ⁇ 0.5 microns of single crystal silicon. The remainder of the thickness is made up of the substrate.
  • the wafer Before beginning processing, the wafer is inspected to verify that it meets the manufacturer's specifications. If it meets the specifications, the wafer is inscribed with a lot and wafer number, cleaned, and 2000 ⁇ of thermal oxide 20 are grown on top of the single crystal silicon layer 12 to act an etch stop in a later polysilicon etch and to prevent doping of the SCS by a later polysilicon glass (PSG) layer.
  • PSG polysilicon glass
  • FIGS. 3 - 18 considered in conjunction with the following detailed steps 1 - 84 , illustrate an embodiment of a process used on the wafer of FIG. 2 to produce the grating 204 of the microstructure 200 illustrated in FIG. 1.
  • the process illustrated below can also be used for other types of components; it all depends on what is patterned into the wafer.
  • the patterning of the structures on the wafer is done using standard photolithography techniques well known in the art, which typically comprise depositing layers of the correct materials on the wafer, applying a photoresist on the wafer, exposing the photoresist in areas to be added (light mask) or removed (dark mask) and then performing the appropriate etch.
  • Step # Process Comments 1 Thermal oxidation 1000° C., 2000 ⁇ 2.
  • Photolithography a) bake 110 degree C., 15 min Mask #1: Substrate_Contact b) HMDS, 5.OK, 30 sec c) AZ1813, 4.OK, 30 SEC, 1.3 um d) softbake 90 C., 30 min e) expose, 5.0 mW/cm 2 , 12 sec f) develop MF 319, 1.1 min g) rinse, DI water, 4 min h) spin dry i) hardbake 110 degree C., 30 min 3.
  • SCS etch RIE HBr, Cl 2 , target etch rate: 5000 ⁇ /min 5.
  • Oxide etch RIE CF 4 , target etch rate: 2500 ⁇ /min 6.
  • Photolithography a) bake 110 degree C., 15 min Mask #2: SCS_Dimple b) HMDS, 5.OK, 30 sec c) AZ1813, 4.OK, 30 SEC, 1.3 um d) softbake 90 C., 30 min e) expose, 5.0 mW/cm 2 , 12 sec f) develop MF 319, 1.1 min g) rinse, DI water, 4 min h) spin dry i) hardbake 110 degree C., 30 min 8.
  • Oxide etch RIE CF 4 , target etch rate: 2500 ⁇ /min 9.
  • SCS etch RIE HBr, Cl 2 , target etch rate: 5000 ⁇ /min 10.
  • Oxide etch RIE CF 4 , target etch rate: 2500 ⁇ /min 11.
  • Polysilicon deposition LPCVD 3 ⁇ m 13.
  • Polysilicon etch RIE HBr, Cl 2 , target etch rate: 5000 ⁇ /min 14.
  • Oxide etch RIE CF 4 , target etch rate: 2500 ⁇ /min 15.
  • Photolithography a) bake 110 degree C., 15 min Mask #3: SCS_Grating b) HMDS, 5.OK, 30 sec c) AZ1813, 4.OK, 30 SEC, 1.3 um d) softbake 90 C., 30 min e) expose, 5.0 mW/cm 2 , 12 sec f) develop MF 319, 1.1 min g) rinse, DI water, 4 min h) spin dry i) hardbake 110 degree C., 30 min 16. SCS etch RIE: HBr, Cl 2 , target etch rate: 5000 ⁇ /min 17. Strip photoresist Hot PRS2000, 20 min rinse DI water, 5 min spin, dry 18.
  • Photolithography a) bake 110 degree C., 15 min Mask #4: SCS_Hole b) HMDS, 5.OK, 30 sec c) AZ1813, 4.OK, 30 SEC, 1.3 um d) softbake 90 C., 30 min e) expose, 5.0 mW/cm 2 , 12 sec f) develop MF 319, 1.1 min g) rinse, DI water, 4 min h) spin dry i) hardbake 110 degree C., 30 min 19.
  • SCS etch RIE HBr, Cl 2 , target etch rate: 5000 ⁇ /min 20.
  • Strip photoresist Hot PRS2000 20 min rinse DI water, 5 min spin, dry 21.
  • Nitride etch RIE CF 4 , target etch rate: 2500 ⁇ /min 30.
  • Polysilicon deposition LPCVD 5000 ⁇ 32.
  • Photolithography a) bake 110 degree C., 15 min Mask #7: Poly0_Struct b) HMDS, 5.OK, 30 sec c) AZ1813, 4.OK, 30 SEC, 1.3 um d) softbake 90 C., 30 min e) expose, 5.0 mW/cm 2 , 12 sec f) develop MF 319, 1.1 min g) rinse, DI water, 4 min h) spin dry i) hardbake 110 degree C., 30 min 33.
  • Backside polysilicon strip RIE SF 6 , O 2 34.
  • Polysilicon etch RIE HBr, Cl 2 , target etch rate: 5000 ⁇ /min 35.
  • PSG deposition PECVD 2 ⁇ m 37.
  • Photolithography a) bake 110 degree C., 15 min Mask #8: Poly1_Dimple b) HMDS, 5.OK, 30 sec c) AZ1813, 4.OK, 30 SEC, 1.3 um d) softbake 90 C., 30 min e) expose, 5.0 mW/cm 2 , 12 sec f) develop MF 319, 1.1 min g) rinse, DI water, 4 min h) spin dry i) hardbake 110 degree C., 30 min 38. Oxide etch RIE: CF 4 , target etch rate: 2500 ⁇ /min 39. Strip photoresist Hot PRS2000, 20 min rinse DI water, 5 min spin, dry 40.
  • Photolithography a) bake 110 degree C., 15 min Mask #9: PSG1_Hole b) HMDS, 5.OK, 30 sec c) AZ1813, 4.OK, 30 SEC, 1.3 um d) softbake 90 C., 30 min e) expose, 5.0 mW/cm 2 , 12 sec f) develop MF 319, 1.1 min g) rinse, DI water, 4 min h) spin dry i) hardbake 110 degree C., 30 min 41. Oxide etch RIE: CF 4 , target etch rate: 2500 ⁇ /min 42. Strip photoresist Hot PRS2000, 20 min rinse DI water, 5 min spin, dry 43. Polysilicon deposition LPCVD, 2 ⁇ m 44.
  • PSG deposition PECVD 2000 ⁇ 45. Anneal 1000° C., 1 hour 46.
  • Backside polysilicon strip RIE SF 6 , O 2 47.
  • Photolithography a) bake 110 degree C., 15 min Mask #10: Poly1_Struct b) HMDS, 5.OK, 30 sec c) AZ1813, 4.OK, 30 SEC, 1.3 um d) softbake 90 C., 30 min e) expose, 5.0 mW/cm 2 , 12 sec f) develop MF 319, 1.1 min g) rinse, DI water, 4 min h) spin dry i) hardbake 110 degree C., 30 min 48.
  • Oxide etch RIE CF 4 , target etch rate: 2500 ⁇ /min 49.
  • Polysilicon etch RIE HBr, Cl 2 , target etch rate: 5000 ⁇ /min 50.
  • Oxide etch RIE CF 4 , target etch rate: 2500 ⁇ /min 52.
  • Photolithography a) bake 110 degree C., 15 min Mask #11: PSG2_Hole b) HMDS, 5.OK, 30 sec c) AZ1813, 4.OK, 30 SEC, 1.3 um d) softbake 90 C., 30 min e) expose, 5.0 mW/cm 2 , 12 sec f) develop MF 319, 1.1 min g) rinse, DI water, 4 min h) spin dry i) hardbake 110 degree C., 30 min 54. Oxide etch RIE: CF 4 , target etch rate: 2500 ⁇ /min 55. Strip photoresist Hot PRS2000, 20 min rinse DI water, 5 min spin, dry 56.
  • Photolithography a) bake 110 degree C., 15 min Mask #12: PSG2_PSG2_Hole b) HMDS, 5.OK, 30 sec c) AZ1813, 4.OK, 30 SEC, 1.3 um d) softbake 90 C., 30 min e) expose, 5.0 mW/cm 2 , 12 sec f) develop MF 319, 1.1 min g) rinse, DI water, 4 min h) spin dry i) hardbake 110 degree C., 30 min 57. Oxide etch RIE: CF 4 , target etch rate: 2500 ⁇ /min 58. Strip photoresist Hot PRS2000, 20 min rinse DI water, 5 min spin, dry 59.
  • Polysilicon deposition LPCVD 1.5 ⁇ m 60.
  • Oxide deposition PECVD 2000 ⁇ 61. Anneal 1000° C., 1 hour 62.
  • Photolithography a) bake 110 degree C., 15 min
  • softbake 90 C. 30 min e) expose, 5.0 mW/cm 2 , 12 sec f) develop MF 319, 1.1 min g) rinse, DI water, 4 min h) spin dry i) hardbake 110 degree C., 30 min 63.
  • Backside polysilicon strip RIE SF 6 , O 2 64.
  • Oxide etch RIE CF 4 , target etch rate: 2500 ⁇ /min 65.
  • Polysilicon etch RIE HBr, Cl 2 , target etch rate: 5000 ⁇ /min 66.
  • Oxide etch RIE CF 4 , target etch rate: 2500 ⁇ /min 67.
  • Photolithography a) bake 110 degree C., 15 min Mask #14: SCS_Expose b) HMDS, 5.OK, 30 sec c) AZ1813, 4.OK, 30 SEC, 1.3 um d) softbake 90 C., 30 min e) expose, 5.0 mW/cm 2 , 12 sec f) develop MF 319, 1.1 min g) rinse, DI water, 4 min h) spin dry i) hardbake 110 degree C., 30 min 70. Oxide etch HF 71.
  • Photolithography a) bake 110 degree C., 15 min Mask #15: Thick_Metal b) HMDS, 5.OK, 30 sec c) AZ1813, 4.OK, 30 SEC, 1.3 um d) softbake 90 C., 30 min e) expose, 5.0 mW/cm 2 , 12 sec f) develop MF 319, 1.1 min g) rinse, DI water, 4 min h) spin dry i) hardbake 110 degree C., 30 min 72. Metal evaporation Cr/Au: 300 ⁇ /5000 ⁇ 73. Lift-off Hot 1112A 74.
  • Photolithography a) bake 110 degree C., 15 min Mask #16: Thin_Metal b) HMDS, 5.OK, 30 sec c) AZ1813, 4.OK, 30 SEC, 1.3 um d) softbake 90 C., 30 min e) expose, 5.0 mW/cm 2 , 12 sec f) develop MF 319, 1.1 min g) rinse, DI water, 4 min h) spin dry i) hardbake 110 degree C., 30 min 75. Metal evaporation Cr/Au: 200 ⁇ /300 ⁇ 76. Lift-off Hot 1112A 77.
  • Photolithography a) bake 110 degree C., 15 min Mask #17: Back b) HMDS, 5.OK, 30 sec c) AZ1813, 4.OK, 30 SEC, 1.3 um d) softbake 90 C., 30 min e) expose, 5.0 mW/cm 2 , 12 sec f) develop MF 319, 1.1 min g) rinse, DI water, 4 min h) spin dry i) hardbake 110 degree C., 30 min 78. Nitride etch RIE: CF 4 , target etch rate: 2500 ⁇ /min 79. Oxide etch RIE: CF 4 , target etch rate: 2500 ⁇ /min 80. Protect front side Spin-on coat (proprietary) 81.
  • FIG. 3 illustrates the wafer at the conclusion of step 6 .
  • Substrate contact holes 22 about 4 microns wide are patterned onto the SCS layer 12 of the wafer.
  • a reactive ion etch (RIE) of the thermal oxide 20 is performed, and the SCS layer 12 is etched through to the buried oxide 16 , also using a reactive ion etch.
  • the photoresist used to pattern the holes 22 is left on to protect the rest of the oxide 20 , and an RIE etch of the exposed buried oxide 16 is performed 1 micron down. This etches the BOX layer 16 away and leaves the substrate layer 14 exposed at the bottom of the contact holes 22 .
  • FIG. 4 illustrates the state of the wafer at the conclusion of step 14 .
  • SCS dimple holes 24 4 microns wide are patterned onto the SCS layer 12 and an RIE etch of the thermal oxide 20 is performed, followed by an RIE etch of the SCS layer 12 through to the BOX layer 16 .
  • the photoresist is left on to protect the rest of the thermal oxide 20 and an RIE etch of the exposed BOX layer 16 is performed until about half the thickness of the BOX layer is etched away.
  • the photoresist is removed and polysilicon 26 is deposited to fill the dimple 24 and substrate contact holes 22 . In this embodiment, 2.5 microns of polysilicon should be enough, since the dimples 24 and substrate contacts 22 are 4 microns wide.
  • the polysilicon 26 is etched with an RIE using the thermal oxide 20 as an etch stop. This removes the polysilicon 26 from everywhere except in the dimple and substrate contact holes, where the level of the polysilicon will be lower than the rest of the wafer, depending on the amount of polysilicon overetch.
  • FIG. 5 illustrates the state of the wafer at the conclusion of step 17 .
  • a pattern in the form of a grating 28 is first applied to the SCS layer 12 .
  • the grating 28 must be applied to the wafer at this early stage of processing. Optimum focusing of the applied mask is needed because the line spacing of the grating is of the same order as the wavelength of light, meaning that the resolution must be as good as possible. To assure optimum focus, the grating 28 must be applied to the wafer when there is little or no topography already built up. This ensures that there are no problems with depth of focus that would affect the quality of the resulting grid.
  • a quick RIE oxide etch is then performed to remove the thermal oxide 20 , followed by a 3 micron RIE etch of the SCS layer 12 .
  • the photoresist used to apply the grating 28 is then removed.
  • gratings 28 can be applied to the SCS layer 12 ; the exact type of grid will depend on the application of the particular micromechanical or microoptomechanical device.
  • gratings include a Fresnel pattern useful for reflective optical applications; a uniform square grating useful for light frequency division in applications such as a spectrum analyzer; and a variable pitch grid where sets of lines in the grating are spaced in variable increments to achieve better spectral coverage of certain wavelengths and enhance optical power.
  • Different gratings may also be used for other optical purposes, such as a crystal oscillator which changes resonance based on surface effects, or for non-optical purposes such as chemical or biological sensors, where the grating increases the available surface area for chemical or biological binding.
  • FIG. 6 illustrates the wafer at the conclusion of step 23 .
  • the SCS layer 12 is patterned with full-depth features 30 , and a quick RIE etch is performed to remove the thermal oxide 20 .
  • a chlorine-based RIE etch is performed all the way through the SCS layer 12 , using the BOX layer 16 as an etch stop.
  • 0.2 microns of undoped, low pressure chemical vapor deposition (LPCVD) oxide (not shown) are deposited to protect the sidewalls of the full-depth features 30 .
  • LPCVD low pressure chemical vapor deposition
  • planarization oxide (POX) 32 Six (6) microns of planarization oxide (POX) 32 are deposited so that the wafer will be flat after later chemical mechanical polishing (CMP); the planarization oxide 32 is preferably boron polysilicate glass (BPSG) or thermally enhanced oxide (TEOS). A timed chemical mechanical polish of the POX 32 is performed until 2 ⁇ 0.2 microns of the planarization oxide 32 remain on the SCS layer 12 .
  • CMP chemical mechanical polishing
  • BPSG boron polysilicate glass
  • TEOS thermally enhanced oxide
  • FIG. 7 illustrates the wafer at conclusion of step 27 .
  • a pair of holes 34 are patterned in the POX layer 32 , and an RIE etch is performed to transfer the pattern into the POX 32 and down to the SCS layer.
  • the photoresist is removed and a nitride layer 36 with a thickness of 0.6 microns is deposited via LPCVD.
  • a second nitride layer 38 is also deposited on the back of the wafer for extra selectivity during a later potassium hydroxide (KOH) etch.
  • KOH potassium hydroxide
  • FIG. 8 illustrates the wafer at the conclusion of step 31 .
  • the resist on the pattern front side is patterned with nitrite structures and the pattern is transferred to the front nitride layer 36 using an RIE etch.
  • a layer of LPCVD polysilicon 40 is deposited on the front, and a similar layer 42 is applied to the back of the wafer; both layers are 0.5 microns thick.
  • FIG. 9 illustrates the wafer at the conclusion of step 36 .
  • the front side of the wafer is patterned with polysilicon structures 44 and then RIE etched to transfer the pattern to the polysilicon layer 40 .
  • the photoresist is left on, the wafer is flipped and another layer of polysilicon (not shown) is deposited on the backside and RIE etched.
  • the wafer is flipped again and the front side resist is removed, which is acting as a protective layer for the front side when flipped.
  • a layer of PECVD polysilicon glass (PSG) 46 is added to the front of the waver and densified to 2 microns.
  • PSG PECVD polysilicon glass
  • FIG. 10 illustrates the wafer after step 46 .
  • Holes 48 are patterned in the PSG layer 46 and an RIE etch is done to transfer the pattern to the PSG layer using the polysilicon layer 40 as an etch stop.
  • the photoresist is removed and a front layer 50 and back layer 52 of LPCVD polysilicon 2 micros thick are deposited, followed by a deposit of 0.2 microns of PECVD polysilicon glass (PSG) (not shown), and the wafer is annealed at 1,000° C. for one hour to dope the polysilicon layers 50 and 52 and reduce stress.
  • PSG PECVD polysilicon glass
  • FIG. 11 illustrates the wafer at the conclusion of step 52 .
  • This PSG layer 46 is patterned with polysilicon structures 56 , and an RIE etch is performed to transfer the pattern to a PSG hard mask, followed by an RIE etch to transfer the pattern to the polysilicon layer 50 .
  • the resist is left on and the wafer is flipped and RIE etched to remove the backside polysilicon 52 , using the front side resist and hard mask to protect the front.
  • the wafer is flipped back over when done, the photoresist is removed, and the hard mask is removed with an RIE etch, which thins any exposed oxide by about 0.3 microns.
  • a layer of PECVD polysilicon glass (PSG2) 54 is deposited and densified to 0.75 microns.
  • FIG. 12 illustrates the wafer at the conclusion of step 55 .
  • Holes 58 are patterned in the PSG 2 layer 54 and an RIE etch is performed to transfer the pattern to the PSG, using the polysilicon layer as an etch stop. The photoresist is then removed.
  • FIG. 13 illustrates the wafer at the conclusion of step 68 .
  • the thermal oxide layer 20 is patterned with polysilicon structures and an RIE etch is performed to transfer the pattern to the PSG hard mask.
  • An RIE etch is performed to transfer the pattern to the polysilicon 54 .
  • the wafer is flipped and an RIE etch is performed to remove the backside polysilicon, using the front side resist and hard mask to protect the front.
  • the resist is removed, and the hard mask is removed with an RIE etch.
  • FIG. 14 illustrates the wafer at the conclusion of step 70 .
  • Areas on the front side where the POX 32 should be removed are patterned. This layer should only be used in areas where there is no polysilicon or metal, since those would act as etch stops for the subsequent etches.
  • a wet etch is performed to remove the thermal oxide layer 20 , exposing selected areas of the SCS layer 12 .
  • Designers must be careful that there nearby structures aren't damaged by a hydrofluoric acid (HF) etch.
  • HF hydrofluoric acid
  • a metal coating 60 is applied directly onto the grating 28 previously etched into the SCS layer 12 (see FIG. 15). Application of the metal coating 60 turns the grating 28 into a reflecting grating.
  • metal elements can be put on the SCS layer to conduct electrical current, insulating elements can be built on the SCS using nitride or oxide layers, or elements comprising both conducting and insulating parts can be built onto the SCS layer.
  • FIG. 15 illustrates the wafer at the conclusion of step 76 .
  • a photoresist is patterned for lift-off metal and 0.5 microns of metal 60 are deposited on the grating 28 on the front side of the SCS layer 12 .
  • the resist is lifted off, removing metals in those areas.
  • a pattern is applied with areas where metal should be removed, and 200 ⁇ of chromium (Cr) are deposited on the front side of the grating 28 , followed by 300 ⁇ of gold (Au).
  • the gold increases the reflectivity of the grating, and because of how it is deposited it also smoothes the edges of the grating.
  • Other metals having required reflectivity may also be used on the grating 28 ; examples include aluminum (Al) and platinum (Pt).
  • the resist and the metal coating resist are then removed.
  • FIG. 16 illustrates the wafer at the conclusion of step 84 .
  • the backside nitride/oxide layer 38 is patterned with holes sized so that KOH will etch the desired depth. Uncertainty in wafer thickness will affect the size of the holes created at the other side of the wafer.
  • the pattern is transferred to the nitride layer 38 with an RIE etch, and the same pattern is also transferred to the oxide layer 18 with an RIE etch.
  • a through-wafer KOH etch is performed while protecting the front side with a deposited layer.
  • a coating it should be left on for the next step which involves removing the backside nitride/oxide using a nitride RIE etch and then an oxide RIE etch, which clears off exposed buried SCS.
  • the protective layer possibly present from the last step will protect the front side.
  • Backside etching of the wafer 10 is possible because in this process because of the use of different materials for the substrate layer 14 (which is made of polysilicon) and the device layer 12 (made of single crystal silicon). This enables the substrate to be etched away without etching away the backside of the device layer, and allows both sides of the device layer to be used to make various components mechanical and optical components such as the two-sided mirror shown.
  • a blanket deposit of 0.1 microns of metal 62 is deposited on the backside of the wafer to metalize the backside of the mirror.
  • the metal is sputtered onto the backside of the wafer; suitable metals for metalization of the backside include all the metals used on the front layer 60 . If the component whose backside is to be metalized has holes which extend through the device layer, the backside metal must be deposited carefully to ensure that the metal does not flow through the holes and ruin the quality of the front surface of the device. This is particularly important with optical components, where the front surface must have near-perfect optical qualities and no flow-through from back to front can be tolerated.
  • Metalization of the backside of a component such as the grating 204 has several advantages. Among other things, the backside metalization helps with the release of the component once it's finished. When used on a one-sided optical device such as a mirror, backside metalization reduces transmission of light through the mirror. Backside metalization also helps ensure that any residual stresses in the mirror are balanced, so that the grating 204 will not become distorted. Finally, backside metalization allows two-sided optical components to be made.
  • FIGS. 17 and 18 illustrate the wafer at the conclusion of the process after the grating 204 built into the wafer has been released.
  • the release may be performed by any of various methods including standard MUMPS methods which include (1) stripping the photoresist by soaking in acetone for 20 to 30 minutes with mild agitation, (2) etching in 49% straight HF for 2 1 ⁇ 2 to 3 minutes and rinsing in de-ionized water for 10 minutes, or (3) rinse in IPA for 5 minutes and bake the chip at 100-110° C. for 10 to 15 minutes.
  • standard MUMPS methods which include (1) stripping the photoresist by soaking in acetone for 20 to 30 minutes with mild agitation, (2) etching in 49% straight HF for 2 1 ⁇ 2 to 3 minutes and rinsing in de-ionized water for 10 minutes, or (3) rinse in IPA for 5 minutes and bake the chip at 100-110° C. for 10 to 15 minutes.
  • the present invention includes an enabling fabrication process for microoptoelectromechanical systems that overcomes the barriers in the optomechanical properties of thin film structures.
  • SOI silicon on insulator
  • SOI is a generic term that refers to a structure in which a silicon layer is supported by a dielectric material.
  • a silicon device layer bonded to a conventional silicon handle wafer, has a SiO 2 thin-film layer at the interface. This allows critical optical and electronic components to be fabricated in a single crystal silicon device layer, which can be released from the handle wafer by etching the oxide at the interface between the device layer and the substrate.
  • the oxide layer at the interface can also be utilized as a backside etch stop layer for releasing optical components, such as a mirror, that cannot include etch holes.
  • the device layer has a user specified thickness that is appropriate for the given application, and has excellent and reproducible electrical and thin film properties. Both the back and front side of the device layer would be polished, and thus optical elements fabricated in this layer do not require additional post-processing chemical-mechanical polish (CMP) techniques to obtain an optical quality surface finish. Since the device layer is single crystal silicon, it has no intrinsic stress or stress gradients in the absence of thin film coatings.
  • optical components fabricated in this layer have minimal distortions after thin film depositions such as aluminum to increase surface reflectivity, or dielectric thin films to decrease surface reflectivity.
  • the additional thickness is also important to minimize distortions for dynamically actuated optical elements.

Abstract

The present invention provides a micromechanical or microoptomechanical structure produced by a process comprising defining the structure in a single-crystal silicon layer separated by an insulator layer from a substrate layer; selectively etching the single crystal silicon layer; depositing and etching a polysilicon layer on the insulator layer, with remaining polysilicon forming mechanical elements of the structure; metalizing a backside of the structure; and releasing the formed structure.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a divisional of U.S. patent application Ser. No. 09/724,515, filed Nov. 27, 2000, and claims priority therefrom under 35 U.S.C. § 120. The priority application is currently pending.[0001]
  • FIELD OF THE INVENTION
  • Micromechanical and microoptomechanical structures fabricated on silicon-on-insulator (SOI) wafers are described. More particularly micromechanical and mircooptomechanical components created by chemically and mechanically modifying SOI wafers and metalizing a backside of the components are described. [0002]
  • BACKGROUND
  • Inherent thin film properties of materials limit many surface micromachining processes. For example, variability of materials properties in polysilicon thin films (such as Young's modulus and Poisson's ratio, residual stress, and stress gradients) can prohibit manufacture of desired microstructures. This is particularly apparent in microoptical components such as mirrors, lenses, and diffraction gratings, which must be very flat for high-optical performance, and normally have to be made in the single crystal silicon layer. Since conventional surface micromachining requires that all components be made in polysilicon layers, optical performance can be limited. [0003]
  • The leading commercial microelectromechanical (MEMS) processing technologies are (1) bulk micromachining of single crystal silicon, and (2) surface micromachining of polycrystalline silicon. Each of these processing technologies has associated benefits and barriers. Bulk micromachining of single crystal silicon, an excellent material with well-controlled electrical and mechanical properties in its pure state, has historically utilized wet anisotropic wet etching to form mechanical elements. In this process, the etch rate is dependent on the crystallographic planes that are exposed to the etch solution, so that mechanical elements are formed that are aligned to the rate limiting crystallographic planes. For silicon these planes are the (1,1,1) crystal planes. The alignment of mechanical features to the crystallographic planes leads to limitations in the geometries that can be generated using this technique. Typical geometries include v-groove trenches and inverted pyramidal structures in (1,0,0) oriented silicon wafers, where the trenches and inverted pyramids are bound by (1,1,1) crystallographic planes. Geometries that include convex corners are not allowed unless additional measures are taken to protect etching of the crystal planes that make up the corners. The etch rate also varies with dopant concentration, so that the etch rate can be modified by the incorporation of dopant atoms, which substitute for silicon atoms in the crystal lattice. A boron dopant concentration on the order of 5×10[0004] 19/cm3 is sufficient to completely stop etching, so that mechanical elements bounded by other crystal planes can be generated by using dopant “etch stop” techniques. However, dopant concentrations of this magnitude are sufficient to modify the desirable electrical and mechanical properties of the pure single crystal silicon material, leading to device design and manufacturability constraints. Recent advances in Deep Reactive Ion Etching (DRIE) (see, e.g., J. K. Bhardwaj and H. Ashraf, “Advanced silicon etching using high density plasmas”, Micromachining and Microfabrication Process Technology, Oct. 23-24, 1995, Austin, Tex., SPIE Proceedings Vol. 2639, pg. 224) which utilize sidewall passivation and ion beam directionality to achieve etch anisotropy, have relaxed the in-plane geometric design constraints, but still require etch stop techniques to control the depth of the etch into the wafer, and additional processing steps are required to undercut a structure to release it from the substrate.
  • In contrast to bulk micromachining, surface micromachining of polycrystalline silicon utilizes chemical vapor deposition (CVD) and reactive ion etching (RIE) patterning techniques to form mechanical elements from stacked layers of thin films (see, e.g., R. T. Howe, “Surface micromachining for microsensors and microactuators”, J. Vac. Sci. Technol. B6, (1988) 1809). Typically CVD polysilicon is used to form the mechanical elements, CVD nitride is used to form electrical insulators, and CVD oxide is used as a sacrificial layer. Removal of the oxide by wet or dry etching releases the polysilicon thin film structures. The advantage of the surface micromachining process is the ability to make complex structures in the direction normal to the wafer surface by stacking releasable polysilicon layers (see, e.g., K. S. J. Pister, M. W. Judy, S. R. Burgett, and R. S. Fearing, “Microfabricated hinges”, Sensors and Actuators A33, (1992) 249 and L. Y. Lin, S. S. Lee, K. S. J. Pister, and M. C. Wu, “Micromachined three-dimensional micro-optics for free-space optical system”, IEEE Photon. Technol. Lett. 6, (1994) 1445) and complete geometric design freedom in the plane of the wafer since the device layers are patterned using isotropic RIE etching techniques. An additional advantage of surface micromachining is that it utilizes thin film materials such as polysilicon, oxide, nitride, and aluminum, that are commonly used in microelectronic device fabrication, albeit with different materials properties that are optimized for mechanical rather than electrical performance. This commonality in materials allows for increased integration of microelectronic and micromechanical components into the same fabrication process, as demonstrated in Analog Devices' integrated accelerometer, and in SSI Technologies' integrated pressure sensor. [0005]
  • While surface micromachining relaxes many of the limitations inherent in bulk micromachining of single crystal silicon, it nonetheless has its own limitations in thin film properties. The maximum film thickness that can be deposited from CVD techniques are limited to several microns, so that thicker structures must be built up from sequential depositions. Thicker device layers are required for dynamic optical elements where dynamic deformations can impact optical performance, and for optical elements which require additional thin film coatings that can cause stress-induced curvature. The thin film mechanical properties, such as Young's modulus and Poisson's ratio, are dependent on the processing parameters and the thermal history of the fabrication process, and can typically vary by as much as 10% from run to run. This is an important limitation for robust manufacturability where these thin film mechanical properties can be a critical parameter for device performance. [0006]
  • An additional limitation of conventional surface micromachining is that holes through the mechanical elements must be included in the design to allow the etchants used to release the mechanical elements to reach the sacrificial layers. While this is not an important limitation for optical elements such as Fresnel lenses and diffraction grating that include holes in their design, it is an important limitation for optical elements such as mirrors where holes are a detriment to optical performance. Flatness and reflectivity are also important optical design criteria that can be impacted by conventional surface micromachining processes. Thin film stresses and stress gradients, typical of polysilicon thin films, can lead to warping of optical surfaces. In addition the surface of as-deposited polysilicon thin films is not polished, and thus requires post-processing Chemical Mechanical Polishing (CMP) techniques to obtain an optical quality surface finish. [0007]
  • SUMMARY OF THE INVENTION
  • The present invention provides a micromechanical or microoptomechanical structure produced by a process comprising defining the structure in a single-crystal silicon layer separated by an insulator layer from a substrate layer; selectively etching the single crystal silicon layer; depositing and etching a polysilicon layer on the insulator layer, with remaining polysilicon forming mechanical elements of the structure; metalizing a backside of the structure; and releasing the formed structure.[0008]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 illustrates in perspective view a MEMS device having various optical and mechanical elements formed in accordance with the process of the present invention; and [0009]
  • FIG. 2 is a cross-sectional view of a silicon-on-insulator (SOI) wafer in which MEMS and MOEMS devices can be created according to the present invention; [0010]
  • FIGS. [0011] 3-18 show an embodiment of process steps used to form a MEMS device such as those illustrated in FIG. 1.
  • DETAILED DESCRIPTION OF THE INVENTION
  • Described below is an embodiment of the present inventive process and device. The embodiment illustrates only one of the several ways the present invention may be implemented. Although the embodiment is described in the context of a moving mirror on a silicon-on-insulator (SOI) chip, it could easily be used for other components. In the description that follows, like numerals represent like elements or steps in all figures. For example, if the [0012] numeral 10 is used in a figure to refer to a specific element or step, the numeral 10 appearing in any other figure refers to the same element.
  • FIG. 1 illustrates some of the very complex microelectromechanical (MEMS) and microoptoelectromechanical (MOEMS) devices that can be constructed on a silicon wafer using the embodiment of the present invention. The [0013] device 200 includes movable optical elements constructed from single crystal silicon overlaying an insulator such as a diffraction grating 202, a grating 204, and a Fresnel lens 206. Active electronic elements can also be defined in the single crystal silicon layer, including flip chip bonded light producing laser diodes 201, light detecting photodiodes 203, or conventional CMOS logic circuitry 205. Bulk modifications required for packaging or mounting of the substrate are also possible, such as illustrated by etched cavity 208, and added polysilicon layers can be used for mechanical elements such as hinges 209.
  • FIG. 2 shows an embodiment of a silicon-on-insulator (SOI) [0014] wafer 10 suitable for use in the embodiment of the process described herein. The SOI wafer 10 includes a thin single crystal silicon device wafer layer 12, and a substrate layer 14. The substrate layer 14 is preferably polysilicon. Between these two layers 12 and 14 there is a buried oxide (BOX) layer 16 that integrally bonds the device layer 12 and the substrate layer 14. This buried oxide layer 16 can also be used as an etch stop in wet and dry etching procedures to form a thin membrane. In addition, there is a back oxide layer 18 on the back side of the substrate layer 14, which is used to control etch down to the interface between the device layer 12 and substrate layer 14 from the backside. Preferably, the wafer is circular with a diameter of 100 mm±0.5 mm and a thickness of 525±25 microns. The overall thickness of the wafer is made up of 1±0.5 microns of backside oxide 20, 1±0.05 microns of buried oxide (BOX), and 5±0.5 microns of single crystal silicon. The remainder of the thickness is made up of the substrate.
  • Before beginning processing, the wafer is inspected to verify that it meets the manufacturer's specifications. If it meets the specifications, the wafer is inscribed with a lot and wafer number, cleaned, and 2000 Å of [0015] thermal oxide 20 are grown on top of the single crystal silicon layer 12 to act an etch stop in a later polysilicon etch and to prevent doping of the SCS by a later polysilicon glass (PSG) layer.
  • FIGS. [0016] 3-18, considered in conjunction with the following detailed steps 1-84, illustrate an embodiment of a process used on the wafer of FIG. 2 to produce the grating 204 of the microstructure 200 illustrated in FIG. 1. The process illustrated below can also be used for other types of components; it all depends on what is patterned into the wafer. The patterning of the structures on the wafer is done using standard photolithography techniques well known in the art, which typically comprise depositing layers of the correct materials on the wafer, applying a photoresist on the wafer, exposing the photoresist in areas to be added (light mask) or removed (dark mask) and then performing the appropriate etch.
    Step # Process Comments
    1. Thermal oxidation 1000° C., 2000
    2. Photolithography a) bake 110 degree C., 15 min
    Mask #1: Substrate_Contact b) HMDS, 5.OK, 30 sec
    c) AZ1813, 4.OK, 30 SEC,
    1.3 um
    d) softbake 90 C., 30 min
    e) expose, 5.0 mW/cm2,
    12 sec
    f) develop MF 319, 1.1 min
    g) rinse, DI water, 4 min
    h) spin dry
    i) hardbake 110 degree C.,
    30 min
    3. Oxide etch RIE: CF4, target etch rate:
    2500 Å/min
    4. SCS etch RIE: HBr, Cl2, target etch
    rate: 5000 Å/min
    5. Oxide etch RIE: CF4, target etch rate:
    2500 Å/min
    6. Strip photoresist Hot PRS2000, 20 min
    rinse DI water, 5 min
    spin, dry
    7. Photolithography a) bake 110 degree C., 15 min
    Mask #2: SCS_Dimple b) HMDS, 5.OK, 30 sec
    c) AZ1813, 4.OK, 30 SEC,
    1.3 um
    d) softbake 90 C., 30 min
    e) expose, 5.0 mW/cm2,
    12 sec
    f) develop MF 319, 1.1 min
    g) rinse, DI water, 4 min
    h) spin dry
    i) hardbake 110 degree C.,
    30 min
    8. Oxide etch RIE: CF4, target etch rate:
    2500 Å/min
    9. SCS etch RIE: HBr, Cl2, target etch
    rate: 5000 Å/min
    10. Oxide etch RIE: CF4, target etch rate:
    2500 Å/min
    11. Strip photoresist Hot PRS2000, 20 min
    rinse DI water, 5 min
    spin, dry
    12. Polysilicon deposition LPCVD, 3 μm
    13. Polysilicon etch RIE: HBr, Cl2, target etch
    rate: 5000 Å/min
    14. Oxide etch RIE: CF4, target etch rate:
    2500 Å/min
    15. Photolithography a) bake 110 degree C., 15 min
    Mask #3: SCS_Grating b) HMDS, 5.OK, 30 sec
    c) AZ1813, 4.OK, 30 SEC,
    1.3 um
    d) softbake 90 C., 30 min
    e) expose, 5.0 mW/cm2,
    12 sec
    f) develop MF 319, 1.1 min
    g) rinse, DI water, 4 min
    h) spin dry
    i) hardbake 110 degree C.,
    30 min
    16. SCS etch RIE: HBr, Cl2, target etch
    rate: 5000 Å/min
    17. Strip photoresist Hot PRS2000, 20 min
    rinse DI water, 5 min
    spin, dry
    18. Photolithography a) bake 110 degree C., 15 min
    Mask #4: SCS_Hole b) HMDS, 5.OK, 30 sec
    c) AZ1813, 4.OK, 30 SEC,
    1.3 um
    d) softbake 90 C., 30 min
    e) expose, 5.0 mW/cm2,
    12 sec
    f) develop MF 319, 1.1 min
    g) rinse, DI water, 4 min
    h) spin dry
    i) hardbake 110 degree C.,
    30 min
    19. SCS etch RIE: HBr, Cl2, target etch
    rate: 5000 Å/min
    20. Strip photoresist Hot PRS2000, 20 min
    rinse DI water, 5 min
    spin, dry
    21. TEOS deposition LPCVD, 8 μm
    22. Densification 800° C., 1 hour
    23. CMP Leave 2 +/− 0.2 μm
    24. Photolithography a) bake 110 degree C., 15 min
    Mask #5: Anchor_SCS b) HMDS, 5.OK, 30 sec
    c) AZ1813, 4.OK, 30 SEC,
    1.3 um
    d) softbake 90 C., 30 min
    e) expose, 5.0 mW/cm2,
    12 sec
    f) develop MF 319, 1.1 min
    g) rinse, DI water, 4 min
    h) spin dry
    i) hardbake 110 degree C.,
    30 min
    25. Oxide etch RIE: CF4, target etch rate:
    2500 Å/min
    26. Strip photoresist Hot PRS2000, 20 min
    rinse DI water, 5 min
    spin, dry
    27. Nitride deposition LPCVD, 6000
    28. Photolithography a) bake 110 degree C., 15 min
    Mask #6: Nitride_Struct b) HMDS, 5.OK, 30 sec
    c) AZ1813, 4.OK, 30 SEC,
    1.3 um
    d) softbake 90 C., 30 min
    e) expose, 5.0 mW/cm2,
    12 sec
    f) develop MF 319, 1.1 min
    g) rinse, DI water, 4 min
    h) spin dry
    i) hardbake 110 degree C.,
    30 min
    29. Nitride etch RIE: CF4, target etch rate:
    2500 Å/min
    30. Strip photoresist Hot PRS2000, 20 min
    rinse DI water, 5 min
    spin, dry
    31. Polysilicon deposition LPCVD, 5000
    32. Photolithography a) bake 110 degree C., 15 min
    Mask #7: Poly0_Struct b) HMDS, 5.OK, 30 sec
    c) AZ1813, 4.OK, 30 SEC,
    1.3 um
    d) softbake 90 C., 30 min
    e) expose, 5.0 mW/cm2,
    12 sec
    f) develop MF 319, 1.1 min
    g) rinse, DI water, 4 min
    h) spin dry
    i) hardbake 110 degree C.,
    30 min
    33. Backside polysilicon strip RIE: SF6, O 2
    34. Polysilicon etch RIE: HBr, Cl2, target etch
    rate: 5000 Å/min
    35. Strip photoresist Hot PRS2000, 20 min
    rinse DI water, 5 min
    spin, dry
    36. PSG deposition PECVD, 2 μm
    37. Photolithography a) bake 110 degree C., 15 min
    Mask #8: Poly1_Dimple b) HMDS, 5.OK, 30 sec
    c) AZ1813, 4.OK, 30 SEC,
    1.3 um
    d) softbake 90 C., 30 min
    e) expose, 5.0 mW/cm2,
    12 sec
    f) develop MF 319, 1.1 min
    g) rinse, DI water, 4 min
    h) spin dry
    i) hardbake 110 degree C.,
    30 min
    38. Oxide etch RIE: CF4, target etch rate:
    2500 Å/min
    39. Strip photoresist Hot PRS2000, 20 min
    rinse DI water, 5 min
    spin, dry
    40. Photolithography a) bake 110 degree C., 15 min
    Mask #9: PSG1_Hole b) HMDS, 5.OK, 30 sec
    c) AZ1813, 4.OK, 30 SEC,
    1.3 um
    d) softbake 90 C., 30 min
    e) expose, 5.0 mW/cm2,
    12 sec
    f) develop MF 319, 1.1 min
    g) rinse, DI water, 4 min
    h) spin dry
    i) hardbake 110 degree C.,
    30 min
    41. Oxide etch RIE: CF4, target etch rate:
    2500 Å/min
    42. Strip photoresist Hot PRS2000, 20 min
    rinse DI water, 5 min
    spin, dry
    43. Polysilicon deposition LPCVD, 2 μm
    44. PSG deposition PECVD, 2000 Å
    45. Anneal 1000° C., 1 hour
    46. Backside polysilicon strip RIE: SF6, O2
    47. Photolithography a) bake 110 degree C., 15 min
    Mask #10: Poly1_Struct b) HMDS, 5.OK, 30 sec
    c) AZ1813, 4.OK, 30 SEC,
    1.3 um
    d) softbake 90 C., 30 min
    e) expose, 5.0 mW/cm2,
    12 sec
    f) develop MF 319, 1.1 min
    g) rinse, DI water, 4 min
    h) spin dry
    i) hardbake 110 degree C.,
    30 min
    48. Oxide etch RIE: CF4, target etch rate:
    2500 Å/min
    49. Polysilicon etch RIE: HBr, Cl2, target etch
    rate: 5000 Å/min
    50. Strip photoresist Hot PRS2000, 20 min
    rinse DI water, 5 min
    spin, dry
    51. Oxide etch RIE: CF4, target etch rate:
    2500 Å/min
    52. Oxide deposition PECVD, 7500 Å
    53. Photolithography a) bake 110 degree C., 15 min
    Mask #11: PSG2_Hole b) HMDS, 5.OK, 30 sec
    c) AZ1813, 4.OK, 30 SEC,
    1.3 um
    d) softbake 90 C., 30 min
    e) expose, 5.0 mW/cm2,
    12 sec
    f) develop MF 319, 1.1 min
    g) rinse, DI water, 4 min
    h) spin dry
    i) hardbake 110 degree C.,
    30 min
    54. Oxide etch RIE: CF4, target etch rate:
    2500 Å/min
    55. Strip photoresist Hot PRS2000, 20 min
    rinse DI water, 5 min
    spin, dry
    56. Photolithography a) bake 110 degree C., 15 min
    Mask #12: PSG2_PSG2_Hole b) HMDS, 5.OK, 30 sec
    c) AZ1813, 4.OK, 30 SEC,
    1.3 um
    d) softbake 90 C., 30 min
    e) expose, 5.0 mW/cm2,
    12 sec
    f) develop MF 319, 1.1 min
    g) rinse, DI water, 4 min
    h) spin dry
    i) hardbake 110 degree C.,
    30 min
    57. Oxide etch RIE: CF4, target etch rate:
    2500 Å/min
    58. Strip photoresist Hot PRS2000, 20 min
    rinse DI water, 5 min
    spin, dry
    59. Polysilicon deposition LPCVD, 1.5 μm
    60. Oxide deposition PECVD, 2000 Å
    61. Anneal 1000° C., 1 hour
    62. Photolithography a) bake 110 degree C., 15 min
    Mask #13: Poly2_Struct b) HMDS, 5.OK, 30 sec
    c) AZ1813, 4.OK, 30 SEC,
    1.3 um
    d) softbake 90 C., 30 min
    e) expose, 5.0 mW/cm2,
    12 sec
    f) develop MF 319, 1.1 min
    g) rinse, DI water, 4 min
    h) spin dry
    i) hardbake 110 degree C.,
    30 min
    63. Backside polysilicon strip RIE: SF6, O2
    64. Oxide etch RIE: CF4, target etch rate:
    2500 Å/min
    65. Polysilicon etch RIE: HBr, Cl2, target etch
    rate: 5000 Å/min
    66. Oxide etch RIE: CF4, target etch rate:
    2500 Å/min
    67. Strip photoresist Hot PRS2000, 20 min
    rinse DI water, 5 min
    spin, dry
    68. Oxide etch RIE: CF4, target etch rate:
    2500 Å/min
    69. Photolithography a) bake 110 degree C., 15 min
    Mask #14: SCS_Expose b) HMDS, 5.OK, 30 sec
    c) AZ1813, 4.OK, 30 SEC,
    1.3 um
    d) softbake 90 C., 30 min
    e) expose, 5.0 mW/cm2,
    12 sec
    f) develop MF 319, 1.1 min
    g) rinse, DI water, 4 min
    h) spin dry
    i) hardbake 110 degree C.,
    30 min
    70. Oxide etch HF
    71. Photolithography a) bake 110 degree C., 15 min
    Mask #15: Thick_Metal b) HMDS, 5.OK, 30 sec
    c) AZ1813, 4.OK, 30 SEC,
    1.3 um
    d) softbake 90 C., 30 min
    e) expose, 5.0 mW/cm2,
    12 sec
    f) develop MF 319, 1.1 min
    g) rinse, DI water, 4 min
    h) spin dry
    i) hardbake 110 degree C.,
    30 min
    72. Metal evaporation Cr/Au: 300 Å/5000 Å
    73. Lift-off Hot 1112A
    74. Photolithography a) bake 110 degree C., 15 min
    Mask #16: Thin_Metal b) HMDS, 5.OK, 30 sec
    c) AZ1813, 4.OK, 30 SEC,
    1.3 um
    d) softbake 90 C., 30 min
    e) expose, 5.0 mW/cm2,
    12 sec
    f) develop MF 319, 1.1 min
    g) rinse, DI water, 4 min
    h) spin dry
    i) hardbake 110 degree C.,
    30 min
    75. Metal evaporation Cr/Au: 200 Å/300 Å
    76. Lift-off Hot 1112A
    77. Photolithography a) bake 110 degree C., 15 min
    Mask #17: Back b) HMDS, 5.OK, 30 sec
    c) AZ1813, 4.OK, 30 SEC,
    1.3 um
    d) softbake 90 C., 30 min
    e) expose, 5.0 mW/cm2,
    12 sec
    f) develop MF 319, 1.1 min
    g) rinse, DI water, 4 min
    h) spin dry
    i) hardbake 110 degree C.,
    30 min
    78. Nitride etch RIE: CF4, target etch rate:
    2500 Å/min
    79. Oxide etch RIE: CF4, target etch rate:
    2500 Å/min
    80. Protect front side Spin-on coat (proprietary)
    81. Strip photoresist (backside) Hot PRS2000, 20 min
    rinse DI water, 5 min
    spin, dry
    82. KOH etch 45%, 65-85° C.
    83. Nitride etch RIE: CF4, target etch rate:
    2500 Å/min
    84. Oxide etch RIE: CF4, target etch rate:
    2500 Å/min
  • FIG. 3 illustrates the wafer at the conclusion of step [0017] 6. Substrate contact holes 22 about 4 microns wide are patterned onto the SCS layer 12 of the wafer. A reactive ion etch (RIE) of the thermal oxide 20 is performed, and the SCS layer 12 is etched through to the buried oxide 16, also using a reactive ion etch. The photoresist used to pattern the holes 22 is left on to protect the rest of the oxide 20, and an RIE etch of the exposed buried oxide 16 is performed 1 micron down. This etches the BOX layer 16 away and leaves the substrate layer 14 exposed at the bottom of the contact holes 22.
  • FIG. 4 illustrates the state of the wafer at the conclusion of [0018] step 14. SCS dimple holes 24 4 microns wide are patterned onto the SCS layer 12 and an RIE etch of the thermal oxide 20 is performed, followed by an RIE etch of the SCS layer 12 through to the BOX layer 16. The photoresist is left on to protect the rest of the thermal oxide 20 and an RIE etch of the exposed BOX layer 16 is performed until about half the thickness of the BOX layer is etched away. The photoresist is removed and polysilicon 26 is deposited to fill the dimple 24 and substrate contact holes 22. In this embodiment, 2.5 microns of polysilicon should be enough, since the dimples 24 and substrate contacts 22 are 4 microns wide. The polysilicon 26 is etched with an RIE using the thermal oxide 20 as an etch stop. This removes the polysilicon 26 from everywhere except in the dimple and substrate contact holes, where the level of the polysilicon will be lower than the rest of the wafer, depending on the amount of polysilicon overetch.
  • FIG. 5 illustrates the state of the wafer at the conclusion of step [0019] 17. A pattern in the form of a grating 28 is first applied to the SCS layer 12. The grating 28 must be applied to the wafer at this early stage of processing. Optimum focusing of the applied mask is needed because the line spacing of the grating is of the same order as the wavelength of light, meaning that the resolution must be as good as possible. To assure optimum focus, the grating 28 must be applied to the wafer when there is little or no topography already built up. This ensures that there are no problems with depth of focus that would affect the quality of the resulting grid. In addition, applying the grid while there is minimum topography on the wafer ensures that there is no adverse effects from shadows cast by topographical features that are present. Once the grating 28 is patterned on the wafer, a quick RIE oxide etch is then performed to remove the thermal oxide 20, followed by a 3 micron RIE etch of the SCS layer 12. The photoresist used to apply the grating 28 is then removed.
  • Various types of [0020] gratings 28 can be applied to the SCS layer 12; the exact type of grid will depend on the application of the particular micromechanical or microoptomechanical device. Examples of gratings include a Fresnel pattern useful for reflective optical applications; a uniform square grating useful for light frequency division in applications such as a spectrum analyzer; and a variable pitch grid where sets of lines in the grating are spaced in variable increments to achieve better spectral coverage of certain wavelengths and enhance optical power. Different gratings may also be used for other optical purposes, such as a crystal oscillator which changes resonance based on surface effects, or for non-optical purposes such as chemical or biological sensors, where the grating increases the available surface area for chemical or biological binding.
  • FIG. 6 illustrates the wafer at the conclusion of step [0021] 23. The SCS layer 12 is patterned with full-depth features 30, and a quick RIE etch is performed to remove the thermal oxide 20. A chlorine-based RIE etch is performed all the way through the SCS layer 12, using the BOX layer 16 as an etch stop. 0.2 microns of undoped, low pressure chemical vapor deposition (LPCVD) oxide (not shown) are deposited to protect the sidewalls of the full-depth features 30. Six (6) microns of planarization oxide (POX) 32 are deposited so that the wafer will be flat after later chemical mechanical polishing (CMP); the planarization oxide 32 is preferably boron polysilicate glass (BPSG) or thermally enhanced oxide (TEOS). A timed chemical mechanical polish of the POX 32 is performed until 2±0.2 microns of the planarization oxide 32 remain on the SCS layer 12.
  • FIG. 7 illustrates the wafer at conclusion of step [0022] 27. A pair of holes 34 are patterned in the POX layer 32, and an RIE etch is performed to transfer the pattern into the POX 32 and down to the SCS layer. The photoresist is removed and a nitride layer 36 with a thickness of 0.6 microns is deposited via LPCVD. A second nitride layer 38 is also deposited on the back of the wafer for extra selectivity during a later potassium hydroxide (KOH) etch.
  • FIG. 8 illustrates the wafer at the conclusion of step [0023] 31. The resist on the pattern front side is patterned with nitrite structures and the pattern is transferred to the front nitride layer 36 using an RIE etch. A layer of LPCVD polysilicon 40 is deposited on the front, and a similar layer 42 is applied to the back of the wafer; both layers are 0.5 microns thick.
  • FIG. 9 illustrates the wafer at the conclusion of [0024] step 36. The front side of the wafer is patterned with polysilicon structures 44 and then RIE etched to transfer the pattern to the polysilicon layer 40. The photoresist is left on, the wafer is flipped and another layer of polysilicon (not shown) is deposited on the backside and RIE etched. The wafer is flipped again and the front side resist is removed, which is acting as a protective layer for the front side when flipped. A layer of PECVD polysilicon glass (PSG) 46 is added to the front of the waver and densified to 2 microns.
  • FIG. 10 illustrates the wafer after [0025] step 46. Holes 48 are patterned in the PSG layer 46 and an RIE etch is done to transfer the pattern to the PSG layer using the polysilicon layer 40 as an etch stop. The photoresist is removed and a front layer 50 and back layer 52 of LPCVD polysilicon 2 micros thick are deposited, followed by a deposit of 0.2 microns of PECVD polysilicon glass (PSG) (not shown), and the wafer is annealed at 1,000° C. for one hour to dope the polysilicon layers 50 and 52 and reduce stress.
  • FIG. 11 illustrates the wafer at the conclusion of [0026] step 52. This PSG layer 46 is patterned with polysilicon structures 56, and an RIE etch is performed to transfer the pattern to a PSG hard mask, followed by an RIE etch to transfer the pattern to the polysilicon layer 50. The resist is left on and the wafer is flipped and RIE etched to remove the backside polysilicon 52, using the front side resist and hard mask to protect the front. The wafer is flipped back over when done, the photoresist is removed, and the hard mask is removed with an RIE etch, which thins any exposed oxide by about 0.3 microns. A layer of PECVD polysilicon glass (PSG2) 54, is deposited and densified to 0.75 microns.
  • FIG. 12 illustrates the wafer at the conclusion of step [0027] 55. Holes 58 are patterned in the PSG2 layer 54 and an RIE etch is performed to transfer the pattern to the PSG, using the polysilicon layer as an etch stop. The photoresist is then removed.
  • FIG. 13 illustrates the wafer at the conclusion of step [0028] 68. The thermal oxide layer 20 is patterned with polysilicon structures and an RIE etch is performed to transfer the pattern to the PSG hard mask. An RIE etch is performed to transfer the pattern to the polysilicon 54. The wafer is flipped and an RIE etch is performed to remove the backside polysilicon, using the front side resist and hard mask to protect the front. The resist is removed, and the hard mask is removed with an RIE etch.
  • FIG. 14 illustrates the wafer at the conclusion of step [0029] 70. Areas on the front side where the POX 32 should be removed are patterned. This layer should only be used in areas where there is no polysilicon or metal, since those would act as etch stops for the subsequent etches. A wet etch is performed to remove the thermal oxide layer 20, exposing selected areas of the SCS layer 12. Designers must be careful that there nearby structures aren't damaged by a hydrofluoric acid (HF) etch. Polysilicon layers previously put on the SCS layer can be etched away without etching any of the SCS layer because the SCS layer 12 itself creates an etch stop.
  • Exposure of selected areas of the SCS layer at this point in the process allows mechanical, electrical and optical strcutures to be built directly onto the selected areas after other important structural (i.e., non-sacrificial) features have been built onto the SCS. These mechanical, electrical and optical structures are thus better able to take advantage of the SCS layer's useful properties. In the embodiment shown, a [0030] metal coating 60 is applied directly onto the grating 28 previously etched into the SCS layer 12 (see FIG. 15). Application of the metal coating 60 turns the grating 28 into a reflecting grating. Similarly, metal elements can be put on the SCS layer to conduct electrical current, insulating elements can be built on the SCS using nitride or oxide layers, or elements comprising both conducting and insulating parts can be built onto the SCS layer.
  • FIG. 15 illustrates the wafer at the conclusion of step [0031] 76. A photoresist is patterned for lift-off metal and 0.5 microns of metal 60 are deposited on the grating 28 on the front side of the SCS layer 12. The resist is lifted off, removing metals in those areas. A pattern is applied with areas where metal should be removed, and 200 Å of chromium (Cr) are deposited on the front side of the grating 28, followed by 300 Å of gold (Au). In this case, the gold increases the reflectivity of the grating, and because of how it is deposited it also smoothes the edges of the grating. Other metals having required reflectivity may also be used on the grating 28; examples include aluminum (Al) and platinum (Pt). The resist and the metal coating resist are then removed.
  • FIG. 16 illustrates the wafer at the conclusion of step [0032] 84. The backside nitride/oxide layer 38 is patterned with holes sized so that KOH will etch the desired depth. Uncertainty in wafer thickness will affect the size of the holes created at the other side of the wafer. The pattern is transferred to the nitride layer 38 with an RIE etch, and the same pattern is also transferred to the oxide layer 18 with an RIE etch. A through-wafer KOH etch is performed while protecting the front side with a deposited layer. If a coating is used it should be left on for the next step which involves removing the backside nitride/oxide using a nitride RIE etch and then an oxide RIE etch, which clears off exposed buried SCS. The protective layer possibly present from the last step will protect the front side. Backside etching of the wafer 10 is possible because in this process because of the use of different materials for the substrate layer 14 (which is made of polysilicon) and the device layer 12 (made of single crystal silicon). This enables the substrate to be etched away without etching away the backside of the device layer, and allows both sides of the device layer to be used to make various components mechanical and optical components such as the two-sided mirror shown.
  • To make the two-[0033] sided grating 204, a blanket deposit of 0.1 microns of metal 62 is deposited on the backside of the wafer to metalize the backside of the mirror. The metal is sputtered onto the backside of the wafer; suitable metals for metalization of the backside include all the metals used on the front layer 60. If the component whose backside is to be metalized has holes which extend through the device layer, the backside metal must be deposited carefully to ensure that the metal does not flow through the holes and ruin the quality of the front surface of the device. This is particularly important with optical components, where the front surface must have near-perfect optical qualities and no flow-through from back to front can be tolerated. An effective way of addressing this problem of metal flowing through to the front surface is to tilt the wafer while the metal is sputtered onto the backside; this prevents flow-through of the metal. Any exposed holes in the SCS layer 12 must be kept small (approximately 2 microns) to prevent sputtered metal from traveling all the way through the wafer. The same technique can be used when sputtering metal on the front side of the wafer if a two-sided optical component is needed.
  • Metalization of the backside of a component such as the [0034] grating 204 has several advantages. Among other things, the backside metalization helps with the release of the component once it's finished. When used on a one-sided optical device such as a mirror, backside metalization reduces transmission of light through the mirror. Backside metalization also helps ensure that any residual stresses in the mirror are balanced, so that the grating 204 will not become distorted. Finally, backside metalization allows two-sided optical components to be made.
  • FIGS. 17 and 18 illustrate the wafer at the conclusion of the process after the grating [0035] 204 built into the wafer has been released. The release may be performed by any of various methods including standard MUMPS methods which include (1) stripping the photoresist by soaking in acetone for 20 to 30 minutes with mild agitation, (2) etching in 49% straight HF for 2 ½ to 3 minutes and rinsing in de-ionized water for 10 minutes, or (3) rinse in IPA for 5 minutes and bake the chip at 100-110° C. for 10 to 15 minutes.
  • Since the fabrication technology utilized to produce microoptoelectromechanical (MOEMS) components can lead to manufacturing barriers in the thin film properties associated with the process, the present invention includes an enabling fabrication process for microoptoelectromechanical systems that overcomes the barriers in the optomechanical properties of thin film structures. The key innovation to overcoming these thin film properties is to utilize silicon on insulator (SOI) wafers as the starting substrate in a surface micromachining process (see FIG. 1). SOI is a generic term that refers to a structure in which a silicon layer is supported by a dielectric material. In this embodiment, a silicon device layer, bonded to a conventional silicon handle wafer, has a SiO[0036] 2 thin-film layer at the interface. This allows critical optical and electronic components to be fabricated in a single crystal silicon device layer, which can be released from the handle wafer by etching the oxide at the interface between the device layer and the substrate.
  • The oxide layer at the interface can also be utilized as a backside etch stop layer for releasing optical components, such as a mirror, that cannot include etch holes. The device layer has a user specified thickness that is appropriate for the given application, and has excellent and reproducible electrical and thin film properties. Both the back and front side of the device layer would be polished, and thus optical elements fabricated in this layer do not require additional post-processing chemical-mechanical polish (CMP) techniques to obtain an optical quality surface finish. Since the device layer is single crystal silicon, it has no intrinsic stress or stress gradients in the absence of thin film coatings. Since it can be made thicker than conventional chemical vapor deposition (CVD) deposited thin films, optical components fabricated in this layer have minimal distortions after thin film depositions such as aluminum to increase surface reflectivity, or dielectric thin films to decrease surface reflectivity. The additional thickness is also important to minimize distortions for dynamically actuated optical elements. [0037]
  • As those skilled in the art will appreciate, other various modifications, extensions, and changes to the foregoing disclosed embodiments of the present invention are contemplated to be within the scope and spirit of the invention as defined in the following claims. [0038]

Claims (11)

1. A micromechanical or microoptomechanical structure produced by a process comprising:
defining the structure in a single-crystal silicon layer separated by an insulator layer from a substrate layer;
selectively etching the single crystal silicon layer;
depositing and etching a polysilicon layer on the insulator layer, with remaining polysilcon forming mechanical elements of the structure;
metalizing a backside of the structure; and
releasing the formed structure.
2. The microstructure of claim 1 wherein selectively etching the single crystal silicon further comprises the step photolithographically patterning and dry etching the single crystal silicon layer.
3. The structure of claim 1 wherein the insulator layer is an oxide layer.
4. The structure of claim 1 wherein metalizing the backside of the structure comprises:
etching the substrate layer and insulator away from a backside of the wafer until a backside of the single crystal silicon layer of the structure is exposed; and
depositing a coating of metal on the exposed backside of the single crystal silicon layer of the structure.
5. The structure of claim 4 wherein the metal is selected from the group consisting of gold, aluminum, chromium and platinum.
6. A process for making a micromechanical or microoptomechanical structure in a wafer comprising a single crystal silicon layer bonded to a substrate layer by an insulator layer, the process comprising:
defining the structure in the single-crystal silicon layer;
selectively etching the single crystal silicon layer;
photolithographically patterning and etching the selectively etched single crystal silicon layer and the oxide layer,
depositing and patterning a polysilicon layer on the insulator layer;
metalizing a backside of the structure; and
releasing the formed structure.
7. The process of claim 6 wherein selectively etching the single crystal silicon further comprises the step photolithographically patterning and dry etching the single crystal silicon layer.
8. The process of claim 6 wherein the insulator layer is an oxide layer.
9. The process of claim 6 wherein metalizing the backside of the structure comprises:
etching the substrate layer and insulator away from a backside of the wafer until a backside of the single crystal silicon layer of the structure is exposed; and
depositing a coating of metal on the exposed backside of the single crystal silicon layer of the structure.
10. The process of claim 9 wherein the metal is selected from the group consisting of gold, aluminum, chromium and platinum.
11. The process of claim 6 further comprising:
depositing a PSG layer on the polysilicon layer;
patterning the PSG layer and polysilicon layer;
depositing a protective oxide layer prior to releasing the formed microoptical structure.
US10/192,087 2000-11-27 2002-07-09 Micromechanical and microoptomechanical structures with backside metalization Abandoned US20020192852A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/192,087 US20020192852A1 (en) 2000-11-27 2002-07-09 Micromechanical and microoptomechanical structures with backside metalization

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/724,515 US6506620B1 (en) 2000-11-27 2000-11-27 Process for manufacturing micromechanical and microoptomechanical structures with backside metalization
US10/192,087 US20020192852A1 (en) 2000-11-27 2002-07-09 Micromechanical and microoptomechanical structures with backside metalization

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/724,515 Division US6506620B1 (en) 2000-11-27 2000-11-27 Process for manufacturing micromechanical and microoptomechanical structures with backside metalization

Publications (1)

Publication Number Publication Date
US20020192852A1 true US20020192852A1 (en) 2002-12-19

Family

ID=24910722

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/724,515 Expired - Lifetime US6506620B1 (en) 2000-11-27 2000-11-27 Process for manufacturing micromechanical and microoptomechanical structures with backside metalization
US10/192,087 Abandoned US20020192852A1 (en) 2000-11-27 2002-07-09 Micromechanical and microoptomechanical structures with backside metalization

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/724,515 Expired - Lifetime US6506620B1 (en) 2000-11-27 2000-11-27 Process for manufacturing micromechanical and microoptomechanical structures with backside metalization

Country Status (4)

Country Link
US (2) US6506620B1 (en)
EP (1) EP1211219B1 (en)
JP (1) JP3986048B2 (en)
DE (1) DE60128437T2 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100197065A1 (en) * 2007-03-13 2010-08-05 Changqing Zhan Piezo-Diode Cantilever MEMS Fabrication Method
US20130115754A1 (en) * 2011-11-07 2013-05-09 Jing Chen Micro machining method for a substrate on an underlay
US20140284604A1 (en) * 2012-09-04 2014-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure for extreme ultraviolet electrostatic chuck with reduced clamping effect
US8869390B2 (en) 2007-10-01 2014-10-28 Innurvation, Inc. System and method for manufacturing a swallowable sensor device
US9564357B2 (en) * 2014-01-24 2017-02-07 Taiwan Semiconductor Manufacturing Company Limited Method of forming semiconductor device using etch stop layer
WO2021173191A1 (en) * 2020-02-24 2021-09-02 Purdue Research Foundation Microstructurally engineered perovskite gas sensor

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6878608B2 (en) * 2001-05-31 2005-04-12 International Business Machines Corporation Method of manufacture of silicon based package
JP2003023067A (en) * 2001-07-09 2003-01-24 Tokyo Electron Ltd Formation method for via metal layer and via metal layer formed substrate
US6660564B2 (en) * 2002-01-25 2003-12-09 Sony Corporation Wafer-level through-wafer packaging process for MEMS and MEMS package produced thereby
US6680240B1 (en) * 2002-06-25 2004-01-20 Advanced Micro Devices, Inc. Silicon-on-insulator device with strained device film and method for making the same with partial replacement of isolation oxide
JP4427989B2 (en) * 2003-07-22 2010-03-10 富士ゼロックス株式会社 Manufacturing method of microstructure
US7067355B2 (en) * 2004-05-26 2006-06-27 Hewlett-Packard Development Company, L.P. Package having bond-sealed underbump
US7162112B2 (en) * 2004-11-23 2007-01-09 Xerox Corporation Microfabrication process for control of waveguide gap size
US9164051B2 (en) 2005-04-06 2015-10-20 Bioscale, Inc. Electrically responsive device
US8536037B2 (en) * 2005-04-06 2013-09-17 Bioscale, Inc. Electrically responsive device
US7611908B2 (en) * 2005-05-02 2009-11-03 Bioscale, Inc. Method and apparatus for therapeutic drug monitoring using an acoustic device
US7648844B2 (en) * 2005-05-02 2010-01-19 Bioscale, Inc. Method and apparatus for detection of analyte using an acoustic device
US7300631B2 (en) * 2005-05-02 2007-11-27 Bioscale, Inc. Method and apparatus for detection of analyte using a flexural plate wave device and magnetic particles
US7749445B2 (en) * 2005-05-02 2010-07-06 Bioscale, Inc. Method and apparatus for analyzing bioprocess fluids
EP2010450A2 (en) * 2006-04-21 2009-01-07 Bioscale, Inc. Microfabricated devices and method for fabricating microfabricated devices
US20080121042A1 (en) * 2006-11-27 2008-05-29 Bioscale, Inc. Fluid paths in etchable materials
US7999440B2 (en) * 2006-11-27 2011-08-16 Bioscale, Inc. Micro-fabricated devices having a suspended membrane or plate structure
US7851333B2 (en) * 2007-03-15 2010-12-14 Infineon Technologies Ag Apparatus comprising a device and method for producing it
WO2009033056A1 (en) * 2007-09-06 2009-03-12 Bioscale, Inc. Reusable detection surfaces and methods of using same
EP2060534A1 (en) * 2007-11-16 2009-05-20 Nivarox-FAR S.A. Composite silicon-metal micromechanical component and method for manufacturing same
US8242591B2 (en) * 2009-08-13 2012-08-14 International Business Machines Corporation Electrostatic chucking of an insulator handle substrate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6275320B1 (en) * 1999-09-27 2001-08-14 Jds Uniphase, Inc. MEMS variable optical attenuator
US6388789B1 (en) * 2000-09-19 2002-05-14 The Charles Stark Draper Laboratory, Inc. Multi-axis magnetically actuated device
US6479311B1 (en) * 2000-11-27 2002-11-12 Microscan Systems, Inc. Process for manufacturing micromechanical and microoptomechanical structures with pre-applied patterning
US6479315B1 (en) * 2000-11-27 2002-11-12 Microscan Systems, Inc. Process for manufacturing micromechanical and microoptomechanical structures with single crystal silicon exposure step
US6535318B1 (en) * 1999-11-12 2003-03-18 Jds Uniphase Corporation Integrated optoelectronic devices having pop-up mirrors therein and methods of forming and operating same

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6149190A (en) 1993-05-26 2000-11-21 Kionix, Inc. Micromechanical accelerometer for automotive applications
DE4318466B4 (en) 1993-06-03 2004-12-09 Robert Bosch Gmbh Method for producing a micromechanical sensor
US5476819A (en) 1993-07-26 1995-12-19 Litton Systems, Inc. Substrate anchor for undercut silicon on insulator microstructures
US5589083A (en) 1993-12-11 1996-12-31 Electronics And Telecommunications Research Institute Method of manufacturing microstructure by the anisotropic etching and bonding of substrates
US5645684A (en) 1994-03-07 1997-07-08 The Regents Of The University Of California Multilayer high vertical aspect ratio thin film structures
US5660680A (en) 1994-03-07 1997-08-26 The Regents Of The University Of California Method for fabrication of high vertical aspect ratio thin film structures
US5484073A (en) 1994-03-28 1996-01-16 I/O Sensors, Inc. Method for fabricating suspension members for micromachined sensors
US5569355A (en) 1995-01-11 1996-10-29 Center For Advanced Fiberoptic Applications Method for fabrication of microchannel electron multipliers
US6084257A (en) 1995-05-24 2000-07-04 Lucas Novasensor Single crystal silicon sensor with high aspect ratio and curvilinear structures
SE9502258D0 (en) 1995-06-21 1995-06-21 Pharmacia Biotech Ab Method for the manufacture of a membrane-containing microstructure
DE19603829A1 (en) 1996-02-02 1997-08-07 Daimler Benz Ag Silicon@ based micromechanical structure manufacturing method
US6074890A (en) 1998-01-08 2000-06-13 Rockwell Science Center, Llc Method of fabricating suspended single crystal silicon micro electro mechanical system (MEMS) devices
US5853960A (en) 1998-03-18 1998-12-29 Trw Inc. Method for producing a micro optical semiconductor lens
US6117344A (en) 1998-03-20 2000-09-12 Borealis Technical Limited Method for manufacturing low work function surfaces
US6002507A (en) 1998-12-01 1999-12-14 Xerox Corpoation Method and apparatus for an integrated laser beam scanner
US6014240A (en) 1998-12-01 2000-01-11 Xerox Corporation Method and apparatus for an integrated laser beam scanner using a carrier substrate
US6238581B1 (en) 1998-12-18 2001-05-29 Eastman Kodak Company Process for manufacturing an electro-mechanical grating device
US6362512B1 (en) * 1998-12-23 2002-03-26 Xerox Corporation Microelectromechanical structures defined from silicon on insulator wafers
US6379989B1 (en) * 1998-12-23 2002-04-30 Xerox Corporation Process for manufacture of microoptomechanical structures
US20020046985A1 (en) * 2000-03-24 2002-04-25 Daneman Michael J. Process for creating an electrically isolated electrode on a sidewall of a cavity in a base
US6989921B2 (en) * 2000-08-27 2006-01-24 Corning Incorporated Magnetically actuated micro-electro-mechanical apparatus and method of manufacture

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6275320B1 (en) * 1999-09-27 2001-08-14 Jds Uniphase, Inc. MEMS variable optical attenuator
US6535318B1 (en) * 1999-11-12 2003-03-18 Jds Uniphase Corporation Integrated optoelectronic devices having pop-up mirrors therein and methods of forming and operating same
US6388789B1 (en) * 2000-09-19 2002-05-14 The Charles Stark Draper Laboratory, Inc. Multi-axis magnetically actuated device
US6479311B1 (en) * 2000-11-27 2002-11-12 Microscan Systems, Inc. Process for manufacturing micromechanical and microoptomechanical structures with pre-applied patterning
US6479315B1 (en) * 2000-11-27 2002-11-12 Microscan Systems, Inc. Process for manufacturing micromechanical and microoptomechanical structures with single crystal silicon exposure step
US20020197762A1 (en) * 2000-11-27 2002-12-26 Microscan Systems Incorporated Micromechanical and microoptomechanical structures with single crystal silicon exposure step

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100197065A1 (en) * 2007-03-13 2010-08-05 Changqing Zhan Piezo-Diode Cantilever MEMS Fabrication Method
US8053266B2 (en) * 2007-03-13 2011-11-08 Sharp Laboratories Of America, Inc. Piezo-diode cantilever MEMS fabrication method
US8869390B2 (en) 2007-10-01 2014-10-28 Innurvation, Inc. System and method for manufacturing a swallowable sensor device
US9730336B2 (en) 2007-10-01 2017-08-08 Innurvation, Inc. System for manufacturing a swallowable sensor device
US20130115754A1 (en) * 2011-11-07 2013-05-09 Jing Chen Micro machining method for a substrate on an underlay
US20140284604A1 (en) * 2012-09-04 2014-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure for extreme ultraviolet electrostatic chuck with reduced clamping effect
US9117830B2 (en) * 2012-09-04 2015-08-25 Taiwan Semiconductor Manuacturing Company, Ltd. Semiconductor structure for extreme ultraviolet electrostatic chuck with reduced clamping effect
US9564357B2 (en) * 2014-01-24 2017-02-07 Taiwan Semiconductor Manufacturing Company Limited Method of forming semiconductor device using etch stop layer
WO2021173191A1 (en) * 2020-02-24 2021-09-02 Purdue Research Foundation Microstructurally engineered perovskite gas sensor

Also Published As

Publication number Publication date
EP1211219A3 (en) 2004-06-16
EP1211219B1 (en) 2007-05-16
JP3986048B2 (en) 2007-10-03
US6506620B1 (en) 2003-01-14
JP2002283297A (en) 2002-10-03
EP1211219A2 (en) 2002-06-05
DE60128437D1 (en) 2007-06-28
DE60128437T2 (en) 2008-01-17

Similar Documents

Publication Publication Date Title
US6506620B1 (en) Process for manufacturing micromechanical and microoptomechanical structures with backside metalization
US6661070B2 (en) Micromechanical and microoptomechanical structures with single crystal silicon exposure step
US6379989B1 (en) Process for manufacture of microoptomechanical structures
US7002719B2 (en) Mirror for an integrated device
US5770465A (en) Trench-filling etch-masking microfabrication technique
US6362512B1 (en) Microelectromechanical structures defined from silicon on insulator wafers
US6872319B2 (en) Process for high yield fabrication of MEMS devices
US6808952B1 (en) Process for fabricating a microelectromechanical structure
EP0683921B1 (en) Microstructures and single mask, single-crystal process for fabrication thereof
JP2008505355A (en) Method for manufacturing an optical waveguide assembly having an integral alignment mechanism
JP2002283297A5 (en)
US20060054021A1 (en) Particle filter for microelectromechanical systems
Hetherington et al. Improved polysilicon surface-micromachined micromirror devices using chemical-mechanical polishing
US6587613B2 (en) Hybrid MEMS fabrication method and new optical MEMS device
US6479311B1 (en) Process for manufacturing micromechanical and microoptomechanical structures with pre-applied patterning
EP1741669A2 (en) Hidden hinge mems device
CA2364498C (en) Ic-compatible parylene mems technology and its application in integrated sensors
EP0596455B1 (en) Fabrication method for micromechanical element
US6429034B1 (en) Method of making high aspect ratio features during surface micromachining
GB2375185A (en) Thick wafer for MEMS fabrication
Lee et al. Silicon masking layers for fabrication of high aspect ratio MEMS
Lim et al. Fabrication of vertical-spring-type micromirror with SiO2 shielding screen and composite-layered mirror plate
Wu Monolithic silicon opto-electro-mechanical light modulator

Legal Events

Date Code Title Description
AS Assignment

Owner name: JPMORGAN CHASE BANK, AS COLLATERAL AGENT, TEXAS

Free format text: SECURITY AGREEMENT;ASSIGNOR:XEROX CORPORATION;REEL/FRAME:015134/0476

Effective date: 20030625

Owner name: JPMORGAN CHASE BANK, AS COLLATERAL AGENT,TEXAS

Free format text: SECURITY AGREEMENT;ASSIGNOR:XEROX CORPORATION;REEL/FRAME:015134/0476

Effective date: 20030625

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: XEROX CORPORATION, CONNECTICUT

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A. AS SUCCESSOR-IN-INTEREST ADMINISTRATIVE AGENT AND COLLATERAL AGENT TO JPMORGAN CHASE BANK;REEL/FRAME:066728/0193

Effective date: 20220822