US20030000473A1 - Method of delivering gas into reaction chamber and shower head used to deliver gas - Google Patents

Method of delivering gas into reaction chamber and shower head used to deliver gas Download PDF

Info

Publication number
US20030000473A1
US20030000473A1 US10/213,078 US21307802A US2003000473A1 US 20030000473 A1 US20030000473 A1 US 20030000473A1 US 21307802 A US21307802 A US 21307802A US 2003000473 A1 US2003000473 A1 US 2003000473A1
Authority
US
United States
Prior art keywords
gas
reaction
gases
reaction gases
shower head
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/213,078
Inventor
Yun-sook Chae
In-Sang Jeon
Sang-Bom Kang
Sang-in Lee
Kyu-wan Ryu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/323,014 external-priority patent/US6398904B1/en
Application filed by Individual filed Critical Individual
Priority to US10/213,078 priority Critical patent/US20030000473A1/en
Publication of US20030000473A1 publication Critical patent/US20030000473A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45559Diffusion of reactive gas to substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas

Definitions

  • the present invention relates to a method of delivering reaction gases during deposition of a predetermined layer onto a substrate with two or more mutually-reactive reaction gases, and a shower head used to introduce the reaction gases.
  • PVD Physical vapor deposition
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • PCVD pulsed CVD
  • FIGS. 1 and 2 show a mixing-type shower head.
  • first and second reaction gases enter into a shower head 10 at the same time or at different times, according to the opening or closing action of valves 16 , via intakes 12 and 14 , respectively.
  • the first and second reaction gases are mixed in the shower head 10 , exit through outlets 18 on the bottom surface of the shower head 10 , and are deposited on a substrate (not shown) loaded in a reaction chamber.
  • the first and second reaction gases particularly if they are mutually reactive, react with each other and form particles, which are deposited within the shower head 10 . Therefore, the shower head is easily contaminated.
  • FIGS. 3 and 4 show a separative type shower head 30 , for separately providing first and second reaction gases, to solve the above problem.
  • different passages are provided to prevent the first and second reaction gases from reacting with each other within the shower head 30 , such that the first and second reaction gases are discharged respectively via separate sets of interspersed outlets 38 and 40 .
  • the separative type shower head 30 when PCVD is performed using the separative type shower head 30 , the first reaction gas and other reactants remaining within the reaction chamber flow backward and into the passage for the second reaction gas, because there is no downward flow at that point coming from the passage for the second reaction gas. Then, when the second reaction gas is delivered, it reacts with the first gas and other reactants, thereby producing contaminating particles.
  • the present invention provides a gas delivery method in which a first reaction gas is delivered toward the edge of the substrate, and the other reaction gases are delivered toward the central portion of the substrate, each of the reaction gases being delivered via independent gas outlets to prevent the reaction gases from being mixed.
  • the predetermined film can be deposited by atomic layer deposition (ALD) or pulsed chemical vapor deposition (PCVD).
  • the other reaction gases include second and third reaction gases each reactive to the first reaction gas, and the second and third reaction gases can be delivered simultaneously with the first reaction gas.
  • the second and third reaction gases can be simultaneously delivered via the same gas outlet to be mixed with each other or alternately delivered via the same gas outlet at different times to prevent the two reaction gases from being mixed.
  • the second and third reaction gases can be delivered via independent gas outlets, respectively, to prevent the two reaction gases from being mixed.
  • a gas delivery method including: delivering the first reaction gas toward the edge of the substrate for a designated period of time; purging the reaction gas remaining within the reaction chamber while blocking the inflow of the first reaction gas; delivering the second reaction gas toward the central portion of the substrate for a designated period of time; and purging the reaction gas remaining within the reaction chamber while blocking the inflow of the second reaction gas.
  • each of the first and second reaction gases is delivered together with a carrier gas in order to smoothly supply the first and second reaction gases.
  • the reaction chamber is purged by continuously delivering only the carrier gas while blocking the first and second reaction gases during each of the purging steps.
  • the first reaction gas delivering step, the purging step, the second reaction gas delivering step, and the purging step can be repeated to deposit the material to a desired thickness.
  • the shower head appropriate for carrying out the above method is installed at the upper portion of a reaction chamber in which a substrate is seated on the lower portion.
  • the shower head has a gas supply line formed on the upper surface of the shower head for receiving a first reaction gas from a supply source of the first reaction gas; gas supply lines formed on the upper surface of the shower head for receiving other reaction gases from a supply source of the other reaction gases; a plurality of outlets for the first reaction gas formed along the edge of the lower surface of the shower head for discharging the first reaction gas; a plurality of outlets for each of the other reaction gases formed on the central portion of the lower surface of the shower head, for discharging the other reaction gases; a gas passage formed within the body of the shower head, for connecting the gas supply line for the first reaction gas to the plurality of outlets for the first reaction gas; and gas passages formed independently of the gas passage for the first reaction gas within the body of the shower head, for connecting the supply lines for the other reaction gases to the plurality of outlets for each of the other reaction gases.
  • the plurality of outlets for the first reaction gas can be extended further downward toward the substrate than the plurality of outlets for each of the other reaction gases such that the plurality of outlets for the first reaction gas are closer to the substrate installed in the chamber than the plurality of outlets for each of the other reaction gases when the shower head is installed in the upper portion of the reaction chamber.
  • one of the mutually-reactive reaction gases is delivered toward the edges of a substrate, and the others are delivered independently toward the center of the substrate.
  • generation of contaminating particles within a shower head and a reaction chamber can be prevented, and a high deposition rate can be obtained.
  • FIG. 1 is a cross-sectional view illustrating the configuration of a conventional mixing-type shower head
  • FIG. 2 is a bottom view of the shower head of FIG. 1;
  • FIG. 3 is a cross-sectional view illustrating the configuration of a conventional separative type shower head
  • FIG. 4 is a bottom view of the shower head of FIG. 3;
  • FIG. 5 is a graph showing the relationship between the flow rate of a purge gas and deposition rate when a TiN film is formed on a substrate using the shower head of FIGS. 3 and 4;
  • FIG. 6 is a cross-sectional view illustrating the configuration of an embodiment of a shower head used in a gas delivery method according to the present invention
  • FIG. 7 is a bottom view of the shower head of FIG. 6;
  • FIG. 8 is a cross-sectional view illustrating the configuration of another embodiment of a shower head used in a gas delivery method according to the present invention.
  • FIG. 9 is a bottom view of the shower head of FIG. 8;
  • FIGS. 10 through 13 are views conceptually illustrating a gas delivery method according to embodiments of the present invention.
  • FIGS. 6 and 7 show the configuration of a shower head according to an embodiment of the present invention.
  • gas supply lines 62 and 64 for first and second reaction gases, and respective valves 66 are installed over a shower head 60 according to the present embodiment.
  • Supply lines 68 for a purge gas (carrier gas) transfer the first and second reaction gases and purge the shower head 60 and a reaction chamber (not shown).
  • Supply lines 68 are equipped with valves 70 .
  • the interior of the shower head 60 has passages for first and second reaction gases to prevent the first and second reaction gases from being mixed.
  • one reaction gas is allowed to be discharged to outlets 72 formed around the outer edge of the bottom surface of the shower head 60
  • the other reaction gas is allowed to be discharged to outlets 74 formed in a central portion of the bottom surface of the shower head 60 . That is, as shown in FIG. 7, the bottom surface of the shower head 60 is provided with a plurality of first reaction gas outlets 72 which are spaced apart from each other along the edge, and a plurality of second reaction gas outlets 74 which are spaced apart from each other in the central portion.
  • the first reaction gas outlets 72 are grouped around the outside edge of the bottom surface of the shower head, and the second reaction gas outlets 74 are grouped in the central portion of the bottom surface of the shower head. Therefore, when delivering the first reaction gas from the first gas outlets grouped along the outside edge of the bottom surface of the shower head, there is less backward flow of the first reaction gas into the gas outlets for the second reaction gas than there would be in the conventional interspersed shower head.
  • a third reaction gas which is reactive to the first reaction gas can be supplied together with the second reaction gas through the second reaction gas supply line 64 .
  • the second and third reaction gases do not react with each other or weakly react with each other, they can be supplied simultaneously. On the other hand, if they are reactive, they can be alternately supplied at different times.
  • FIGS. 8 and 9 show the configuration of a shower head according to another embodiment of the present invention.
  • the shower head 80 shown in FIGS. 8 and 9 is obtained by further adding a third reaction gas supply line 86 and third reaction gas outlets 98 to the shower head shown in FIGS. 6 and 7.
  • third reaction gas outlets 98 are independent of first and second reaction gas outlets 72 and 74 .
  • Third reaction gas passages for connecting the third reaction gas supply line 86 to each of the third reaction gas outlets 98 are separate from the first and second reaction gas passages, such that the three reaction gases can be delivered independently of one another.
  • Third reaction gas supply line 86 is also provided with a purge gas line 68 and valve 70 .
  • the first reaction gas outlets 72 can be extended farther downward than the second/third reaction gas outlets 74 and 98 , so that the first reaction gas outlets 72 are closer to a substrate loaded in a reaction chamber. This is illustrated by reference numeral 142 in FIG. 11. This downward extension of the first reaction gas outlets further assists in preventing backward flow of the first reaction gas into the second (or third) reaction gas outlets. It is also preferable that the first reaction gas outlets 142 face the edge of a substrate or are located beyond the outside of the substrate, so that the diameter of the shower head is greater than or equal to that of the substrate.
  • FIGS. 10 through 13 conceptually illustrate processes for delivering gases according to embodiments of the present invention.
  • a first reaction gas and a carrier gas come out of outlets 140 formed on the edge of a shower head and flow toward the edge of a substrate 110 loaded on a substrate holder 120 in a reaction chamber 100 , as indicated by arrows B.
  • a second reaction gas and a carrier gas come out of outlets 130 formed in the central portion of the shower head and flow toward the central portion of the substrate 110 , as indicated by arrow A.
  • a direct purging effect of a purge gas (carrier gas) on a substrate is reduced, so that a reduction in the deposition rate is prevented despite an increase in the flow rate of the purge gas.
  • the outlets 130 and 140 are segregated from each other, the first and second reaction gases cannot easily flow backward into outlets for the second and first reaction gases respectively, so that contamination of the interior of the shower head and gas lines is prevented.
  • the first reaction gas outlets 140 face the outside edge of the substrate 110 or are located beyond the edge of the substrate 110 in order to prevent excessive purging of the second reaction gas adsorbed on the substrate 110 .
  • This is easily accomplished by using a shower head whose diameter is greater than or equal to the diameter of the substrate, as described above.
  • FIG. 11 conceptually shows a process for delivering a gas according to another embodiment of the present invention.
  • the same reference numerals as those in FIG. 10 denote the same elements, so they will not be described in detail.
  • a mutual backward flow between the first reaction gas C and the second reaction gas A can be even more effectively prevented by using a shower head having a structure in which first reaction gas outlets 142 extend farther toward the substrate 110 than the second reaction gas outlets 130 .
  • the first and second reaction gases in the above-described embodiments can be delivered in a PCVD method.
  • PCVD is more fully described in U.S. patent application Ser. No. 09/156,724 filed on Sep. 18, 1998 by a common Assignee, entitled “Method of Forming Metal Nitride Film by Chemical Vapor Deposition and Method of Forming Metal Contact of Semiconductor Device Using the Same”, the disclosure of which is hereby incorporated herein by reference in its entirety.
  • Ser. No. 09/156,724 filed on Sep. 18, 1998 by a common Assignee, entitled “Method of Forming Metal Nitride Film by Chemical Vapor Deposition and Method of Forming Metal Contact of Semiconductor Device Using the Same”, the disclosure of which is hereby incorporated herein by reference in its entirety.
  • PCVD is a type of CVD where reaction gases and purge gases are alternately introduced for a predetermined amount of time in a sequence of a first reaction gas, a purge gas, a second reaction gas, and the purge gas.
  • PCVD is similar to ALD, but PCVD significantly increases the deposition rate with both chemically-adsorbed and physically-adsorbed reaction gases remaining on a substrate, while only the chemically-adsorbed reaction gas remains on the substrate in the ALD.
  • a semiconductor wafer 110 or a wafer boat (not shown) on which a plurality of substrates are loaded is loaded into a reaction chamber 100 structured as shown in any of FIGS. 10 through 13.
  • the temperature and pressure within the reaction chamber 100 are set to predetermined levels and stabilized.
  • a valve installed on the first reaction gas supply line is opened, and a first reaction gas is thus delivered for a predetermined period of time as indicated by arrow B, C, D or E.
  • the first reaction gas delivered toward the edge of the wafer 110 is physically or chemically adsorbed on the wafer 110 .
  • a carrier gas together with the first reaction gas can be delivered for a smooth supply of the first reaction gas.
  • An inert gas is used as the carrier gas, and can be delivered via the supply line 68 for a purge gas.
  • the valve installed on the first reaction gas supply line is closed to block the supply of the first reaction gas, and the purge gas is delivered for a predetermined period of time to purge reaction gases remaining within the shower head or the reaction chamber without being adsorbed on the wafer 110 .
  • purging is performed by blocking only the first reaction gas and continuously delivering the carrier gas, without the need to deliver a special purge gas.
  • a second reaction gas is delivered for a predetermined period of time as indicated by the arrows A.
  • the second reaction gas delivered toward the central portion of the wafer 110 reacts with the first reaction gas adsorbed on the wafer 110 to form a desired material film.
  • an inert carrier gas can be delivered together with the second reaction gas to achieve a smooth supply of the second reaction gas.
  • a purge gas is delivered for a predetermined period of time while blocking the supply of the second reaction gas, thereby purging reaction gases or contaminating particles remaining within the shower head and the reaction chamber.
  • a carrier gas is delivered together with the second reaction gas, the carrier gas is continuously delivered while only the second reaction gas is blocked, thereby performing a purging operation without the need to deliver a special purge gas.
  • Such a cycle of delivering the first reaction gas, purging, delivering the second reaction gas, and purging can be repeated until a material film having a desired thickness is formed. Also, the order of the first and second reaction gases to be delivered can be changed according to the property of a film desired to be formed.
  • a TiN film is formed on a substrate using each of the shower heads shown in FIGS. 3 and 6 to compare the effect of a conventional gas delivery method with that of a gas delivery method according to the present invention.
  • NH 3 and TiCl 4 are used as first and second reaction gases, respectively, and Ar is used as both a carrier gas and a purge gas.
  • Ar is used as both a carrier gas and a purge gas.
  • temperature of substrate 500° C.
  • temperature of substrate 500° C.
  • the two methods have similar deposition rates, they differ widely from each other in the number of contaminating particles formed on a substrate. That is, more than 8000 contaminating particles are observed in the conventional method, but less than 50 contaminating particles are observed in the method according to the present invention.
  • the flow rate of the carrier and purge gas (Ar) is increased in the conventional method, the number of contaminating particles is reduced. If the flow rate of Ar is increased to 600 sccm without a change in the other conditions in the conventional method having the above-described described conditions, the number of contaminating particles is reduced, but the deposition rate is decreased to 8 ⁇ /min or less. This low deposition rate impedes the use of the conventional method in a mass-production method of semiconductor devices.
  • the method according to the present invention can obtain superior results compared to the conventional method not only in the deposition rate and the number of contaminating particles, but also in the surface resistance and the uniformity of the surface resistance. That is, it is preferable that a deposited TiN film in the present experimental example has a surface resistance as low as possible since it is generally used as a barrier metal layer. As can be seen from Table 1, the method according to the present invention obtains a smaller surface resistance than the surface resistance of the conventional method, and also obtains excellent results in the uniformity of the surface resistance. Here, the surface resistance was measured at 49 different places on a substrate by a four-point probe method.
  • the embodiments according to the present invention and experimental example of a method of delivering mutually-reactive first and second reaction gases have been disclosed above.
  • the gas delivery method according to the present invention is applicable not only to a deposition process using two reaction gases but also to a deposition process using three reaction gases or more such as BST ((Ba,Sr)TiO 3 ) or the like.
  • reaction gases can be delivered via the shower head 80 shown in FIG. 8.
  • the reaction gas which most easily flows backward is supplied to the edge of the substrate via the first reaction gas supply line 62 , and the remaining reaction gases are supplied to the central portion of the substrate via the second and third reaction gas supply lines 64 and 86 .
  • the shower head 60 shown in FIG. 6 or a gas delivery system shown in FIGS. 10 through 13 can be used. That is, one reaction gas having a strong reactivity is delivered toward the edge of the substrate via the first reaction gas supply line 62 (or as indicated by arrow B, C, D or E) while the remaining second and third reaction gases are delivered toward the central portion of the substrate via the second reaction gas supply line 64 (or as indicated by arrow A).
  • the second and third reaction gases can be delivered simultaneously, or can be delivered alternately at different times.

Abstract

A method of delivering two or more mutually-reactive reaction gases when a predetermined film is deposited on a substrate, and a shower head used in the gas delivery method, function to increase the film deposition rate while preventing formation of contaminating particles. In this method, one reaction gas is delivered toward the edge of the substrate, and the other reaction gases are delivered toward the central portion of the substrate, each of the reaction gases being delivered via an independent gas outlet to prevent the reaction gases from being mixed. In the shower head, separate passages are provided to prevent the first reaction gas from mixing with the other reaction gases by delivering the first reaction gas from outlets formed around the edge of the bottom surface of the shower head. The other reaction gases are delivered from outlets formed in the central portion of the bottom surface of the shower head. Accordingly, one of the mutually-reactive gases is delivered toward the central portion of the substrate, and the others are delivered toward the edge of the substrate.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • The present invention relates to a method of delivering reaction gases during deposition of a predetermined layer onto a substrate with two or more mutually-reactive reaction gases, and a shower head used to introduce the reaction gases. [0002]
  • 2. Background of the Related Art [0003]
  • Physical vapor deposition (PVD, also referred to as “sputtering”), chemical vapor deposition (CVD), atomic layer deposition (ALD), and pulsed CVD (PCVD, the details of which will be described later) can be used to form a predetermined layer by depositing a vapor material on a substrate. When a predetermined layer is formed by conventional vapor deposition methods, source gases (reaction gas) are generally provided by a shower head installed at the upper portion of a reaction chamber. [0004]
  • FIGS. 1 and 2 show a mixing-type shower head. Here, first and second reaction gases enter into a [0005] shower head 10 at the same time or at different times, according to the opening or closing action of valves 16, via intakes 12 and 14, respectively. The first and second reaction gases are mixed in the shower head 10, exit through outlets 18 on the bottom surface of the shower head 10, and are deposited on a substrate (not shown) loaded in a reaction chamber. However, in the mixing-type shower head 10 having such a configuration, the first and second reaction gases, particularly if they are mutually reactive, react with each other and form particles, which are deposited within the shower head 10. Therefore, the shower head is easily contaminated.
  • FIGS. 3 and 4 show a separative [0006] type shower head 30, for separately providing first and second reaction gases, to solve the above problem. Referring to FIGS. 3 and 4, different passages are provided to prevent the first and second reaction gases from reacting with each other within the shower head 30, such that the first and second reaction gases are discharged respectively via separate sets of interspersed outlets 38 and 40. However, when PCVD is performed using the separative type shower head 30, the first reaction gas and other reactants remaining within the reaction chamber flow backward and into the passage for the second reaction gas, because there is no downward flow at that point coming from the passage for the second reaction gas. Then, when the second reaction gas is delivered, it reacts with the first gas and other reactants, thereby producing contaminating particles. Likewise, the same thing occurs in the passage for the first reaction gas, which becomes contaminated with the second reaction gas when only the second reaction gas is flowing. evident that setting the flow rate of purge gas to over about 500 sccm prevents contaminating particles from being produced. However, it can be seen that setting the flow rate of the purge gas to over 500 sccm greatly reduces the speed of growth of the TiN film.
  • SUMMARY OF THE INVENTION
  • To solve the above problems, it is an object of the present invention to provide a method of delivering gas whereby problems of both an increase in contaminating particles and a reduction in deposition rate can be solved, and to provide a shower head appropriate for the gas delivery method. [0007]
  • Accordingly, to achieve the first object, the present invention provides a gas delivery method in which a first reaction gas is delivered toward the edge of the substrate, and the other reaction gases are delivered toward the central portion of the substrate, each of the reaction gases being delivered via independent gas outlets to prevent the reaction gases from being mixed. Here, the predetermined film can be deposited by atomic layer deposition (ALD) or pulsed chemical vapor deposition (PCVD). [0008]
  • The other reaction gases include second and third reaction gases each reactive to the first reaction gas, and the second and third reaction gases can be delivered simultaneously with the first reaction gas. The second and third reaction gases can be simultaneously delivered via the same gas outlet to be mixed with each other or alternately delivered via the same gas outlet at different times to prevent the two reaction gases from being mixed. Alternatively, the second and third reaction gases can be delivered via independent gas outlets, respectively, to prevent the two reaction gases from being mixed. [0009]
  • According to another embodiment of the present invention, there is provided a gas delivery method including: delivering the first reaction gas toward the edge of the substrate for a designated period of time; purging the reaction gas remaining within the reaction chamber while blocking the inflow of the first reaction gas; delivering the second reaction gas toward the central portion of the substrate for a designated period of time; and purging the reaction gas remaining within the reaction chamber while blocking the inflow of the second reaction gas. [0010]
  • In the steps of delivering the first reaction gas and the second reaction gas, each of the first and second reaction gases is delivered together with a carrier gas in order to smoothly supply the first and second reaction gases. The reaction chamber is purged by continuously delivering only the carrier gas while blocking the first and second reaction gases during each of the purging steps. Also, the first reaction gas delivering step, the purging step, the second reaction gas delivering step, and the purging step can be repeated to deposit the material to a desired thickness. [0011]
  • The shower head appropriate for carrying out the above method is installed at the upper portion of a reaction chamber in which a substrate is seated on the lower portion. The shower head has a gas supply line formed on the upper surface of the shower head for receiving a first reaction gas from a supply source of the first reaction gas; gas supply lines formed on the upper surface of the shower head for receiving other reaction gases from a supply source of the other reaction gases; a plurality of outlets for the first reaction gas formed along the edge of the lower surface of the shower head for discharging the first reaction gas; a plurality of outlets for each of the other reaction gases formed on the central portion of the lower surface of the shower head, for discharging the other reaction gases; a gas passage formed within the body of the shower head, for connecting the gas supply line for the first reaction gas to the plurality of outlets for the first reaction gas; and gas passages formed independently of the gas passage for the first reaction gas within the body of the shower head, for connecting the supply lines for the other reaction gases to the plurality of outlets for each of the other reaction gases. [0012]
  • Here, the plurality of outlets for the first reaction gas can be extended further downward toward the substrate than the plurality of outlets for each of the other reaction gases such that the plurality of outlets for the first reaction gas are closer to the substrate installed in the chamber than the plurality of outlets for each of the other reaction gases when the shower head is installed in the upper portion of the reaction chamber. [0013]
  • According to the present invention, one of the mutually-reactive reaction gases is delivered toward the edges of a substrate, and the others are delivered independently toward the center of the substrate. Thus, generation of contaminating particles within a shower head and a reaction chamber can be prevented, and a high deposition rate can be obtained.[0014]
  • BRIEF DESCRIPTION OF THE ATTACHED DRAWINGS
  • The above objectives and advantages of the present invention will become more apparent by describing in detail preferred embodiments thereof with reference to the attached drawings in which: [0015]
  • FIG. 1 is a cross-sectional view illustrating the configuration of a conventional mixing-type shower head; [0016]
  • FIG. 2 is a bottom view of the shower head of FIG. 1; [0017]
  • FIG. 3 is a cross-sectional view illustrating the configuration of a conventional separative type shower head; [0018]
  • FIG. 4 is a bottom view of the shower head of FIG. 3; [0019]
  • FIG. 5 is a graph showing the relationship between the flow rate of a purge gas and deposition rate when a TiN film is formed on a substrate using the shower head of FIGS. 3 and 4; [0020]
  • FIG. 6 is a cross-sectional view illustrating the configuration of an embodiment of a shower head used in a gas delivery method according to the present invention; [0021]
  • FIG. 7 is a bottom view of the shower head of FIG. 6; [0022]
  • FIG. 8 is a cross-sectional view illustrating the configuration of another embodiment of a shower head used in a gas delivery method according to the present invention; [0023]
  • FIG. 9 is a bottom view of the shower head of FIG. 8; [0024]
  • FIGS. 10 through 13 are views conceptually illustrating a gas delivery method according to embodiments of the present invention.[0025]
  • DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS
  • Hereinafter, preferred embodiments of the present invention will be described referring to the attached drawings. First, the structure of a shower head appropriate for a gas delivery method according to the present invention will be described. [0026]
  • FIGS. 6 and 7 show the configuration of a shower head according to an embodiment of the present invention. As shown in FIG. 6, [0027] gas supply lines 62 and 64, for first and second reaction gases, and respective valves 66 are installed over a shower head 60 according to the present embodiment. Supply lines 68 for a purge gas (carrier gas) transfer the first and second reaction gases and purge the shower head 60 and a reaction chamber (not shown). Supply lines 68 are equipped with valves 70.
  • The interior of the [0028] shower head 60 has passages for first and second reaction gases to prevent the first and second reaction gases from being mixed. In particular, one reaction gas is allowed to be discharged to outlets 72 formed around the outer edge of the bottom surface of the shower head 60, and the other reaction gas is allowed to be discharged to outlets 74 formed in a central portion of the bottom surface of the shower head 60. That is, as shown in FIG. 7, the bottom surface of the shower head 60 is provided with a plurality of first reaction gas outlets 72 which are spaced apart from each other along the edge, and a plurality of second reaction gas outlets 74 which are spaced apart from each other in the central portion.
  • In particular, instead of being interspersed across the bottom surface of the conventional shower head as shown in FIG. 4, the first [0029] reaction gas outlets 72 are grouped around the outside edge of the bottom surface of the shower head, and the second reaction gas outlets 74 are grouped in the central portion of the bottom surface of the shower head. Therefore, when delivering the first reaction gas from the first gas outlets grouped along the outside edge of the bottom surface of the shower head, there is less backward flow of the first reaction gas into the gas outlets for the second reaction gas than there would be in the conventional interspersed shower head.
  • A third reaction gas which is reactive to the first reaction gas can be supplied together with the second reaction gas through the second reaction [0030] gas supply line 64. Here, if the second and third reaction gases do not react with each other or weakly react with each other, they can be supplied simultaneously. On the other hand, if they are reactive, they can be alternately supplied at different times.
  • FIGS. 8 and 9 show the configuration of a shower head according to another embodiment of the present invention. The [0031] shower head 80 shown in FIGS. 8 and 9 is obtained by further adding a third reaction gas supply line 86 and third reaction gas outlets 98 to the shower head shown in FIGS. 6 and 7. Here, third reaction gas outlets 98 are independent of first and second reaction gas outlets 72 and 74. Third reaction gas passages for connecting the third reaction gas supply line 86 to each of the third reaction gas outlets 98 are separate from the first and second reaction gas passages, such that the three reaction gases can be delivered independently of one another. Third reaction gas supply line 86 is also provided with a purge gas line 68 and valve 70.
  • In any of the shower heads of FIGS. 6 through 9, the first [0032] reaction gas outlets 72 can be extended farther downward than the second/third reaction gas outlets 74 and 98, so that the first reaction gas outlets 72 are closer to a substrate loaded in a reaction chamber. This is illustrated by reference numeral 142 in FIG. 11. This downward extension of the first reaction gas outlets further assists in preventing backward flow of the first reaction gas into the second (or third) reaction gas outlets. It is also preferable that the first reaction gas outlets 142 face the edge of a substrate or are located beyond the outside of the substrate, so that the diameter of the shower head is greater than or equal to that of the substrate.
  • A method of delivering gas according to an embodiment of the present invention will now be described. FIGS. 10 through 13 conceptually illustrate processes for delivering gases according to embodiments of the present invention. [0033]
  • Referring to FIG. 10, a first reaction gas and a carrier gas come out of [0034] outlets 140 formed on the edge of a shower head and flow toward the edge of a substrate 110 loaded on a substrate holder 120 in a reaction chamber 100, as indicated by arrows B. A second reaction gas and a carrier gas come out of outlets 130 formed in the central portion of the shower head and flow toward the central portion of the substrate 110, as indicated by arrow A. In this way, a direct purging effect of a purge gas (carrier gas) on a substrate is reduced, so that a reduction in the deposition rate is prevented despite an increase in the flow rate of the purge gas. In addition, since the outlets 130 and 140 are segregated from each other, the first and second reaction gases cannot easily flow backward into outlets for the second and first reaction gases respectively, so that contamination of the interior of the shower head and gas lines is prevented.
  • Here, it is preferable that the first [0035] reaction gas outlets 140 face the outside edge of the substrate 110 or are located beyond the edge of the substrate 110 in order to prevent excessive purging of the second reaction gas adsorbed on the substrate 110. This is easily accomplished by using a shower head whose diameter is greater than or equal to the diameter of the substrate, as described above.
  • FIG. 11 conceptually shows a process for delivering a gas according to another embodiment of the present invention. The same reference numerals as those in FIG. 10 denote the same elements, so they will not be described in detail. [0036]
  • In the embodiment of FIG. 11, a mutual backward flow between the first reaction gas C and the second reaction gas A can be even more effectively prevented by using a shower head having a structure in which first [0037] reaction gas outlets 142 extend farther toward the substrate 110 than the second reaction gas outlets 130.
  • In another embodiment as shown in FIG. 12, only the second reaction gas is delivered as indicated by arrow A, and the first reaction gas is delivered laterally from [0038] gas outlets 144 located in the sides of the reaction chamber toward the substrate 110 as indicated by arrows D.
  • In still another embodiment as shown in FIG. 13, only the second reaction gas is delivered from a shower head as indicated by arrow A, and the first reaction gas is delivered upward from [0039] gas outlets 146 located at the edge of the lower portion of the reaction chamber as indicated by arrows E.
  • The first and second reaction gases in the above-described embodiments can be delivered in a PCVD method. PCVD is more fully described in U.S. patent application Ser. No. 09/156,724 filed on Sep. 18, 1998 by a common Assignee, entitled “Method of Forming Metal Nitride Film by Chemical Vapor Deposition and Method of Forming Metal Contact of Semiconductor Device Using the Same”, the disclosure of which is hereby incorporated herein by reference in its entirety. As described in U.S. patent application Ser. No. 09/156,724, PCVD is a type of CVD where reaction gases and purge gases are alternately introduced for a predetermined amount of time in a sequence of a first reaction gas, a purge gas, a second reaction gas, and the purge gas. PCVD is similar to ALD, but PCVD significantly increases the deposition rate with both chemically-adsorbed and physically-adsorbed reaction gases remaining on a substrate, while only the chemically-adsorbed reaction gas remains on the substrate in the ALD. [0040]
  • The embodiment according to the present invention applied to the PCVD will now be described in detail. [0041]
  • First, a [0042] semiconductor wafer 110 or a wafer boat (not shown) on which a plurality of substrates are loaded is loaded into a reaction chamber 100 structured as shown in any of FIGS. 10 through 13. The temperature and pressure within the reaction chamber 100 are set to predetermined levels and stabilized.
  • Next, a valve installed on the first reaction gas supply line is opened, and a first reaction gas is thus delivered for a predetermined period of time as indicated by arrow B, C, D or E. The first reaction gas delivered toward the edge of the [0043] wafer 110 is physically or chemically adsorbed on the wafer 110. Here, a carrier gas together with the first reaction gas can be delivered for a smooth supply of the first reaction gas. An inert gas is used as the carrier gas, and can be delivered via the supply line 68 for a purge gas.
  • The valve installed on the first reaction gas supply line is closed to block the supply of the first reaction gas, and the purge gas is delivered for a predetermined period of time to purge reaction gases remaining within the shower head or the reaction chamber without being adsorbed on the [0044] wafer 110. Here, when the carrier gas is delivered together with the first reaction gas, purging is performed by blocking only the first reaction gas and continuously delivering the carrier gas, without the need to deliver a special purge gas.
  • A second reaction gas is delivered for a predetermined period of time as indicated by the arrows A. The second reaction gas delivered toward the central portion of the [0045] wafer 110 reacts with the first reaction gas adsorbed on the wafer 110 to form a desired material film. Here, an inert carrier gas can be delivered together with the second reaction gas to achieve a smooth supply of the second reaction gas.
  • A purge gas is delivered for a predetermined period of time while blocking the supply of the second reaction gas, thereby purging reaction gases or contaminating particles remaining within the shower head and the reaction chamber. Here, when a carrier gas is delivered together with the second reaction gas, the carrier gas is continuously delivered while only the second reaction gas is blocked, thereby performing a purging operation without the need to deliver a special purge gas. [0046]
  • Such a cycle of delivering the first reaction gas, purging, delivering the second reaction gas, and purging can be repeated until a material film having a desired thickness is formed. Also, the order of the first and second reaction gases to be delivered can be changed according to the property of a film desired to be formed. [0047]
  • An experimental example is provided below, wherein a material film is deposited on a substrate by a PCVD method using a gas delivery method according to the present invention. [0048]
  • In the present experimental example, a TiN film is formed on a substrate using each of the shower heads shown in FIGS. 3 and 6 to compare the effect of a conventional gas delivery method with that of a gas delivery method according to the present invention. NH[0049] 3 and TiCl4 are used as first and second reaction gases, respectively, and Ar is used as both a carrier gas and a purge gas. The process conditions and one cycle of each of the conventional gas delivery method and the gas delivery method according to the present invention are as follows.
  • 1. Conventional gas delivery method [0050]
  • temperature of substrate: 500° C. [0051]
  • pressure: 3torr [0052]
  • one cycle: [0053] NH 3 100 sccm +Ar 120 sccm (2 sec)→Ar 120 sccm (4 sec) →TiCl4 3 sccm+Ar 120 sccm (2 sec)→Ar 120 sccm (4 sec)
  • 2. Gas delivery method according to the present invention [0054]
  • temperature of substrate: 500° C. [0055]
  • pressure: 4torr [0056]
  • one cycle: [0057] NH 3 100 sccm+Ar 600 sccm (2 sec)→Ar 600 sccm (4 sec) →TiCl4 3 sccm+Ar 600 sccm (2 sec)→Ar 600 sccm (4 sec)
  • The results obtained by performing tens of cycles under the above-described conditions are shown in the following Table 1: [0058]
    method according to the
    conventional method present invention
    deposition rate ≈30 >30
    (Å/min)
    number of >8000 <50
    contamination particles
    surface resistance 150 116
    (μΩ-cm)
    uniformity (%) 14.3 8.4
    of surface resistance (standard deviation) (standard deviation)
    30 14.1
    (maximum deviation) (maximum deviation)
  • As can be seen from Table 1, although the two methods have similar deposition rates, they differ widely from each other in the number of contaminating particles formed on a substrate. That is, more than 8000 contaminating particles are observed in the conventional method, but less than 50 contaminating particles are observed in the method according to the present invention. As described above, when the flow rate of the carrier and purge gas (Ar) is increased in the conventional method, the number of contaminating particles is reduced. If the flow rate of Ar is increased to 600 sccm without a change in the other conditions in the conventional method having the above-described described conditions, the number of contaminating particles is reduced, but the deposition rate is decreased to 8 Å/min or less. This low deposition rate impedes the use of the conventional method in a mass-production method of semiconductor devices. [0059]
  • Also, it is evident that the method according to the present invention can obtain superior results compared to the conventional method not only in the deposition rate and the number of contaminating particles, but also in the surface resistance and the uniformity of the surface resistance. That is, it is preferable that a deposited TiN film in the present experimental example has a surface resistance as low as possible since it is generally used as a barrier metal layer. As can be seen from Table 1, the method according to the present invention obtains a smaller surface resistance than the surface resistance of the conventional method, and also obtains excellent results in the uniformity of the surface resistance. Here, the surface resistance was measured at 49 different places on a substrate by a four-point probe method. [0060]
  • The embodiments according to the present invention and experimental example of a method of delivering mutually-reactive first and second reaction gases have been disclosed above. The gas delivery method according to the present invention is applicable not only to a deposition process using two reaction gases but also to a deposition process using three reaction gases or more such as BST ((Ba,Sr)TiO[0061] 3) or the like. In such a deposition process using three reaction gases, reaction gases can be delivered via the shower head 80 shown in FIG. 8. Here, the reaction gas which most easily flows backward is supplied to the edge of the substrate via the first reaction gas supply line 62, and the remaining reaction gases are supplied to the central portion of the substrate via the second and third reaction gas supply lines 64 and 86. In a case when the second and third reaction gases do not mutually react with each other or weakly react with each other, the shower head 60 shown in FIG. 6 or a gas delivery system shown in FIGS. 10 through 13 can be used. That is, one reaction gas having a strong reactivity is delivered toward the edge of the substrate via the first reaction gas supply line 62 (or as indicated by arrow B, C, D or E) while the remaining second and third reaction gases are delivered toward the central portion of the substrate via the second reaction gas supply line 64 (or as indicated by arrow A). Here, the second and third reaction gases can be delivered simultaneously, or can be delivered alternately at different times.
  • According to the gas delivery method and the shower head according to the present invention as described above, in which mutually-reactive reaction gases are delivered to a substrate, one of the mutually-reactive gases is delivered toward the edge of the substrate, and the others are delivered toward the central portion of the substrate. Therefore, the deposition rate can be increased, and contaminating particles can be prevented from being produced within the shower head and a reaction chamber. [0062]
  • The present invention is not limited to the embodiments set forth above, and it is clearly understood that many variations may be made within the scope of the present invention by anyone of skill in the art. [0063]

Claims (20)

What is claimed is:
1. A gas delivery method of delivering two or more mutually-reactive reaction gases into a reaction chamber to deposit a predetermined film on a substrate seated within the reaction chamber, the method comprising:
delivering a first reaction gas toward an outer edge of the substrate; and
delivering other reaction gases toward a central portion of the substrate, wherein each of the reaction gases is delivered via an independent gas outlet to prevent the reaction gases from being mixed.
2. The gas delivery method as claimed in claim 1, wherein the predetermined film is deposited by atomic layer deposition.
3. The gas delivery method as claimed in claim 1, wherein each of the first reaction gas and the other reaction gases are alternately delivered for designated periods of time.
4. The gas delivery method as claimed in claim 3, wherein each of the first reaction gas and the other reaction gases is delivered together with a carrier gas.
5. The gas delivery method as claimed in claim 4, wherein the carrier gas is inert.
6. The gas delivery method as claimed in claim 4, wherein the reaction chamber is purged by delivering only the carrier gas for a period of time between delivery of the first reaction gas and the other reaction gases.
7. The gas delivery method as claimed in claim 1, wherein the other reaction gases comprise second and third reaction gases that are each reactive to the first reaction gas, and the second and third reaction gases are simultaneously delivered via a common gas outlet so as to be mixed with each other during delivery.
8. The gas delivery method as claimed in claim 1, wherein the other reaction gases comprise second and third reaction gases that are each reactive to the first reaction gas, and the second and third reaction gases are alternately delivered via a common gas outlet at different times to prevent mixing.
9. The gas delivery method as claimed in claim 1, wherein the other reaction gases comprise second and third reaction gases each reactive to the first reaction gas, and the second and third reaction gases are delivered via independent gas outlets, respectively, to prevent mixing of the second and third reaction gases.
10. The gas delivery method as claimed in claim 1, wherein the first reaction gas is delivered downward via gas outlets formed around an upper edge of the reaction chamber, and the other reaction gases are delivered downward via gas outlets formed on a central portion of an upper side of the reaction chamber.
11. The gas delivery method as claimed in claim 1, wherein the first reaction gas is delivered laterally via gas outlets formed on a side surface of the reaction chamber, and the other reaction gases are delivered downward via gas outlets formed on a central portion of an upper side of the reaction chamber.
12. The gas delivery method as claimed in claim 1, wherein the first reaction gas is delivered upward via gas outlets formed along an edge of a bottom surface of the reaction chamber, and the other reaction gases are delivered downward via gas outlets formed on a central portion of an upper side of the reaction chamber.
13. A gas delivery method of delivering a first reaction gas containing elements of a material to be deposited and a second reaction gas for forming the material by reacting with the first reaction gas via separate gas outlets to deposit the material on a substrate seated within a reaction chamber, the method comprising steps of:
(a) delivering the first reaction gas toward an outer edge of the substrate for a first period of time;
(b) purging the reaction chamber while blocking inflow of the first reaction gas;
(c) delivering the second reaction gas toward a central portion of the substrate for a second period of time; and
(d) purging the reaction chamber while blocking inflow of the second reaction gas.
14. The gas delivery method as claimed in claim 13, wherein delivery of each of the first and second reaction gases is done together with a carrier gas in each of the steps (a) and (c) in order to smoothly supply the first and second reaction gases, and purging of the reaction chamber in each of the steps (b) and (d) is done by continuously delivering only the carrier gas while blocking the first and second reaction gases.
15. The gas delivery method as claimed in claim 14, wherein the carrier gas is inert.
16. The gas delivery method as claimed in claim 13, wherein the steps (a) through (d) are repeated so as to deposit the material to a desired thickness.
17. A shower head installed at an upper portion of a reaction chamber in which a substrate is seated on a lower portion thereof, so as to supply two or more mutually-reactive reaction gases, the shower head comprising:
a gas supply line formed on an upper surface of the shower head for receiving a first reaction gas from a supply source of the first reaction gas;
gas supply lines formed on the upper surface of the shower head for receiving other reaction gases from respective supply sources of the other reaction gases;
a plurality of outlets for the first reaction gas formed along an outer edge of a lower surface of the shower head for discharging the first reaction gas;
a plurality of outlets for each of the other reaction gases formed on a central portion of the lower surface of the shower head for discharging the other reaction gases;
a gas passage formed within a body of the shower head for connecting the gas supply line for the first reaction gas to the plurality of outlets for the first reaction gas; and
gas passages formed independently of the gas passage for the first reaction gas within the body of the shower head for connecting the supply lines for the other reaction gases to the plurality of outlets for each of the other reaction gases.
18. The shower head as claimed in claim 17, wherein the plurality of outlets for the first reaction gas are extended further downward toward the substrate than the plurality of outlets for each of the other reaction gases, such that the plurality of outlets for the first reaction gas are closer to the substrate than the plurality of outlets for each of the other reaction gases when the shower head is installed in the upper portion of the reaction chamber.
19. The shower head as claimed in claim 17, wherein a diameter of the edge of the bottom surface of the shower head on which the plurality of outlets for the first reaction gas are formed is greater than or equal to a diameter of the substrate.
20. The shower head as claimed in claim 17, wherein the other reaction gases comprise second and third reaction gases that are each reactive to the first reaction gas, and the gas passages for the second and third reaction gases are formed independently of each other.
US10/213,078 1999-01-18 2002-08-07 Method of delivering gas into reaction chamber and shower head used to deliver gas Abandoned US20030000473A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/213,078 US20030000473A1 (en) 1999-01-18 2002-08-07 Method of delivering gas into reaction chamber and shower head used to deliver gas

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
KR1019990001279A KR100331544B1 (en) 1999-01-18 1999-01-18 Method for introducing gases into a reactor chamber and a shower head used therein
KR99-1279 1999-01-18
US09/323,014 US6398904B1 (en) 1998-06-23 1999-06-01 Wet etching system for manufacturing semiconductor devices
US09/467,313 US6478872B1 (en) 1999-01-18 1999-12-20 Method of delivering gas into reaction chamber and shower head used to deliver gas
US10/213,078 US20030000473A1 (en) 1999-01-18 2002-08-07 Method of delivering gas into reaction chamber and shower head used to deliver gas

Related Parent Applications (2)

Application Number Title Priority Date Filing Date
US09/323,014 Division US6398904B1 (en) 1998-06-23 1999-06-01 Wet etching system for manufacturing semiconductor devices
US09/467,313 Division US6478872B1 (en) 1999-01-18 1999-12-20 Method of delivering gas into reaction chamber and shower head used to deliver gas

Publications (1)

Publication Number Publication Date
US20030000473A1 true US20030000473A1 (en) 2003-01-02

Family

ID=19571596

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/467,313 Expired - Lifetime US6478872B1 (en) 1999-01-18 1999-12-20 Method of delivering gas into reaction chamber and shower head used to deliver gas
US10/213,078 Abandoned US20030000473A1 (en) 1999-01-18 2002-08-07 Method of delivering gas into reaction chamber and shower head used to deliver gas

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/467,313 Expired - Lifetime US6478872B1 (en) 1999-01-18 1999-12-20 Method of delivering gas into reaction chamber and shower head used to deliver gas

Country Status (3)

Country Link
US (2) US6478872B1 (en)
JP (1) JP2000212752A (en)
KR (1) KR100331544B1 (en)

Cited By (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020166507A1 (en) * 1999-03-12 2002-11-14 Tokyo Electron Limited Thin film forming apparatus
US20040049908A1 (en) * 2002-01-15 2004-03-18 Quallion Llc Electric storage battery construction and method of manufacture
US20040123806A1 (en) * 2002-12-17 2004-07-01 Anam Semiconductor Inc. Chemical vapor deposition apparatus and method
US20040149211A1 (en) * 2002-07-18 2004-08-05 Jae-Young Ahn Systems including heated shower heads for thin film deposition and related methods
US20040173150A1 (en) * 2003-03-03 2004-09-09 Derderian Garo J. Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
US6800139B1 (en) * 1999-08-31 2004-10-05 Tokyo Electron Limited Film deposition apparatus and method
US20040226507A1 (en) * 2003-04-24 2004-11-18 Carpenter Craig M. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US20050022739A1 (en) * 2002-07-08 2005-02-03 Carpenter Craig M. Apparatus and method for depositing materials onto microelectronic workpieces
US20050061243A1 (en) * 2003-09-18 2005-03-24 Demetrius Sarigiannis Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US20050081786A1 (en) * 2003-10-15 2005-04-21 Kubista David J. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US20050087130A1 (en) * 2003-10-09 2005-04-28 Derderian Garo J. Apparatus and methods for plasma vapor deposition processes
US20050087302A1 (en) * 2003-10-10 2005-04-28 Mardian Allen P. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US20050092248A1 (en) * 2003-10-31 2005-05-05 Sysnex Co., Ltd. Chemical vapor deposition unit
US20050126489A1 (en) * 2003-12-10 2005-06-16 Beaman Kevin L. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US20050164466A1 (en) * 2004-01-28 2005-07-28 Zheng Lingyi A. Methods for forming small-scale capacitor structures
US20050249887A1 (en) * 2004-05-06 2005-11-10 Dando Ross S Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US20050268856A1 (en) * 2004-06-02 2005-12-08 Miller Matthew W Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20060115957A1 (en) * 2003-09-17 2006-06-01 Cem Basceri Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US20060198955A1 (en) * 2003-08-21 2006-09-07 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US20060205187A1 (en) * 2003-08-28 2006-09-14 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
US20060258174A1 (en) * 2003-08-15 2006-11-16 Hitachi Kokusai Electric Inc. Substrate treatment apparatus and method of manufacturing semiconductor device
US20090260763A1 (en) * 2008-04-22 2009-10-22 Micron Technology, Inc. Plasma processing with preionized and predissociated tuning gases and associated systems and methods
US7648578B1 (en) 2004-06-15 2010-01-19 Hitachi Kokusai Electric Inc. Substrate processing apparatus, and method for manufacturing semiconductor device
WO2010048165A2 (en) * 2008-10-24 2010-04-29 Applied Materials Inc. Multiple gas feed apparatus and method
US20110114020A1 (en) * 2001-07-16 2011-05-19 Gwo-Chuan Tzu Lid assembly for a processing system to facilitate sequential deposition techniques
US20130045331A1 (en) * 2011-08-17 2013-02-21 Asm Genitech Korea Ltd. Lateral flow atomic layer deposition apparatus and atomic layer deposition method using the same
US20130126486A1 (en) * 2011-11-22 2013-05-23 Ryan Bise Multi Zone Gas Injection Upper Electrode System
US9012294B2 (en) 2010-07-27 2015-04-21 Panasonic Intellectual Property Management Co., Ltd. Manufacturing method of non-volatile memory device
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US20150284847A1 (en) * 2014-04-08 2015-10-08 Samsung Electronics Co., Ltd. Method of Forming an Epitaxial Layer and Apparatus for Processing a Substrate Used for the Method
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
CN106032571A (en) * 2015-01-09 2016-10-19 株式会社日立国际电气 Substrate Processing Apparatus, Gas Dispersion Unit, Method of Manufacturing Semiconductor Device and program
US9508530B2 (en) 2011-11-21 2016-11-29 Lam Research Corporation Plasma processing chamber with flexible symmetric RF return strap
CN107502872A (en) * 2017-08-24 2017-12-22 新乡市巨能合成材料有限公司 A kind of metal organic chemical vapor deposition reactor spray equipment
US20180096819A1 (en) * 2016-10-04 2018-04-05 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10287684B2 (en) * 2014-07-08 2019-05-14 Kokusai Electric Corporation Substrate processing apparatus
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
TWI728233B (en) * 2017-03-10 2021-05-21 日商東京威力科創股份有限公司 Film forming device
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11594400B2 (en) * 2011-11-23 2023-02-28 Lam Research Corporation Multi zone gas injection upper electrode system
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
WO2023044004A1 (en) * 2021-09-17 2023-03-23 Cem Corporation Solid phase peptide synthesis (spps) processes and associated systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
WO2023177950A1 (en) * 2022-03-17 2023-09-21 Lam Research Corporation Dual plenum showerhead with center to edge tunability
WO2023191875A1 (en) * 2022-03-30 2023-10-05 Microsoft Technology Licensing, Llc. Targeted temporal ald
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports

Families Citing this family (498)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
AU2002221122A1 (en) * 2000-12-12 2002-06-24 Tokyo Electron Limited Thin film forming method and thin film forming device
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
KR100423954B1 (en) * 2001-03-19 2004-03-24 디지웨이브 테크놀러지스 주식회사 Chemical Vapor Deposition Method
KR100408519B1 (en) * 2001-05-03 2003-12-06 삼성전자주식회사 Reaction chamber for atomic layer deposition
US6596643B2 (en) 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US6849545B2 (en) 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US20030198754A1 (en) * 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
KR100427996B1 (en) * 2001-07-19 2004-04-28 주식회사 아이피에스 Apparatus and method for depositing thin film on wafer
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
KR100402332B1 (en) * 2001-09-07 2003-10-22 주식회사 시스넥스 Vertical chemical vapor deposition of heating suscpetor and shower head jet
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7204886B2 (en) 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
KR100760291B1 (en) * 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 Method for forming thin film
KR100450068B1 (en) * 2001-11-23 2004-09-24 주성엔지니어링(주) Multi-sectored flat board type showerhead used in CVD apparatus
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
WO2003065424A2 (en) 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
JP4338355B2 (en) * 2002-05-10 2009-10-07 東京エレクトロン株式会社 Plasma processing equipment
JP4151308B2 (en) * 2002-05-17 2008-09-17 東京エレクトロン株式会社 Gas introduction method for processing equipment
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US6896730B2 (en) * 2002-06-05 2005-05-24 Micron Technology, Inc. Atomic layer deposition apparatus and methods
US6838125B2 (en) 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7066194B2 (en) 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
KR100464855B1 (en) * 2002-07-26 2005-01-06 삼성전자주식회사 method for forming a thin film, and method for forming a capacitor and a transistor of a semiconductor device using the same
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
JP4113755B2 (en) * 2002-10-03 2008-07-09 東京エレクトロン株式会社 Processing equipment
US20040069227A1 (en) 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
KR100707819B1 (en) * 2002-11-11 2007-04-13 가부시키가이샤 히다치 고쿠사이 덴키 Substrate processing device
KR100463633B1 (en) * 2002-11-12 2004-12-29 주식회사 아이피에스 Method for depositing thin film on wafer using Hafnium compound
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US20040118519A1 (en) * 2002-12-20 2004-06-24 Applied Materials, Inc. Blocker plate bypass design to improve clean rate at the edge of the chamber
WO2004064147A2 (en) 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US6994319B2 (en) * 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
KR100520900B1 (en) * 2003-03-13 2005-10-12 주식회사 아이피에스 Method for depositing a ALD thin film on wafer
US7342984B1 (en) 2003-04-03 2008-03-11 Zilog, Inc. Counting clock cycles over the duration of a first character and using a remainder value to determine when to sample a bit of a second character
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7537662B2 (en) * 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US7211508B2 (en) 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
KR100626366B1 (en) * 2003-07-18 2006-09-20 삼성전자주식회사 Vapor Deposition System
KR100527048B1 (en) * 2003-08-29 2005-11-09 주식회사 아이피에스 Method for depositing thin film on wafer
US20050067103A1 (en) 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7572337B2 (en) * 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US7622005B2 (en) * 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
KR20070048177A (en) * 2004-06-28 2007-05-08 캠브리지 나노테크 인크. Vapor deposition systems and methods
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7351285B2 (en) * 2005-03-29 2008-04-01 Tokyo Electron Limited Method and system for forming a variable thickness seed layer
KR100731164B1 (en) * 2005-05-19 2007-06-20 주식회사 피에조닉스 Apparatus of chemical vapor deposition with a shower head and method therof
JP4794942B2 (en) * 2005-08-03 2011-10-19 古河機械金属株式会社 Atomic layer deposition equipment
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7464917B2 (en) 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
US20070119370A1 (en) 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US7658802B2 (en) * 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
JP4890012B2 (en) * 2005-12-01 2012-03-07 株式会社フジクラ Plasma CVD equipment
US20070264427A1 (en) * 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
JP2007191792A (en) * 2006-01-19 2007-08-02 Atto Co Ltd Gas separation type showerhead
US20070234956A1 (en) * 2006-04-05 2007-10-11 Dalton Jeremie J Method and apparatus for providing uniform gas delivery to a reactor
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
KR100849929B1 (en) 2006-09-16 2008-08-26 주식회사 피에조닉스 Apparatus of chemical vapor deposition with a showerhead regulating the injection velocity of reactive gases positively and a method thereof
US7605078B2 (en) * 2006-09-29 2009-10-20 Tokyo Electron Limited Integration of a variable thickness copper seed layer in copper metallization
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US8158526B2 (en) 2006-10-30 2012-04-17 Applied Materials, Inc. Endpoint detection for photomask etching
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
KR101355638B1 (en) * 2006-11-09 2014-01-29 한국에이에스엠지니텍 주식회사 Atomic Layer Deposition Apparatus
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
US20080206987A1 (en) 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
JP4981485B2 (en) * 2007-03-05 2012-07-18 株式会社ニューフレアテクノロジー Vapor phase growth method and vapor phase growth apparatus
JP5034594B2 (en) * 2007-03-27 2012-09-26 東京エレクトロン株式会社 Film forming apparatus, film forming method, and storage medium
JP5444599B2 (en) * 2007-09-28 2014-03-19 東京エレクトロン株式会社 Gas supply apparatus and film forming apparatus
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US7767572B2 (en) * 2008-02-21 2010-08-03 Applied Materials, Inc. Methods of forming a barrier layer in an interconnect structure
US20090211707A1 (en) * 2008-02-22 2009-08-27 Hermes Systems Inc. Apparatus for gas distribution and its applications
US7618893B2 (en) * 2008-03-04 2009-11-17 Applied Materials, Inc. Methods of forming a layer for barrier applications in an interconnect structure
JP5108565B2 (en) * 2008-03-07 2012-12-26 株式会社リコー Droplet discharge head, method for manufacturing the same, and image recording apparatus including the droplet discharge head
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
TWI437622B (en) * 2008-11-26 2014-05-11 Ind Tech Res Inst Gas shower module
EP2356672B1 (en) * 2008-12-04 2018-09-12 Veeco Instruments Inc. Chemical vapor deposition flow inlet elements
US20100151676A1 (en) * 2008-12-16 2010-06-17 Applied Materials, Inc. Densification process for titanium nitride layer for submicron applications
US9394608B2 (en) * 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR20110004081A (en) * 2009-07-07 2011-01-13 삼성모바일디스플레이주식회사 Canister for deposition apparatus, deposition apparatus using the same and method of depositing
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
EP2471973A1 (en) * 2009-08-28 2012-07-04 Kyocera Corporation Apparatus for forming deposited film and method for forming deposited film
TWI385272B (en) * 2009-09-25 2013-02-11 Ind Tech Res Inst Gas distribution plate and apparatus using the same
TWI369251B (en) * 2010-02-01 2012-08-01 Ind Tech Res Inst Gas distribution module and gas distribution scanning apparatus using the same
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
EP2659026B1 (en) * 2010-12-30 2015-06-17 Veeco Instruments Inc. Wafer processing with carrier extension
KR101956347B1 (en) 2011-03-04 2019-03-08 어플라이드 머티어리얼스, 인코포레이티드 Methods for contact clean
US8912096B2 (en) 2011-04-28 2014-12-16 Applied Materials, Inc. Methods for precleaning a substrate prior to metal silicide fabrication process
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9218961B2 (en) 2011-09-19 2015-12-22 Applied Materials, Inc. Methods of forming a metal containing layer on a substrate with high uniformity and good profile control
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
JP6038618B2 (en) * 2011-12-15 2016-12-07 株式会社ニューフレアテクノロジー Film forming apparatus and film forming method
US8927423B2 (en) 2011-12-16 2015-01-06 Applied Materials, Inc. Methods for annealing a contact metal layer to form a metal silicidation layer
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US8586479B2 (en) 2012-01-23 2013-11-19 Applied Materials, Inc. Methods for forming a contact metal layer in semiconductor devices
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
KR101434217B1 (en) * 2012-03-19 2014-08-29 (주)레벨컴퍼니 Contest system and method using communication network
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9388494B2 (en) * 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
KR101420333B1 (en) * 2012-11-19 2014-07-16 삼성디스플레이 주식회사 Vapor deposition apparatus, method for forming thin film using the same and method for manufacturing organic light emitting display apparatus
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9123510B2 (en) * 2013-06-12 2015-09-01 ASM IP Holding, B.V. Method for controlling in-plane uniformity of substrate processed by plasma-assisted process
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9543163B2 (en) 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
JP2015069987A (en) * 2013-09-26 2015-04-13 株式会社日立国際電気 Substrate processing device, method of manufacturing semiconductor device, and substrate processing method
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
KR102271202B1 (en) 2013-09-27 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 Method of enabling seamless cobalt gap-fill
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR102376429B1 (en) 2013-12-18 2022-03-17 램 리써치 코포레이션 Seminconductor substrate processing apparatus including uniformity baffles
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9508561B2 (en) 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9528185B2 (en) 2014-08-22 2016-12-27 Applied Materials, Inc. Plasma uniformity control by arrays of unit cell plasmas
US20170252756A1 (en) * 2014-09-17 2017-09-07 Tokyo Electron Limited Shower head and film forming apparatus
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) * 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
JP5968996B2 (en) * 2014-12-18 2016-08-10 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and program
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR102218855B1 (en) * 2017-07-12 2021-02-23 주식회사 엘지화학 Apparatus and method for coating surface of porous substrate
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004722B2 (en) 2017-07-20 2021-05-11 Applied Materials, Inc. Lift pin assembly
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
KR102405723B1 (en) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 High pressure and high temperature annealing chamber
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10720341B2 (en) 2017-11-11 2020-07-21 Micromaterials, LLC Gas delivery system for high pressure processing chamber
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR20200075892A (en) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 Condenser system for high pressure treatment systems
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (en) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. Equipment with a clean mini-environment
KR102597978B1 (en) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. Storage device for storing wafer cassettes for use with batch furnaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
KR20200108016A (en) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. Method of depositing a gap fill layer by plasma assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102516778B1 (en) * 2018-02-08 2023-04-03 주성엔지니어링(주) Apparatus and method for cleaning chamber
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (en) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102536820B1 (en) 2018-03-09 2023-05-24 어플라이드 머티어리얼스, 인코포레이티드 High pressure annealing process for metal containing materials
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TW202344708A (en) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
KR20190129718A (en) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11535936B2 (en) * 2018-07-23 2022-12-27 Lam Research Corporation Dual gas feed showerhead for deposition
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
TW202140831A (en) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride–containing layer and structure comprising the same
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20240031783A (en) 2022-09-01 2024-03-08 주식회사 테스 Method of dry etching

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5160543A (en) * 1985-12-20 1992-11-03 Canon Kabushiki Kaisha Device for forming a deposited film
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5500256A (en) * 1994-08-16 1996-03-19 Fujitsu Limited Dry process apparatus using plural kinds of gas
US5532190A (en) * 1994-05-26 1996-07-02 U.S. Philips Corporation Plasma treatment method in electronic device manufacture
US5744049A (en) * 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
US6017395A (en) * 1996-03-13 2000-01-25 Nec Corporation Gas pressure regulation in vapor deposition
US6059885A (en) * 1996-12-19 2000-05-09 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus and method for forming thin film
US6132512A (en) * 1997-01-08 2000-10-17 Ebara Corporation Vapor-phase film growth apparatus and gas ejection head

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61120416A (en) * 1984-11-16 1986-06-07 Fujitsu Ltd Chemical vapor deposition equipment
US4990374A (en) * 1989-11-28 1991-02-05 Cvd Incorporated Selective area chemical vapor deposition
US5316793A (en) * 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
JP3360098B2 (en) 1995-04-20 2002-12-24 東京エレクトロン株式会社 Shower head structure of processing equipment
KR100190909B1 (en) * 1995-07-01 1999-06-01 윤덕용 Shower head for cvd reactor
US5772771A (en) * 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5684309A (en) * 1996-07-11 1997-11-04 North Carolina State University Stacked quantum well aluminum indium gallium nitride light emitting diodes
US5781693A (en) * 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
JPH10135315A (en) 1996-10-29 1998-05-22 Tokyo Electron Ltd Sample holder temp. controller and testing apparatus
KR19990020125A (en) 1997-08-30 1999-03-25 이형도 Wafer chucking device for magnetoresistive head manufacturing
US5972430A (en) * 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
KR100263889B1 (en) 1997-12-30 2000-08-16 윤종용 A cooling apparatus for substrate of optical disk
KR100978372B1 (en) 2009-06-19 2010-08-30 (주)위드솔루션 Home security system using power line communication

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5160543A (en) * 1985-12-20 1992-11-03 Canon Kabushiki Kaisha Device for forming a deposited film
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5532190A (en) * 1994-05-26 1996-07-02 U.S. Philips Corporation Plasma treatment method in electronic device manufacture
US5744049A (en) * 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
US5500256A (en) * 1994-08-16 1996-03-19 Fujitsu Limited Dry process apparatus using plural kinds of gas
US6017395A (en) * 1996-03-13 2000-01-25 Nec Corporation Gas pressure regulation in vapor deposition
US6059885A (en) * 1996-12-19 2000-05-09 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus and method for forming thin film
US6132512A (en) * 1997-01-08 2000-10-17 Ebara Corporation Vapor-phase film growth apparatus and gas ejection head

Cited By (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6866882B1 (en) 1999-03-12 2005-03-15 Tokyo Electron Limited Method of forming a thin film
US20020166507A1 (en) * 1999-03-12 2002-11-14 Tokyo Electron Limited Thin film forming apparatus
US6800139B1 (en) * 1999-08-31 2004-10-05 Tokyo Electron Limited Film deposition apparatus and method
US10280509B2 (en) 2001-07-16 2019-05-07 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20110114020A1 (en) * 2001-07-16 2011-05-19 Gwo-Chuan Tzu Lid assembly for a processing system to facilitate sequential deposition techniques
US20040049908A1 (en) * 2002-01-15 2004-03-18 Quallion Llc Electric storage battery construction and method of manufacture
US20050022739A1 (en) * 2002-07-08 2005-02-03 Carpenter Craig M. Apparatus and method for depositing materials onto microelectronic workpieces
US20040149211A1 (en) * 2002-07-18 2004-08-05 Jae-Young Ahn Systems including heated shower heads for thin film deposition and related methods
US7347900B2 (en) * 2002-12-17 2008-03-25 Dongbu Electronics Co., Ltd. Chemical vapor deposition apparatus and method
US20040123806A1 (en) * 2002-12-17 2004-07-01 Anam Semiconductor Inc. Chemical vapor deposition apparatus and method
US20040173150A1 (en) * 2003-03-03 2004-09-09 Derderian Garo J. Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
US6818249B2 (en) 2003-03-03 2004-11-16 Micron Technology, Inc. Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
US20050045100A1 (en) * 2003-03-03 2005-03-03 Derderian Garo J. Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
US20040226507A1 (en) * 2003-04-24 2004-11-18 Carpenter Craig M. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US20090186467A1 (en) * 2003-08-15 2009-07-23 Masanori Sakai Substrate Processing Apparatus and Producing Method of Semiconductor Device
US8598047B2 (en) 2003-08-15 2013-12-03 Hitachi Kokusai Electric Inc. Substrate processing apparatus and producing method of semiconductor device
US20060258174A1 (en) * 2003-08-15 2006-11-16 Hitachi Kokusai Electric Inc. Substrate treatment apparatus and method of manufacturing semiconductor device
US20060198955A1 (en) * 2003-08-21 2006-09-07 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US20060205187A1 (en) * 2003-08-28 2006-09-14 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US20060115957A1 (en) * 2003-09-17 2006-06-01 Cem Basceri Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US20050061243A1 (en) * 2003-09-18 2005-03-24 Demetrius Sarigiannis Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US20050087130A1 (en) * 2003-10-09 2005-04-28 Derderian Garo J. Apparatus and methods for plasma vapor deposition processes
US20050087302A1 (en) * 2003-10-10 2005-04-28 Mardian Allen P. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US20050081786A1 (en) * 2003-10-15 2005-04-21 Kubista David J. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US20050092248A1 (en) * 2003-10-31 2005-05-05 Sysnex Co., Ltd. Chemical vapor deposition unit
US20060204649A1 (en) * 2003-12-10 2006-09-14 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, E.G. CVD deposition
US8518184B2 (en) 2003-12-10 2013-08-27 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, E.G., CVD deposition
US20050126489A1 (en) * 2003-12-10 2005-06-16 Beaman Kevin L. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7771537B2 (en) 2003-12-10 2010-08-10 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, E.G. CVD deposition
US8384192B2 (en) 2004-01-28 2013-02-26 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US20050164466A1 (en) * 2004-01-28 2005-07-28 Zheng Lingyi A. Methods for forming small-scale capacitor structures
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US9023436B2 (en) 2004-05-06 2015-05-05 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050249887A1 (en) * 2004-05-06 2005-11-10 Dando Ross S Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20050268856A1 (en) * 2004-06-02 2005-12-08 Miller Matthew W Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7648578B1 (en) 2004-06-15 2010-01-19 Hitachi Kokusai Electric Inc. Substrate processing apparatus, and method for manufacturing semiconductor device
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
US9090460B2 (en) 2008-04-22 2015-07-28 Micron Technology, Inc. Plasma processing with preionized and predissociated tuning gases and associated systems and methods
US8721836B2 (en) * 2008-04-22 2014-05-13 Micron Technology, Inc. Plasma processing with preionized and predissociated tuning gases and associated systems and methods
US20090260763A1 (en) * 2008-04-22 2009-10-22 Micron Technology, Inc. Plasma processing with preionized and predissociated tuning gases and associated systems and methods
WO2010048165A3 (en) * 2008-10-24 2010-08-12 Applied Materials Inc. Multiple gas feed apparatus and method
US20100104754A1 (en) * 2008-10-24 2010-04-29 Applied Materials, Inc. Multiple gas feed apparatus and method
WO2010048165A2 (en) * 2008-10-24 2010-04-29 Applied Materials Inc. Multiple gas feed apparatus and method
US9012294B2 (en) 2010-07-27 2015-04-21 Panasonic Intellectual Property Management Co., Ltd. Manufacturing method of non-volatile memory device
US9062375B2 (en) * 2011-08-17 2015-06-23 Asm Genitech Korea Ltd. Lateral flow atomic layer deposition apparatus and atomic layer deposition method using the same
US20130045331A1 (en) * 2011-08-17 2013-02-21 Asm Genitech Korea Ltd. Lateral flow atomic layer deposition apparatus and atomic layer deposition method using the same
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US9508530B2 (en) 2011-11-21 2016-11-29 Lam Research Corporation Plasma processing chamber with flexible symmetric RF return strap
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US11127571B2 (en) 2011-11-22 2021-09-21 Lam Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US20130126486A1 (en) * 2011-11-22 2013-05-23 Ryan Bise Multi Zone Gas Injection Upper Electrode System
US10622195B2 (en) * 2011-11-22 2020-04-14 Lam Research Corporation Multi zone gas injection upper electrode system
US11594400B2 (en) * 2011-11-23 2023-02-28 Lam Research Corporation Multi zone gas injection upper electrode system
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US20150284847A1 (en) * 2014-04-08 2015-10-08 Samsung Electronics Co., Ltd. Method of Forming an Epitaxial Layer and Apparatus for Processing a Substrate Used for the Method
US10287684B2 (en) * 2014-07-08 2019-05-14 Kokusai Electric Corporation Substrate processing apparatus
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
CN106032571A (en) * 2015-01-09 2016-10-19 株式会社日立国际电气 Substrate Processing Apparatus, Gas Dispersion Unit, Method of Manufacturing Semiconductor Device and program
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US20180096819A1 (en) * 2016-10-04 2018-04-05 Applied Materials, Inc. Dual-channel showerhead with improved profile
US11049698B2 (en) * 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
TWI728233B (en) * 2017-03-10 2021-05-21 日商東京威力科創股份有限公司 Film forming device
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
CN107502872A (en) * 2017-08-24 2017-12-22 新乡市巨能合成材料有限公司 A kind of metal organic chemical vapor deposition reactor spray equipment
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2023044004A1 (en) * 2021-09-17 2023-03-23 Cem Corporation Solid phase peptide synthesis (spps) processes and associated systems
WO2023177950A1 (en) * 2022-03-17 2023-09-21 Lam Research Corporation Dual plenum showerhead with center to edge tunability
WO2023191875A1 (en) * 2022-03-30 2023-10-05 Microsoft Technology Licensing, Llc. Targeted temporal ald

Also Published As

Publication number Publication date
KR100331544B1 (en) 2002-04-06
US6478872B1 (en) 2002-11-12
JP2000212752A (en) 2000-08-02
KR20000051046A (en) 2000-08-16

Similar Documents

Publication Publication Date Title
US6478872B1 (en) Method of delivering gas into reaction chamber and shower head used to deliver gas
US6573184B2 (en) Apparatus and method for depositing thin film on wafer using atomic layer deposition
US10364509B2 (en) Alkyl push flow for vertical flow rotating disk reactors
US6796316B2 (en) Atomic layer deposition (ALD) thin film deposition equipment having cleaning apparatus and cleaning method
US6197683B1 (en) Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US9583385B2 (en) Method for producing ultra-thin tungsten layers with improved step coverage
US6503330B1 (en) Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US9359673B2 (en) Apparatus and method for atomic layer deposition
US7732350B2 (en) Chemical vapor deposition of TiN films in a batch reactor
US20030017268A1 (en) .method of cvd titanium nitride film deposition for increased titanium nitride film uniformity
US20070151514A1 (en) Apparatus and method for hybrid chemical processing
US11830731B2 (en) Semiconductor deposition reactor manifolds
US7771535B2 (en) Semiconductor manufacturing apparatus
KR20060020194A (en) Ald thin film deposition apparatus and method for depositing thin film
KR101554334B1 (en) Shower-head assembly and thin film deposition apparatus and method having the same
KR20070082245A (en) Method of depositing ru film using peald and dense ru film
US8039054B2 (en) Layer deposition methods
US20040216670A1 (en) Process for the ALD coating of substrates and apparatus suitable for carrying out the process
KR100972111B1 (en) Batch type semiconductor manufacturing apparatus

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION