US20030003758A1 - Method of manufacturing semiconductor devices and semiconductor manufacturing apparatus - Google Patents

Method of manufacturing semiconductor devices and semiconductor manufacturing apparatus Download PDF

Info

Publication number
US20030003758A1
US20030003758A1 US10/166,303 US16630302A US2003003758A1 US 20030003758 A1 US20030003758 A1 US 20030003758A1 US 16630302 A US16630302 A US 16630302A US 2003003758 A1 US2003003758 A1 US 2003003758A1
Authority
US
United States
Prior art keywords
process chamber
semiconductor substrate
cathode
radio
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US10/166,303
Other versions
US8202394B2 (en
Inventor
Tsuyoshi Moriya
Natsuko Ito
Fumihiko Uesugi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
NEC Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Electronics Corp filed Critical NEC Electronics Corp
Assigned to NEC CORPORATION reassignment NEC CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ITO, NATSUKO, MORIYA, TSUYOSHI, UESUGI, FUMIHIKO
Publication of US20030003758A1 publication Critical patent/US20030003758A1/en
Assigned to NEC ELECTRONICS CORPORATION reassignment NEC ELECTRONICS CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: NEC CORPORATION
Assigned to RENESAS ELECTRONICS CORPORATION reassignment RENESAS ELECTRONICS CORPORATION CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: NEC ELECTRONICS CORPORATION
Application granted granted Critical
Publication of US8202394B2 publication Critical patent/US8202394B2/en
Expired - Fee Related legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Definitions

  • the present invention relates to a method of manufacturing semiconductor devices and a semiconductor manufacturing apparatus. More particularly, the invention relates to a manufacturing method of semiconductor devices and a semiconductor manufacturing apparatus which reduce the effect of particles (foreign matter particles) produced upon processing when manufacturing semiconductor devices by applying a plurality of steps of processing by means of plasma.
  • the semiconductor substrate is transferred into a process chamber of a plasma etcher in such a state that a necessary area of the thin film is masked by a resist film for conducting plasma etching.
  • a lower electrode which mounts the semiconductor substrate and an upper electrode arranged oppositely thereto are provided in the process chamber, and an etching gas is introduced into the process chamber.
  • the etching gas is converted into plasma through generation of discharge in the process chamber by impressing a radio-frequency voltage onto the lower electrode to etch the portion not masked by a resist.
  • impression of radio-frequency power having a power P 1 is started at time t 1 .
  • impression of radio-frequency power is discontinued at time t 2 .
  • the extent of generation of plasma is governed substantially by the radio-frequency power.
  • other conditions such as the amount of introduced plasma generating gas, the inner pressure in the process chamber and the like may also be taken into account.
  • a plurality of processes are carried out through a plurality of successive steps of conducting a process A by impressing a radio-frequency power of a power P 1 onto the lower electrode during the period from time t 1 to time t 2 ; conducting a process B by impressing a radio-frequency power of a power P 3 during the period from time t 3 to time t 4 ; conducting a process C by impressing a radio-frequency power of a power P 2 during the period from time t 5 to time t 6 ; and conducting a process D by impressing a radio-frequency power of a power P 4 during the period from time t 7 to time t 8 .
  • the radio-frequency power is repeatedly turned on and off for each of the steps. This results in stoppage of discharging each time. Because particles stripped off from the component parts of the process chamber are positively charged, the particles are confined in a sheath near the upper electrode or near the process chamber during plasma discharge. Upon stoppage of discharge and disappearance of plasma, the particles are attracted by the substrate having a negative self-bias potential, and adhere thereto. The particles dropping on the substrate during an interval between two steps may form defects through the next step.
  • a first aspect of the present invention provides a manufacturing method of semiconductor devices, comprising the steps of using a plasma generator having a cathode which mounts a semiconductor substrate to be processed and an anode arranged oppositely to said cathode in a process chamber, introducing a process gas into said process chamber, and generating plasma in the process chamber by impressing radio-frequency power onto said cathode, thereby applying a desired processing to the semiconductor substrate; wherein, when applying a plurality of processing steps to the semiconductor substrate while holding the semiconductor substrate, switching over the level of radio-frequency power for each step in response to the processing in each step to carry out the plurality of runs of processing in a plurality of steps in succession.
  • the kind of the process gas is preferably switched aver for each run of the plurality of processing steps.
  • fluoride is not used as the process gas.
  • the process gas is selected from the group consisting of helium, neon, argon, krypton, radon, oxygen and nitrogen.
  • an auxiliary step having an intermediate value of power level between power levels of two adjacent steps may be inserted between these two adjacent steps from among the plurality of steps.
  • an auxiliary step of which the power level slowly changes between power levels of two adjacent steps may be inserted between these two adjacent steps from among the plurality of steps.
  • an auxiliary step of which the power level slowly increases between 0 and the power level of the first step may be placed before the first step of the plurality of steps.
  • an auxiliary step of which the power level increases over the power level of the final step may be placed after the final step of the plurality of steps.
  • a second aspect of the invention provides a semiconductor manufacturing apparatus, having a configuration in which a cathode mounting a semiconductor substrate to be processed and an anode electrode opposite thereto are arranged in a process chamber, and the semiconductor substrate is subjected to a desired processing by introducing a process gas into the process chamber, and generating plasma in the process chamber through impression of a radio-frequency power onto the cathode, further comprising radio-frequency power control means which impresses a radio-frequency power onto the cathode by switching over the level of radio-frequency power for each run of processing in response to each of a plurality of runs of processing while holding the semiconductor substrate in the process chamber.
  • Potential difference imparting means may be provided between the process chamber and a bulk plasma formed in the process chamber, the potential difference imparting means imparting a potential difference to such an extent as to prevent the particles present in the process chamber from adhering to the semiconductor substrate.
  • the potential difference imparting means comprises a negative power supply which gives a negative potential to the process chamber.
  • a third aspect of the invention provides a semiconductor manufacturing apparatus having a configuration in which a cathode mounting a semiconductor substrate to be processed and an anode opposite thereto are arranged in a process chamber, and the semiconductor substrate is subjected to a desired processing by introducing a process gas into the process chamber, and generating plasma in the process chamber through impression of a radio-frequency power onto the cathode, wherein at least some of the component part used in the semiconductor manufacturing apparatus are made of a material having a dielectric constant close to that of reaction products accumulated in the process chamber.
  • a fourth aspect of the invention provides a semiconductor manufacturing apparatus having a configuration in which a cathode mounting a semiconductor substrate to be processed and an anode opposite thereto are arranged in a process chamber, and the semiconductor substrate is subjected to a desired processing by introducing a process gas into the process chamber, and generating plasma in the process chamber through impression of a radio-frequency power onto the cathode, wherein at least some of the component parts used in the semiconductor manufacturing apparatus are made of a material having an elastic modulus close to that of reaction products accumulated in the process chamber.
  • a fifth aspect of the invention provides a semiconductor manufacturing apparatus having a configuration in which a cathode mounting a semiconductor substrate to be processed and an anode opposite thereto are arranged in a process chamber, and the semiconductor substrate is subjected to a desired processing by introducing a process gas into the process chamber, and generating plasma in the process chamber through impression of a radio-frequency power onto the cathode, wherein at least some of the component parts used in the semiconductor manufacturing apparatus are made of a material having a dielectric constant and an elastic modulus close to those of reaction products accumulated in the process chamber.
  • the present invention has obtained the following result of observation by observing production of particles in a process chamber of a plasma etcher, a kind of semiconductor manufacturing apparatus and the behavior of the thus produced particles.
  • the particle monitoring system 30 has a configuration, in which the behavior of particles is observed by the laser beam scattering method by irradiating a laser beam to a process chamber 28 of a plasma etcher (semiconductor manufacturing apparatus) 27 having the process chamber 28 for applying an etching treatment to the semiconductor substrate, and a transfer chamber 29 for transferring the semiconductor substrate into the process chamber 28 .
  • a plasma etcher semiconductor manufacturing apparatus
  • the particle monitoring system 30 comprises a laser source 31 , an optical system 32 which irradiates a laser beam from the laser source 31 to the process chamber 28 , a CCD camera 33 which picks up an image of the interior of the process chamber 28 , a semiconductor manufacturing apparatus (a plasma etcher in this example) control panel 34 which sets various observing conditions, a signal processor 35 which processes various signals from the semiconductor manufacturing apparatus 34 , and a computer 36 which controls the entire system.
  • a laser source 31 a laser source 31
  • an optical system 32 which irradiates a laser beam from the laser source 31 to the process chamber 28
  • a CCD camera 33 which picks up an image of the interior of the process chamber 28
  • a semiconductor manufacturing apparatus a plasma etcher in this example
  • a signal processor 35 which processes various signals from the semiconductor manufacturing apparatus 34
  • a computer 36 which controls the entire system.
  • the number of particles in the process chamber 28 is measured by detecting the laser beam scattered in the process chamber 28 with the CCD camera 33 .
  • the mark ⁇ represent a particle produced in the process chamber upon etching. Many particles are produced at a point in time of impressing a radio-frequency power and immediately after starting (25-40 seconds thereafter). Many particles are produced also immediately after discontinuing impression of radio-frequency current and discontinuing etching (103 to 105 seconds thereafter).
  • FIG. 16 Particles produced as if they jumped up from the periphery of the semiconductor sub-strate (wafer) are observed in FIG. 16. These particles are conjectured to be produced through peeling of a thin film comprising an insulating film or a conductive film accumulating on component parts in the chamber to which stress is applied. As is clear from FIG. 16, the particles produced on the periphery of the semiconductor substrate were confirmed to come flying onto the semiconductor substrate describing a parabola and adhere thereto. This motion of the particles is attributable to the action of electrostatic force. This will now be described.
  • the grounded upper electrode 39 has a potential of 0, and the lower electrode 40 to which the radio-frequency power source 11 has a negative potential.
  • Plasma is generated in a space between the upper electrode 39 and the lower electrode 40 , and this plasma region shows a positive potential.
  • reaction products are accumulated or deposited on the ceramic ring such that a thin film is formed.
  • a force known as Maxell's stress is known to act on a dielectric present in an electrostatic field.
  • Maxell's stress acts thereon under the effect of the dielectric constant or elastic modulus or a difference therebetween of the ceramic ring which is a dielectric.
  • the thin film is broken and peels off, thus generating particles.
  • the thus produced particles are positively charged as a result of collision of positive ions while the particles pass through the cathode sheath near the lower electrode.
  • a negative self-bias potential spontaneously occurs as a result of a difference in mobility between electron and positive ion upon impressing the radio-frequency power.
  • the particles positively charged in the cathode sheath are attracted by the semiconductor substrate having a negative self-bias potential under the effect of electrostatic force. This is considered to cause the motion of particles flying describing a parabola as mentioned above.
  • FIG. 1 illustrates changes with time in radio-frequency power in a case where processing is conducted by use of a plasma etcher in the existing manufacturing method of semiconductor devices
  • FIG. 2 illustrates change with time in radio-frequency power in a case where a plurality of processes through a plurality of successive steps by means of a plasma etcher in the existing manufacturing method of semiconductor devices.
  • FIG. 3 illustrates the configuration of a plasma etcher used in the manufacturing method of semiconductor devices in a first embodiment of the present invention
  • FIG. 4 illustrates changes with time in radio-frequency power in a case where a plurality of processes are carried out through a plurality of successive steps by means of the plasma etcher shown in FIG. 3;
  • FIGS. 5A, 5B, and 5 C illustrate process diagrams showing the manufacturing method of semiconductor devices of the invention in the sequence of steps
  • FIGS. 6A and 6B illustrate process diagrams showing the manufacturing method of semiconductor devices of the invention in the sequence of steps
  • FIG. 7 illustrates changes with time in radio-frequency power in a case where a plurality of processes are carried out through a plurality of successive steps by means of the plasma etcher in the manufacturing method of semiconductor devices, which is a second embodiment of the invention
  • FIG. 8 Illustrates changes with time in radio-frequency power in a case where a plurality of processes are carried out through a plurality of successive steps by means of the plasma etcher in the manufacturing method of semiconductor devices, which is a third embodiment of the invention.
  • FIG. 9 illustrates changes with time in radio-frequency power in a case where a plurality of processes are carried out through a plurality of successive steps by means of the plasma etcher in the manufacturing method of semiconductor devices, which is a fourth embodiment of the invention.
  • FIG. 10 illustrates changes with time in radio-frequency power in a case where a plurality of processes are carried out through a plurality of successive steps by means of the plasma etcher in the manufacturing method of semiconductor devices, which is a fifth embodiment of the invention
  • FIG. 11 illustrates the configuration of a plasma etcher, which is a sixth embodiment of the invention.
  • FIG. 12 schematically illustrates the electrostatic potential distribution in the vertical direction during plasma generation in a general semiconductor manufacturing apparatus using plasma
  • FIG. 13 illustrates the configuration of the plasma etcher, which is a seventh embodiment of the invention.
  • FIG. 14 schematically illustrates the configuration of the particle monitoring system used for observation of particles forming a prerequisite for the invention
  • FIG. 15 illustrates the relationship between various parameters (ordinate) and time (abscissa) in a case where a particle monitoring system shown in FIG. 14 is operated;
  • FIG. 16 illustrates the behavior of particles produced at a point in time immediately after starting etching, as observed by means of the particle monitoring system shown in FIG. 14;
  • FIG. 17 illustrates the behavior of particles produced at a point in time immediately after discontinuing etching, as observed by means of the particle monitoring system shown in FIG. 14.
  • the plasma etcher 10 used for the implementation of the manufacturing method of semiconductor devices of this embodiment comprises an introducing port 1 of a plasma etching gas provided in the upper portion; a process chamber 5 having a gas outlet 2 provided in the lower portion and a gate valve 4 provided on a side for passing the semiconductor substrate 3 which is to be processed; a cathode 7 , provided in the process chamber 5 , for mounting the semiconductor substrate 3 via a stage 6 and an anode arranged oppositely to the cathode 7 ; a shower head 9 for guiding the gas to the cathode 7 ; an electrostatic chucking power source 11 connected to the stage 6 ; a radio-frequency source 12 connected to the cathode 7 ; and radio-frequency power control means 13 for controlling radio-frequency power output from the radio-frequency source 12 to become a desired power.
  • the anode 8 is grounded.
  • a semiconductor substrate 3 having an insulating film 15 comprising a silicon oxide film, a first metal film 16 comprising a titanium (Ti) film, a second metal film 17 comprising a titanium nitride (TiN) film, a third metal film 18 comprising tungsten, and a second insulating film 19 comprising a silicon oxide film, sequentially formed thereon.
  • the first and second metal films 16 and 17 are used for forming a barrier film, and the third metal film 18 serves to form a bear plug.
  • a photoresist film 20 is formed in an area not subjected to processing on the semiconductor substrate 3 .
  • the semiconductor substrate 3 is placed on the stage 6 on the cathode 7 in the process chamber 5 , and the semiconductor substrate 3 is attracted by the electrostatic adsorption source 11 .
  • the radio-frequency power source 12 is controlled by the radio-frequency power control means 13 of the plasma etcher 10 to set a first step 21 A for carrying out a first processing during a period from time t to time t 2 .
  • a radio-frequency power P 1 of from 780 to 820 W, and a time T 1 of from 55 to 65 seconds.
  • an etching gas such as N 2 is introduced through the introducing port 1 into the process chamber 5 .
  • a second insulating film 19 is selectively etched with a photoresist film 20 as a mask, as a first processing in a first step 21 A.
  • the radio-frequency power source 12 is controlled by the radio-frequency power control means 13 of the plasma etcher 10 to set a second step 21 B for carrying out a second processing during a period from time t 2 to time t 3 .
  • a radio-frequency power P 2 of from 580 to 620 W. and a time T 2 of from 18 to 22 seconds are set.
  • an etching gas such as a mixed gas of N 2 and O 2 is introduced through the introducing port 1 into the process chamber 5 .
  • a third metal film 18 is selectively etched with a photoresist film 20 as a common mask, as a second processing in a second step 21 B. Because transfer from the first step 21 A to the second step 21 B is continuously performed, the radio-frequency power never becomes 0 in steps in the middle, the extent of dropping of the particles in the process chamber 5 becomes very slight, as is known from the result of observation described with reference to FIG. 17, Since the change in the radio-frequency power becomes smaller in the interval between the first and second steps 21 A and 21 B, production of particles is also inhibited,
  • the radio-frequency power source 12 is controlled by the radio-frequency power control means 13 of the plasma etcher 10 to set a third step 21 C for carrying out a third processing during a period from time t 3 to time t 4 .
  • a radio-frequency power P 3 of from 640 to 660 W, and a time T 3 of from 28 to 32 seconds are set.
  • an etching gas such as a mixed gas of N 2 and O 2 is introduced through the introducing port 1 into the process chamber 5 .
  • a second metal film 17 is selectively etched with a photoresist film 20 as a common mask, as a third processing in a third step 21 C. Because transfer from the second step 21 B to the third step is 21 C continuously performed, the positively charged particles drop so as to avoid the positive plasma potential, and the extent of dropping of the particles in the process chamber 5 becomes very slight, as is known from the result of observation described above with reference to FIG. 17. Since the change in the radio-frequency power becomes smaller in the interval between the second and third steps 21 B and 21 C, production of particles is also inhibited.
  • the radio-frequency power source 12 is controlled by the radio-frequency power control means 13 of the plasma etcher 10 to set a fourth step 21 D for carrying out a fourth processing during a period of from t 4 to time t 5 .
  • a radio-frequency power P 4 of from 390 to 410 W, and a time T 4 of from 28 to 32 seconds are set.
  • an etching gas such as N 2 is introduced through the introducing port 1 into the process chamber 5 .
  • a first metal film 16 is selectively etched with the photoresist film 20 as a common mask, as a fourth processing in a fourth step 21 D.
  • a middle structure of DRAM wiring is manufactured through steps described above.
  • etching gas containing fluoride (F) such as (CF 4 ) or (SF 6 ) is not desirable since it leads to easier formation of aluminum fluoride particles from a reaction between F and Al and resultant increase of particles present in the process chamber 5 . It is therefore desirable to use an etching gas other than fluoride as is used in each processing in this embodiment.
  • Applicable etching gases, other than nitrogen and oxygen shown above, include helium, neon, argon, krypton, xenon, radon and other inert elements, which bring about substantially the same advantages.
  • the first to fourth processes are carried out through the first to fourth steps 21 A to 21 D in succession by switching over the level of radio-frequency power P 1 to P 4 in response to the individual processes.
  • the plasma potential therefore never becomes 0 as a result of the radio-frequency power not becoming 0 in a step in the middle.
  • the positively charged particles drop so as to avoid the positive plasma potential, so that the extent of dropping of the particles in the process chamber 5 becomes very slight.
  • FIG. 7 illustrates changes with time in radio-frequency power in a case where a plurality of processes are carried out through a plurality of successive steps by means of the plasma etcher in the manufacturing method of semiconductor devices, which is the second embodiment of the invention.
  • the configuration of the manufacturing method of semiconductor devices in this embodiment is largely different from the configuration of the above-mentioned manufacturing method of semiconductor devices of the first embodiment in that an auxiliary step having an intermediate value of power between two adjacent steps is inserted between the two adjacent steps from among the plurality of steps.
  • a first auxiliary step 22 A having an intermediate value of power PS between power P 1 of the first step 21 A and power P 2 of the second step 21 B is inserted between the first step 21 A and the second step 21 B which adjacent to each other.
  • a second auxiliary step 22 B having an intermediate value of power P 6 between power P 2 of the second step 21 B and power P 3 of the third step 21 C is inserted between the second step 21 B and the third step 21 C which are adjacent to each other.
  • a third auxiliary step 22 C having an intermediate value of power P 7 between power P 3 of the third step 21 C and power P 4 of the fourth step 21 D is inserted between the third step 21 C and the fourth step 21 D which are adjacent to each other.
  • a radio-frequency power P 5 of from 680 to 720 W and a time T 5 of from 1 to 5 seconds are set.
  • a radio-frequency power P 6 of from 620 to 630 W and a time T 6 of from 1 to 5 seconds are set.
  • a radio-frequency power P 7 of from 500 to 550 W and a time T 7 of from 1 to 5 seconds are set.
  • the auxiliary steps 22 A to 22 C having an intermediate value of radio-frequency power between the individual adjacent steps are inserted between the adjacent steps. Transfer between the steps can therefore be accomplished very accurately and continuously via the auxiliary steps 22 A to 22 C. This prevents loss of the balance between forces acting on the particles caused by a steep change in plasma potential, and thus, the extent of dropping of the particles in the process chamber 5 becomes smaller than in the first embodiment.
  • FIG. 8 illustrates changes with time in radio-frequency power in a case where a plurality of processes are carried out through a plurality of successive steps by means of the plasma etcher in the manufacturing method of semiconductor devices, which is a third embodiment of the invention.
  • the configuration of the manufacturing method of semiconductor devices of this embodiment is largely different from the above-mentioned configuration of the manufacturing method of semiconductor devices of the first embodiment in that an auxiliary step in which the level of power slowly changes between levels of power of two adjacent steps is inserted between the two adjacent steps from among the plurality of steps.
  • a first auxiliary step 23 A in which the level of power slowly changes between power P 1 of the first step 21 A and power P 2 of the second step 21 B is inserted between the first step 21 A and the second step 21 B which are adjacent to each other.
  • a second auxiliary step 23 B in which the level of power slowly changes between power P 2 of the second step and power P 3 of the third step is inserted between the second step 21 B and the third step 21 C which are adjacent to each other.
  • a third auxiliary step 23 C in which the level of power slowly changes between power P 3 of the third step 21 C and power P 4 of the fourth step 21 D is inserted between the third step 21 C and the fourth step 21 D which are adjacent to each other.
  • times T 8 to T 10 of from 1 to 5 seconds are set.
  • auxiliary steps 23 A to 23 C in which the level of power slowly changes between the radio-frequency power values of the individual steps are inserted between two adjacent steps, respectively. Transfer between the steps can therefore be accomplished very accurately and continuously via the auxiliary step 23 A to 23 C. This prevents loss of the balance between forces acting on the particles caused by a steep change in plasma potential, and thus, the extent of dropping of the particles in the process chamber 5 becomes smaller than in the first embodiment.
  • FIG. 9 illustrates changes with time in radio-frequency power in a case where a plurality of processes are carried out through a plurality of successive steps by means of the plasma etcher in the manufacturing method of semiconductor devices, which is a fourth embodiment of the invention.
  • the configuration of the manufacturing method of semiconductor devices of this embodiment is largely different from that of the manufacturing method of semiconductor devices of the first embodiment in that an auxiliary step in which the level of power slowly increases between 0 and the power in the first step is inserted before the first step from among the plurality of steps.
  • the auxiliary step 24 in which the level of power slowly increases between 0 and power P 1 of the first step 21 A is inserted before the first step 21 A corresponding to the first processing.
  • a time T 11 of from 20 to 30 seconds is set,
  • the auxiliary step 24 in which the level of power slowly increases between 0 and power P 1 of the first step 21 A is inserted before the first step 21 A.
  • the auxiliary step 24 in which the level of power slowly increases between 0 and power P 1 of the first step 21 A is inserted before the first step 21 A.
  • Maxell's stress acts on the thin film on the grounded insulator around the semiconductor substrate at the start of impression of the radio-frequency power of the first step, and the stripped thin film becoming particles which jump up. It is therefore possible to prevent peeling by achieving a slow change in stress acting on the thin film through insertion of the auxiliary step 24 of which the power changes slowly as described above, and to inhibit occurrence of particles.
  • FIG. 10 illustrates changes with time in radio-frequency power in a case where a plurality of processes are carried out through a plurality of successive steps by means of the plasma etcher in the manufacturing method of semiconductor devices, which is a fifth embodiment of the invention.
  • the configuraton of the manufacturing method of semiconductor devices of this embodiment is largely different from the abovementioned configuration of the manufacturing method of semiconductor devices of the fourth embodiment in that an auxiliary step of which the level of power increases over the power of the final step is inserted after the final step from among the plurality of steps.
  • a step 25 of which the level of power increases over power P 4 of the fourth step 21 D, the final step, is inserted after the fourth step 21 D corresponding to the fourth processing.
  • a power P 8 of from 900 to 1,100 W and a time T 12 of from 1 to 5 seconds are set for the auxiliary step 25 .
  • the auxiliary step 25 of which the level of power increases over power P 4 of the fourth step 21 D is inserted after the final fourth step 21 D. It is therefore possible to repel the particles to the periphery particularly prior to discontinuing discharge, as is known from the result of observation described above with reference to FIG. 17. As a result, it is possible to prevent the particles produced in a large quantity at the end of discharge from adhering to the semiconductor substrate.
  • the plasma potential VP shown in FIG. 10 increases according as the level of power becomes higher.
  • the positively charged stripped particles are exposed to a larger electrostatic reaction by a positive plasma potential.
  • the particles fly at a higher speed toward the process chamber wall while being confined in the sheath area.
  • the positively charged particles receive reaction by a high plasma potential.
  • the particles drop without being directed toward a semiconductor substrate and discharged together with the gas.
  • FIG. 11 illustrates the configuration of a plasma etcher, which is a sixth embodiment of the invention.
  • the configuration of the plasma etcher of this sixth embodiment is largely different from that of the aforementioned first embodiment in that a negative potential is given to the process chamber.
  • a negative power supply 26 is connected to the process chamber 5 for the purpose of achieving a larger potential difference between the process chamber 5 and the bulk plasma.
  • the electrostatic potential distribution in the vertical direction during generation of plasma is such that the plasma region between the upper electrode 39 and the lower electrode 40 has a positive plasma potential VP; and grounded upper electrode 39 has a potential of 0; and the lower electrode to which the radio-frequency power supply 11 is connected has a negative potential.
  • the semiconductor substrate surface on the lower electrode 40 has a self-bias potential VDC within a range of from ⁇ 200 to ⁇ 300 V.
  • a semiconductor manufacturing apparatus using a usual plasma has a potential of 0 by grounding the plasma chamber 5 .
  • the potential difference from the positive plasma potential VP becomes larger by impressing a negative potential onto the plasma chamber wall 5 . More specifically, this achieves a stronger electric field of a sheath area between the plasma chamber wall 5 and the plasma region, so that it becomes difficult for the positively charged particles dropping through this sheath region to enter the plasma region, thus permitting confinement of particles in the sheath.
  • FIG. 13 illustrates the configuration of the plasma etcher, which is a seventh embodiment of the invention.
  • the configuration of the plasma etcher of the seventh embodiment is largely different from the configuration of the aforementioned first embodiment in that the component parts used in the plasma etcher are made of a material having a dielectric constant close to that of the reaction products accumulated in the process chamber.
  • component parts such as a surface portion 4 A of the gate valve 4 used in the plasma etcher 41 , a wall surface portion 5 A of the process chamber 5 , a peripheral portion 6 A of the stage 6 , a surface portion 7 A of the cathode 7 , and a surface portion 8 A of the anode 8 are made of a material having a dielectric constant close to that of the reaction products accumulated in the process chamber 5 .
  • the upper component parts are made of molten quartz. This permits prevention of peeling of the accumulated reaction product film, and hence to reduce occurrence of particles. The reason will now be described.
  • the force F acting per unit volume of a dielectric present in an electric field is expressed by the following formula according to “Electromagnetology Theory of Phenomena“ (by S. Takeyama, Aug. 20, 1975, published by Maruzen Co., Ltd., p.130):
  • m mass density
  • the second term represents the force acting on the dielectric when an electric field exists at a place where the dielectric constant varies, i.e., a force acting on the portion between the inner parts of the process chamber and the reaction product film accumulated thereon in a sheath formed near the upper electrode and the lower electrode around the plasma, or near the process chamber wall.
  • a small change in dielectric constant that is, if a small difference in dielectric constant between the inner parts of the process chamber and the reaction product leads to a small value of the second term, resulting in a smaller force acting on the reaction product film, and it becomes more difficult for the reaction product to peel off or to be broken.
  • the component parts to be made of a material having a dielectric constant close to that of the reaction product are limited to the component parts around the semiconductor substrate to be processed in view of the occurring condition of particles. This measure taken only for the periphery 6 A of the stage 6 and the surface portion 8 A of the anode 8 is well effective.
  • the configuration of the plasma etcher of the eighth embodiment of the invention is largely different from the configuration of the above-mentioned seventh embodiment in that the component parts used in the plasma etcher are made of a material having an elastic modulus close to that of the reaction products accumulated in the process chamber.
  • the component parts including the surface portion 4 A of the gate valve 4 used in the plasma etcher, the wall surface portion 5 A of the process chamber 5 , the peripheral portion 6 A of the stage 6 , the surface portion 7 A of the cathode 7 and the surface portion 8 A of the anode 8 are made of a material having an elastic modulus close to that of the reaction products accumulated in the process chamber 5 .
  • the third term represents the force produced when there is an electric field at a place where the dielectric constant varies, together with the mass density while the dielectric suffers from a strain under the effect of the force.
  • the magnitude of the force is dependent upon the difference in elastic modulus between parts in the chamber and the reaction products. If the difference in elastic modulus between the parts in the process chamber and the reaction products is small, the third term becomes smaller. The force acting on the reaction product film therefore becomes smaller, making it more difficult for the reaction product film to peel off or to be broken.
  • a negative power supply may be connected to a liner detachably attached in the interior of the process chamber for the purpose of facilitating maintenance. Since this liner, like the process chamber, is made usually of aluminum, almost the same advantages as in the case of direct connection of the negative power supply to the process chamber.
  • the component part used in the plasma etcher may be made of a material having a dielectric constant and an elastic modulus close to those of the reaction products accumulated in the process chamber, providing substantially the same advantages as those available in the seventh and eighth embodiments. Apart from single application of the individual embodiments, they may be implemented in the form of an appropriate combination. This synergetically provide the inhibiting effect of adhesion of particles produced in the process chamber to the semiconductor substrate.

Abstract

In a method of manufacturing a semiconductor device with plasma generated in a process chamber by impressing radio-frequency power, a level of a radio-frequency power for each step is switched over in response to processing in each step upon applying a plurality of processing steps to a semiconductor substrate while holding the semiconductor substrate, and thereby the plurality of steps are carried out successively.

Description

    BACKGROUND OF THE INVENTION
  • The present invention relates to a method of manufacturing semiconductor devices and a semiconductor manufacturing apparatus. More particularly, the invention relates to a manufacturing method of semiconductor devices and a semiconductor manufacturing apparatus which reduce the effect of particles (foreign matter particles) produced upon processing when manufacturing semiconductor devices by applying a plurality of steps of processing by means of plasma. [0001]
  • When manufacturing semiconductor devices typically represented by an LSI (large-scale integrated circuit) such as a microprocessor or a memory, it is necessary to conduct a plurality of processing steps including a step of forming thin films comprising various insulating films and a conductive film on a semiconductor substrate and a step of processing the thus formed thin films or the semiconductor substrate itself. When performing these processing steps, it is the present practice to use a plurality of semiconductor manufacturing apparatuses including a plasma etcher, a plasma CVD (chemical vapor deposition) apparatus and a plasma sputtering apparatus using the plasma technology. [0002]
  • In the step of processing a thin film formed on the semiconductor substrate into a desired shape, for example, the semiconductor substrate is transferred into a process chamber of a plasma etcher in such a state that a necessary area of the thin film is masked by a resist film for conducting plasma etching. A lower electrode which mounts the semiconductor substrate and an upper electrode arranged oppositely thereto are provided in the process chamber, and an etching gas is introduced into the process chamber. The etching gas is converted into plasma through generation of discharge in the process chamber by impressing a radio-frequency voltage onto the lower electrode to etch the portion not masked by a resist. [0003]
  • As is clear from FIG. 1, impression of radio-frequency power having a power P[0004] 1 is started at time t1. After keeping power P1 for a certain period of time, impression of radio-frequency power is discontinued at time t2. The extent of generation of plasma is governed substantially by the radio-frequency power. In order to actually generate plasma, other conditions such as the amount of introduced plasma generating gas, the inner pressure in the process chamber and the like may also be taken into account.
  • When plasma-etching the thin film by use of the plasma etcher as described above, reaction products and the like are deposited in the process chamber. These deposits peel off, and adhere to the semiconductor substrate as particles, thereby contaminating the substrate. These stripped particles are produced similarly when applying processing by use of any of various plasma generators. For example, particles are produced as upon etching also when applying a plasma CVD processing by transferring the semiconductor substrate into the plasma CVD apparatus or when applying plasma sputtering by transferring the semiconductor substrate into a plasma sputtering apparatus. In these processing steps, each time the semiconductor. substrate is transferred to each plasma generator, processing the details of which are shown in FIG. 1 is repeated. This causes a further increase in the number of produced particles. Therefore, when manufacturing semiconductor devices through repetition of various steps of processing yield decreases under the effect of particles. [0005]
  • When manufacturing semiconductor devices through a plurality of processing steps by use of plasma, therefore, it is conventionally conceived to accomplish manufacture through a plurality of successive steps while holding semiconductor substrates in process chambers of a common plasma generator, by switching over the extent of radio-frequency power from time to time in response to the individual processing steps. [0006]
  • As illustrated in FIG. 2, in such a manufacturing method of semiconductor devices, a plurality of processes are carried out through a plurality of successive steps of conducting a process A by impressing a radio-frequency power of a power P[0007] 1 onto the lower electrode during the period from time t1 to time t2; conducting a process B by impressing a radio-frequency power of a power P3 during the period from time t3 to time t4; conducting a process C by impressing a radio-frequency power of a power P2 during the period from time t5 to time t6; and conducting a process D by impressing a radio-frequency power of a power P4 during the period from time t7 to time t8.
  • In the existing manufacturing method of semiconductor devices, when conducting the method through a plurality of processes by means of plasma in a plurality of successive steps, a radio-frequency power is repeatedly started up and impressed for each step. This poses a problem of difficulty to reduce the effect of particles. [0008]
  • More specifically, in the existing manufacturing method of semiconductor devices, when conducting the plurality of processes through a plurality of successive steps, the radio-frequency power is repeatedly turned on and off for each of the steps. This results in stoppage of discharging each time. Because particles stripped off from the component parts of the process chamber are positively charged, the particles are confined in a sheath near the upper electrode or near the process chamber during plasma discharge. Upon stoppage of discharge and disappearance of plasma, the particles are attracted by the substrate having a negative self-bias potential, and adhere thereto. The particles dropping on the substrate during an interval between two steps may form defects through the next step. [0009]
  • Repetition of a steep change in radio-frequency power from 0 to a high power or from a high power to 0 for every step causes application of stress onto the film of reaction products adhering in the process chamber, and breakage and stripping of this film causes easier production of particles. Particularly, particles produced in the proximity of the semiconductor substrate are attracted by the negative self-bias potential of the semiconductor substrate even during discharge, and adhere thereto. The particles adhered to the semiconductor substrate in the middle of a step may form defects during the current or next step processing. [0010]
  • SUMMARY OF THE INVENTION
  • It is therefore an object of this invention to provide a manufacturing method of semiconductor devices and a semiconductor manufacturing apparatus which, when conducting a plurality of processes through a plurality of steps by use of plasma, inhibits production of particles adhering to the semiconductor substrate, and prevent the number of particles, even if produced, from increasing, so as to reduce the adverse effect of the particles. [0011]
  • To solve the above-mentioned problems, a first aspect of the present invention provides a manufacturing method of semiconductor devices, comprising the steps of using a plasma generator having a cathode which mounts a semiconductor substrate to be processed and an anode arranged oppositely to said cathode in a process chamber, introducing a process gas into said process chamber, and generating plasma in the process chamber by impressing radio-frequency power onto said cathode, thereby applying a desired processing to the semiconductor substrate; wherein, when applying a plurality of processing steps to the semiconductor substrate while holding the semiconductor substrate, switching over the level of radio-frequency power for each step in response to the processing in each step to carry out the plurality of runs of processing in a plurality of steps in succession. [0012]
  • In the first aspect of the invention, the kind of the process gas is preferably switched aver for each run of the plurality of processing steps. [0013]
  • Preferably, fluoride is not used as the process gas. [0014]
  • Preferably, the process gas is selected from the group consisting of helium, neon, argon, krypton, radon, oxygen and nitrogen. [0015]
  • In the method, an auxiliary step having an intermediate value of power level between power levels of two adjacent steps may be inserted between these two adjacent steps from among the plurality of steps. [0016]
  • In the method, an auxiliary step of which the power level slowly changes between power levels of two adjacent steps may be inserted between these two adjacent steps from among the plurality of steps. [0017]
  • In the method; an auxiliary step of which the power level slowly increases between 0 and the power level of the first step may be placed before the first step of the plurality of steps. [0018]
  • In the method, an auxiliary step of which the power level increases over the power level of the final step may be placed after the final step of the plurality of steps. [0019]
  • A second aspect of the invention provides a semiconductor manufacturing apparatus, having a configuration in which a cathode mounting a semiconductor substrate to be processed and an anode electrode opposite thereto are arranged in a process chamber, and the semiconductor substrate is subjected to a desired processing by introducing a process gas into the process chamber, and generating plasma in the process chamber through impression of a radio-frequency power onto the cathode, further comprising radio-frequency power control means which impresses a radio-frequency power onto the cathode by switching over the level of radio-frequency power for each run of processing in response to each of a plurality of runs of processing while holding the semiconductor substrate in the process chamber. [0020]
  • Potential difference imparting means may be provided between the process chamber and a bulk plasma formed in the process chamber, the potential difference imparting means imparting a potential difference to such an extent as to prevent the particles present in the process chamber from adhering to the semiconductor substrate. [0021]
  • Preferably, the potential difference imparting means comprises a negative power supply which gives a negative potential to the process chamber. [0022]
  • A third aspect of the invention provides a semiconductor manufacturing apparatus having a configuration in which a cathode mounting a semiconductor substrate to be processed and an anode opposite thereto are arranged in a process chamber, and the semiconductor substrate is subjected to a desired processing by introducing a process gas into the process chamber, and generating plasma in the process chamber through impression of a radio-frequency power onto the cathode, wherein at least some of the component part used in the semiconductor manufacturing apparatus are made of a material having a dielectric constant close to that of reaction products accumulated in the process chamber. [0023]
  • A fourth aspect of the invention provides a semiconductor manufacturing apparatus having a configuration in which a cathode mounting a semiconductor substrate to be processed and an anode opposite thereto are arranged in a process chamber, and the semiconductor substrate is subjected to a desired processing by introducing a process gas into the process chamber, and generating plasma in the process chamber through impression of a radio-frequency power onto the cathode, wherein at least some of the component parts used in the semiconductor manufacturing apparatus are made of a material having an elastic modulus close to that of reaction products accumulated in the process chamber. [0024]
  • A fifth aspect of the invention provides a semiconductor manufacturing apparatus having a configuration in which a cathode mounting a semiconductor substrate to be processed and an anode opposite thereto are arranged in a process chamber, and the semiconductor substrate is subjected to a desired processing by introducing a process gas into the process chamber, and generating plasma in the process chamber through impression of a radio-frequency power onto the cathode, wherein at least some of the component parts used in the semiconductor manufacturing apparatus are made of a material having a dielectric constant and an elastic modulus close to those of reaction products accumulated in the process chamber. [0025]
  • A result of observation having served as a starting point for the successful completion of the present invention will now be described. [0026]
  • The present invention has obtained the following result of observation by observing production of particles in a process chamber of a plasma etcher, a kind of semiconductor manufacturing apparatus and the behavior of the thus produced particles. [0027]
  • As shown in FIG. 14, the [0028] particle monitoring system 30 has a configuration, in which the behavior of particles is observed by the laser beam scattering method by irradiating a laser beam to a process chamber 28 of a plasma etcher (semiconductor manufacturing apparatus) 27 having the process chamber 28 for applying an etching treatment to the semiconductor substrate, and a transfer chamber 29 for transferring the semiconductor substrate into the process chamber 28.
  • The [0029] particle monitoring system 30 comprises a laser source 31, an optical system 32 which irradiates a laser beam from the laser source 31 to the process chamber 28, a CCD camera 33 which picks up an image of the interior of the process chamber 28, a semiconductor manufacturing apparatus (a plasma etcher in this example) control panel 34 which sets various observing conditions, a signal processor 35 which processes various signals from the semiconductor manufacturing apparatus 34, and a computer 36 which controls the entire system.
  • As shown in FIG. 15, various signals from among parameters such as radio-frequency power (RF power) for processing, chamber inner pressure (Pressure), processing gas (for example, sulfur hexafluoride (SF[0030] 6) flow rate (Flow Rate), opening of a gate valve for transferring the semiconductor substrate (Insulation Valve), helium gas flow rate (He Flow Rate), electrostatic chucking voltage (ESC Voltage), electrostatic chucking current (ESC Current), and stage-up-position (Stage Up) are entered into the computer 36 via the signal processor 35. The number of particles in the process chamber 28 is measured by detecting the laser beam scattered in the process chamber 28 with the CCD camera 33.
  • In FIG. 15, the mark  represent a particle produced in the process chamber upon etching. Many particles are produced at a point in time of impressing a radio-frequency power and immediately after starting (25-40 seconds thereafter). Many particles are produced also immediately after discontinuing impression of radio-frequency current and discontinuing etching (103 to 105 seconds thereafter). [0031]
  • Particles produced as if they jumped up from the periphery of the semiconductor sub-strate (wafer) are observed in FIG. 16. These particles are conjectured to be produced through peeling of a thin film comprising an insulating film or a conductive film accumulating on component parts in the chamber to which stress is applied. As is clear from FIG. 16, the particles produced on the periphery of the semiconductor substrate were confirmed to come flying onto the semiconductor substrate describing a parabola and adhere thereto. This motion of the particles is attributable to the action of electrostatic force. This will now be described. [0032]
  • In a semiconductor manufacturing apparatus using plasma, it is the common practice to adopt a configuration in which a grounded upper electrode is used as an anode and the lower electrode onto which radio-frequency voltage is impressed serves as a cathode. Ceramic rings which are insulators are arranged around the semiconductor substrate so that production of plasma is limited within the upper portion of the semiconductor substrate. [0033]
  • Referring to FIG. 12, the grounded [0034] upper electrode 39 has a potential of 0, and the lower electrode 40 to which the radio-frequency power source 11 has a negative potential. Plasma is generated in a space between the upper electrode 39 and the lower electrode 40, and this plasma region shows a positive potential. In a typical semiconductor manufacturing apparatus, sheath areas in which potential steeply changes exist near the upper electrode 39 and the lower electrode 40.
  • While processing of the semiconductor substrate is repeated by use of the above-mentioned semiconductor manufacturing apparatus, reaction products are accumulated or deposited on the ceramic ring such that a thin film is formed. A force known as Maxell's stress is known to act on a dielectric present in an electrostatic field. When starting plasma discharge, the accumulated thin film of reaction products is placed in the electric field as described above, and Maxell's stress acts thereon under the effect of the dielectric constant or elastic modulus or a difference therebetween of the ceramic ring which is a dielectric. The thin film is broken and peels off, thus generating particles. The thus produced particles are positively charged as a result of collision of positive ions while the particles pass through the cathode sheath near the lower electrode. [0035]
  • On the surface of the semiconductor substrate, on the other hand, a negative self-bias potential spontaneously occurs as a result of a difference in mobility between electron and positive ion upon impressing the radio-frequency power. The particles positively charged in the cathode sheath are attracted by the semiconductor substrate having a negative self-bias potential under the effect of electrostatic force. This is considered to cause the motion of particles flying describing a parabola as mentioned above. [0036]
  • Referring to FIG. 17, it is confirmed that the particles having peeled off from the upper electrode are positively charged in the anode sheath, repelled by the bulk plasma, drop outside the plasma, and are attracted by the semiconductor substrate having a negative self-bias potential under the effect of action of the electrostatic force, at the moment when the plasma disappears along with discontinuance of processing.[0037]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 illustrates changes with time in radio-frequency power in a case where processing is conducted by use of a plasma etcher in the existing manufacturing method of semiconductor devices; [0038]
  • FIG. 2 illustrates change with time in radio-frequency power in a case where a plurality of processes through a plurality of successive steps by means of a plasma etcher in the existing manufacturing method of semiconductor devices. [0039]
  • FIG. 3 illustrates the configuration of a plasma etcher used in the manufacturing method of semiconductor devices in a first embodiment of the present invention; [0040]
  • FIG. 4 illustrates changes with time in radio-frequency power in a case where a plurality of processes are carried out through a plurality of successive steps by means of the plasma etcher shown in FIG. 3; [0041]
  • FIGS. 5A, 5B, and [0042] 5C illustrate process diagrams showing the manufacturing method of semiconductor devices of the invention in the sequence of steps;
  • FIGS. 6A and 6B illustrate process diagrams showing the manufacturing method of semiconductor devices of the invention in the sequence of steps; [0043]
  • FIG. 7 illustrates changes with time in radio-frequency power in a case where a plurality of processes are carried out through a plurality of successive steps by means of the plasma etcher in the manufacturing method of semiconductor devices, which is a second embodiment of the invention; [0044]
  • FIG. 8 Illustrates changes with time in radio-frequency power in a case where a plurality of processes are carried out through a plurality of successive steps by means of the plasma etcher in the manufacturing method of semiconductor devices, which is a third embodiment of the invention; [0045]
  • FIG. 9 illustrates changes with time in radio-frequency power in a case where a plurality of processes are carried out through a plurality of successive steps by means of the plasma etcher in the manufacturing method of semiconductor devices, which is a fourth embodiment of the invention; [0046]
  • FIG. 10 illustrates changes with time in radio-frequency power in a case where a plurality of processes are carried out through a plurality of successive steps by means of the plasma etcher in the manufacturing method of semiconductor devices, which is a fifth embodiment of the invention; [0047]
  • FIG. 11 illustrates the configuration of a plasma etcher, which is a sixth embodiment of the invention; [0048]
  • FIG. 12 schematically illustrates the electrostatic potential distribution in the vertical direction during plasma generation in a general semiconductor manufacturing apparatus using plasma; [0049]
  • FIG. 13 illustrates the configuration of the plasma etcher, which is a seventh embodiment of the invention; [0050]
  • FIG. 14 schematically illustrates the configuration of the particle monitoring system used for observation of particles forming a prerequisite for the invention; [0051]
  • FIG. 15 illustrates the relationship between various parameters (ordinate) and time (abscissa) in a case where a particle monitoring system shown in FIG. 14 is operated; [0052]
  • FIG. 16 illustrates the behavior of particles produced at a point in time immediately after starting etching, as observed by means of the particle monitoring system shown in FIG. 14; and [0053]
  • FIG. 17 illustrates the behavior of particles produced at a point in time immediately after discontinuing etching, as observed by means of the particle monitoring system shown in FIG. 14.[0054]
  • DESCRIPTION OF PREFERRED EMBODIMENTS
  • Embodiments of the present invention will now be described on the basis of the aforementioned result of observation with reference to the drawings. Description will be made in detail by means of embodiments. [0055]
  • First Embodiment [0056]
  • Description will be made of a first embodiment of this invention. Referring to FIG. 3, the [0057] plasma etcher 10 used for the implementation of the manufacturing method of semiconductor devices of this embodiment comprises an introducing port 1 of a plasma etching gas provided in the upper portion; a process chamber 5 having a gas outlet 2 provided in the lower portion and a gate valve 4 provided on a side for passing the semiconductor substrate 3 which is to be processed; a cathode 7, provided in the process chamber 5, for mounting the semiconductor substrate 3 via a stage 6 and an anode arranged oppositely to the cathode 7; a shower head 9 for guiding the gas to the cathode 7; an electrostatic chucking power source 11 connected to the stage 6; a radio-frequency source 12 connected to the cathode 7; and radio-frequency power control means 13 for controlling radio-frequency power output from the radio-frequency source 12 to become a desired power. The anode 8 is grounded. Aluminum (Al) excellent in heat conductivity and not discharging a detrimental substances such as a heavy metal is used for the process chamber 5.
  • The manufacturing method of semiconductor devices of this embodiment will now be described in the sequence of steps with reference to FIGS. [0058] 4 to 6. This embodiment will be described by means of a case of manufacturing a middle structure of wiring of a DRAM (dynamic random access memory).
  • As shown in FIG. 5A, a [0059] semiconductor substrate 3 is provided, having an insulating film 15 comprising a silicon oxide film, a first metal film 16 comprising a titanium (Ti) film, a second metal film 17 comprising a titanium nitride (TiN) film, a third metal film 18 comprising tungsten, and a second insulating film 19 comprising a silicon oxide film, sequentially formed thereon. The first and second metal films 16 and 17 are used for forming a barrier film, and the third metal film 18 serves to form a bear plug. Then, a photoresist film 20 is formed in an area not subjected to processing on the semiconductor substrate 3. Then, the semiconductor substrate 3 is placed on the stage 6 on the cathode 7 in the process chamber 5, and the semiconductor substrate 3 is attracted by the electrostatic adsorption source 11.
  • Then, as shown In FIG. 4, the radio-[0060] frequency power source 12 is controlled by the radio-frequency power control means 13 of the plasma etcher 10 to set a first step 21A for carrying out a first processing during a period from time t to time t2. For this first step 21A, for example, a radio-frequency power P1 of from 780 to 820 W, and a time T1 of from 55 to 65 seconds. Simultaneously with this, an etching gas such as N2 is introduced through the introducing port 1 into the process chamber 5. As a result, as shown in FIG. 58, a second insulating film 19 is selectively etched with a photoresist film 20 as a mask, as a first processing in a first step 21A.
  • Then, as shown in FIG. 4, the radio-[0061] frequency power source 12 is controlled by the radio-frequency power control means 13 of the plasma etcher 10 to set a second step 21B for carrying out a second processing during a period from time t2 to time t3. For this second step 21B. for example, a radio-frequency power P2 of from 580 to 620 W. and a time T2 of from 18 to 22 seconds are set. Simultaneously with this, an etching gas such as a mixed gas of N2 and O2 is introduced through the introducing port 1 into the process chamber 5.
  • As a result, as shown in FIG. 5C, a [0062] third metal film 18 is selectively etched with a photoresist film 20 as a common mask, as a second processing in a second step 21B. Because transfer from the first step 21A to the second step 21B is continuously performed, the radio-frequency power never becomes 0 in steps in the middle, the extent of dropping of the particles in the process chamber 5 becomes very slight, as is known from the result of observation described with reference to FIG. 17, Since the change in the radio-frequency power becomes smaller in the interval between the first and second steps 21A and 21B, production of particles is also inhibited,
  • Then, as shown in FIG. 4, the radio-[0063] frequency power source 12 is controlled by the radio-frequency power control means 13 of the plasma etcher 10 to set a third step 21C for carrying out a third processing during a period from time t3 to time t4. For this third step 21C, for example, a radio-frequency power P3 of from 640 to 660 W, and a time T3 of from 28 to 32 seconds are set. Simultaneously with this, an etching gas such as a mixed gas of N2 and O2 is introduced through the introducing port 1 into the process chamber 5. As a result, as shown in FIG. 6A, a second metal film 17 is selectively etched with a photoresist film 20 as a common mask, as a third processing in a third step 21C. Because transfer from the second step 21B to the third step is 21C continuously performed, the positively charged particles drop so as to avoid the positive plasma potential, and the extent of dropping of the particles in the process chamber 5 becomes very slight, as is known from the result of observation described above with reference to FIG. 17. Since the change in the radio-frequency power becomes smaller in the interval between the second and third steps 21B and 21C, production of particles is also inhibited.
  • Then, as shown in FIG. 4, the radio-[0064] frequency power source 12 is controlled by the radio-frequency power control means 13 of the plasma etcher 10 to set a fourth step 21D for carrying out a fourth processing during a period of from t4 to time t5. For this fourth step 21D, for example, a radio-frequency power P4 of from 390 to 410 W, and a time T4 of from 28 to 32 seconds are set. Simultaneously with this, an etching gas such as N2 is introduced through the introducing port 1 into the process chamber 5. As a result, as shown in FIG. 6B, a first metal film 16 is selectively etched with the photoresist film 20 as a common mask, as a fourth processing in a fourth step 21D.
  • A middle structure of DRAM wiring is manufactured through steps described above. [0065]
  • Because transfer from the [0066] third step 21C to the fourth step 21D is continuously performed, the positively charged particles drop so as to avoid the positive plasma potential, and the extent of dropping of the particles in the process chamber 5 becomes very slight, as is known from the result of observation described above with reference to FIG. 17. Since the change in the radio-frequency power becomes smaller in the interval between the third and fourth steps 21C and 21D, production of particles is also inhibited.
  • When conducting etching by use of the [0067] plasma etcher 10 having the process chamber 5 made of Al as described above, use of an etching gas containing fluoride (F) such as (CF4) or (SF6) is not desirable since it leads to easier formation of aluminum fluoride particles from a reaction between F and Al and resultant increase of particles present in the process chamber 5. It is therefore desirable to use an etching gas other than fluoride as is used in each processing in this embodiment. Applicable etching gases, other than nitrogen and oxygen shown above, include helium, neon, argon, krypton, xenon, radon and other inert elements, which bring about substantially the same advantages.
  • According to this embodiment, as described above, when applying the first to fourth processes while holding the [0068] semiconductor substrate 3 in the process chamber 5, the first to fourth processes are carried out through the first to fourth steps 21A to 21D in succession by switching over the level of radio-frequency power P1 to P4 in response to the individual processes. The plasma potential therefore never becomes 0 as a result of the radio-frequency power not becoming 0 in a step in the middle. The positively charged particles drop so as to avoid the positive plasma potential, so that the extent of dropping of the particles in the process chamber 5 becomes very slight.
  • When carrying out a plurality of processes by use of plasma through a plurality of successive steps, therefore, it is possible to inhibit occurrence of particles adhering to the semiconductor substrate and inhibit the number of particles, if produced, adhering to the semiconductor substrate. [0069]
  • Second Embodiment [0070]
  • Description will be made of a second embodiment of this invention. [0071]
  • FIG. 7 illustrates changes with time in radio-frequency power in a case where a plurality of processes are carried out through a plurality of successive steps by means of the plasma etcher in the manufacturing method of semiconductor devices, which is the second embodiment of the invention. The configuration of the manufacturing method of semiconductor devices in this embodiment is largely different from the configuration of the above-mentioned manufacturing method of semiconductor devices of the first embodiment in that an auxiliary step having an intermediate value of power between two adjacent steps is inserted between the two adjacent steps from among the plurality of steps. [0072]
  • In the manufacturing method of semiconductor devices of this embodiment, as shown in FIG. 7, in the first to [0073] fourth steps 21A to 21D corresponding to the first to fourth processes, a first auxiliary step 22A having an intermediate value of power PS between power P1 of the first step 21A and power P2 of the second step 21B is inserted between the first step 21A and the second step 21B which adjacent to each other. A second auxiliary step 22B having an intermediate value of power P6 between power P2 of the second step 21B and power P3 of the third step 21C is inserted between the second step 21B and the third step 21C which are adjacent to each other. A third auxiliary step 22C having an intermediate value of power P7 between power P3 of the third step 21C and power P4 of the fourth step 21D is inserted between the third step 21C and the fourth step 21D which are adjacent to each other. For the first auxiliary step 22A, for example, a radio-frequency power P5 of from 680 to 720 W and a time T5 of from 1 to 5 seconds are set. For the second auxiliary step 22B, for example, a radio-frequency power P6 of from 620 to 630 W and a time T6 of from 1 to 5 seconds are set. For the third auxiliary step 22G, for example, a radio-frequency power P7 of from 500 to 550 W and a time T7 of from 1 to 5 seconds are set.
  • According to this embodiment, the [0074] auxiliary steps 22A to 22C having an intermediate value of radio-frequency power between the individual adjacent steps are inserted between the adjacent steps. Transfer between the steps can therefore be accomplished very accurately and continuously via the auxiliary steps 22A to 22C. This prevents loss of the balance between forces acting on the particles caused by a steep change in plasma potential, and thus, the extent of dropping of the particles in the process chamber 5 becomes smaller than in the first embodiment.
  • In this embodiment as well, there are available substantially the same advantages as those described in the first embodiment. [0075]
  • Third Embodiment [0076]
  • Description will be made of a third embodiment of this invention. [0077]
  • FIG. 8 illustrates changes with time in radio-frequency power in a case where a plurality of processes are carried out through a plurality of successive steps by means of the plasma etcher in the manufacturing method of semiconductor devices, which is a third embodiment of the invention. The configuration of the manufacturing method of semiconductor devices of this embodiment is largely different from the above-mentioned configuration of the manufacturing method of semiconductor devices of the first embodiment in that an auxiliary step in which the level of power slowly changes between levels of power of two adjacent steps is inserted between the two adjacent steps from among the plurality of steps. [0078]
  • In the manufacturing method of semiconductor devices of this embodiment, as shown in FIG. 8, in the first to [0079] fourth steps 21A to 21D corresponding to the first to fourth processes, a first auxiliary step 23A in which the level of power slowly changes between power P1 of the first step 21A and power P2 of the second step 21B is inserted between the first step 21A and the second step 21B which are adjacent to each other. A second auxiliary step 23B in which the level of power slowly changes between power P2 of the second step and power P3 of the third step is inserted between the second step 21B and the third step 21C which are adjacent to each other. A third auxiliary step 23C in which the level of power slowly changes between power P3 of the third step 21C and power P4 of the fourth step 21D is inserted between the third step 21C and the fourth step 21D which are adjacent to each other. For the first to third auxiliary steps 23A to 23C, times T8 to T10 of from 1 to 5 seconds are set.
  • According to this embodiment, [0080] auxiliary steps 23A to 23C in which the level of power slowly changes between the radio-frequency power values of the individual steps are inserted between two adjacent steps, respectively. Transfer between the steps can therefore be accomplished very accurately and continuously via the auxiliary step 23A to 23C. This prevents loss of the balance between forces acting on the particles caused by a steep change in plasma potential, and thus, the extent of dropping of the particles in the process chamber 5 becomes smaller than in the first embodiment.
  • In this embodiment as well, there are available substantially the same advantages as those described in the first embodiment. [0081]
  • Fourth Embodiment [0082]
  • Description will be made of a fourth embodiment of this invention. [0083]
  • FIG. 9 illustrates changes with time in radio-frequency power in a case where a plurality of processes are carried out through a plurality of successive steps by means of the plasma etcher in the manufacturing method of semiconductor devices, which is a fourth embodiment of the invention. The configuration of the manufacturing method of semiconductor devices of this embodiment is largely different from that of the manufacturing method of semiconductor devices of the first embodiment in that an auxiliary step in which the level of power slowly increases between 0 and the power in the first step is inserted before the first step from among the plurality of steps. [0084]
  • As shown in FIG. 9, in the manufacturing method of semiconductor devices of this embodiment, the [0085] auxiliary step 24 in which the level of power slowly increases between 0 and power P1 of the first step 21A is inserted before the first step 21A corresponding to the first processing. For the auxiliary step 24, a time T11 of from 20 to 30 seconds is set,
  • According to this embodiment, the [0086] auxiliary step 24 in which the level of power slowly increases between 0 and power P1 of the first step 21A is inserted before the first step 21A. As is known from the result of observation described above with reference to FIG. 16, it is possible to prevent particularly the particles coming flying from the periphery of the semiconductor substrate from adhering to the semiconductor substrate.
  • According to the aforementioned result of observation, more specifically, Maxell's stress acts on the thin film on the grounded insulator around the semiconductor substrate at the start of impression of the radio-frequency power of the first step, and the stripped thin film becoming particles which jump up. It is therefore possible to prevent peeling by achieving a slow change in stress acting on the thin film through insertion of the [0087] auxiliary step 24 of which the power changes slowly as described above, and to inhibit occurrence of particles.
  • In this embodiment as well, there are available substantially the same advantages as those described in the first embodiment. [0088]
  • In addition, according to the configuration of this embodiment, it is possible particularly to prevent adherence of the particles which jump from the periphery of the semiconductor substrate from adhering to the semiconductor substrate. [0089]
  • Fifth Embodiment [0090]
  • Description will be made of a fifth embodiment of this invention. FIG. 10 illustrates changes with time in radio-frequency power in a case where a plurality of processes are carried out through a plurality of successive steps by means of the plasma etcher in the manufacturing method of semiconductor devices, which is a fifth embodiment of the invention. The configuraton of the manufacturing method of semiconductor devices of this embodiment is largely different from the abovementioned configuration of the manufacturing method of semiconductor devices of the fourth embodiment in that an auxiliary step of which the level of power increases over the power of the final step is inserted after the final step from among the plurality of steps. [0091]
  • As shown in FIG. 10, in the manufacturing method of semiconductor devices of this embodiment, a [0092] step 25 of which the level of power increases over power P4 of the fourth step 21D, the final step, is inserted after the fourth step 21D corresponding to the fourth processing. A power P8 of from 900 to 1,100 W and a time T12 of from 1 to 5 seconds are set for the auxiliary step 25.
  • According to this embodiment, the [0093] auxiliary step 25 of which the level of power increases over power P4 of the fourth step 21D is inserted after the final fourth step 21D. It is therefore possible to repel the particles to the periphery particularly prior to discontinuing discharge, as is known from the result of observation described above with reference to FIG. 17. As a result, it is possible to prevent the particles produced in a large quantity at the end of discharge from adhering to the semiconductor substrate.
  • More specifically, the plasma potential VP shown in FIG. 10 increases according as the level of power becomes higher. In the [0094] auxiliary step 25, therefore, the positively charged stripped particles are exposed to a larger electrostatic reaction by a positive plasma potential. The particles fly at a higher speed toward the process chamber wall while being confined in the sheath area. On the periphery of the plasma as well, the positively charged particles receive reaction by a high plasma potential. The particles drop without being directed toward a semiconductor substrate and discharged together with the gas. By inserting the auxiliary step 25 with an increased power, it is possible to easily exclude the particles.
  • According to this embodiment, as described above, it is possible to prevent particles produced in a large quantity upon discontinuing discharge from adhering to the semiconductor substrate. [0095]
  • Sixth Embodiment [0096]
  • Description will be made of a sixth embodiment of this invention. [0097]
  • FIG. 11 illustrates the configuration of a plasma etcher, which is a sixth embodiment of the invention. The configuration of the plasma etcher of this sixth embodiment is largely different from that of the aforementioned first embodiment in that a negative potential is given to the process chamber. [0098]
  • In the [0099] plasma etcher 38 of this embodiment, as shown in FIG. 11, a negative power supply 26 is connected to the process chamber 5 for the purpose of achieving a larger potential difference between the process chamber 5 and the bulk plasma. By adopting such a configuration, it is possible to confine particles produced in the process chamber 5 within a plasma sheath, and hence to prevent the particles from adhering to the semiconductor substrate. The sixth embodiment has the same configuration as that shown in FIG. 3 described above in the first embodiment except the above. A detailed description is therefore omitted. The reason will now be described with reference to FIG. 12.
  • As shown in FIG. 12, the electrostatic potential distribution in the vertical direction during generation of plasma is such that the plasma region between the [0100] upper electrode 39 and the lower electrode 40 has a positive plasma potential VP; and grounded upper electrode 39 has a potential of 0; and the lower electrode to which the radio-frequency power supply 11 is connected has a negative potential. Under process conditions commonly adopted, the semiconductor substrate surface on the lower electrode 40 has a self-bias potential VDC within a range of from −200 to −300 V. Regarding the electrostatic potential distribution in the horizontal direction, on the other hand, a semiconductor manufacturing apparatus using a usual plasma has a potential of 0 by grounding the plasma chamber 5.
  • As shown in FIG. 11, the potential difference from the positive plasma potential VP becomes larger by impressing a negative potential onto the [0101] plasma chamber wall 5. More specifically, this achieves a stronger electric field of a sheath area between the plasma chamber wall 5 and the plasma region, so that it becomes difficult for the positively charged particles dropping through this sheath region to enter the plasma region, thus permitting confinement of particles in the sheath.
  • According to this embodiment, as described above, it is possible to confine the particles in the plasma sheath, and hence to prevent the particles from adhering to the semiconductor substrate. [0102]
  • Seventh Embodiment [0103]
  • Description will be made of a seventh embodiment of this invention. [0104]
  • FIG. 13 illustrates the configuration of the plasma etcher, which is a seventh embodiment of the invention. The configuration of the plasma etcher of the seventh embodiment is largely different from the configuration of the aforementioned first embodiment in that the component parts used in the plasma etcher are made of a material having a dielectric constant close to that of the reaction products accumulated in the process chamber. [0105]
  • In the plasma etcher of this [0106] embodiment 41 as shown in FIG. 131 component parts such as a surface portion 4A of the gate valve 4 used in the plasma etcher 41, a wall surface portion 5A of the process chamber 5, a peripheral portion 6A of the stage 6, a surface portion 7A of the cathode 7, and a surface portion 8A of the anode 8 are made of a material having a dielectric constant close to that of the reaction products accumulated in the process chamber 5. For example, in a case where the reaction product such as resist containing carbon is easily accumulated, the upper component parts are made of molten quartz. This permits prevention of peeling of the accumulated reaction product film, and hence to reduce occurrence of particles. The reason will now be described.
  • The force F acting per unit volume of a dielectric present in an electric field is expressed by the following formula according to “Electromagnetology Theory of Phenomena“ (by S. Takeyama, Aug. 20, 1975, published by Maruzen Co., Ltd., p.130): [0107]
  • F=ρE−(½)E 2 grad ε+(½)grad((CE 2 m(d ε/dm))
  • where, [0108]
  • ρ: true electric charge density, [0109]
  • E: electric field, [0110]
  • ε: dielectric constant, [0111]
  • m: mass density. [0112]
  • Because transfer of the true electric charge is slight in genera, application of the above formula to the plasma etcher reveals that the force F largely depends upon the second term [(½)E[0113] 2 grad ε] and the third term [(½) grad ((E2m (d ε/dm))] in the above formula.
  • In the above formula, the second term represents the force acting on the dielectric when an electric field exists at a place where the dielectric constant varies, i.e., a force acting on the portion between the inner parts of the process chamber and the reaction product film accumulated thereon in a sheath formed near the upper electrode and the lower electrode around the plasma, or near the process chamber wall. A small change in dielectric constant, that is, if a small difference in dielectric constant between the inner parts of the process chamber and the reaction product leads to a small value of the second term, resulting in a smaller force acting on the reaction product film, and it becomes more difficult for the reaction product to peel off or to be broken. The component parts to be made of a material having a dielectric constant close to that of the reaction product are limited to the component parts around the semiconductor substrate to be processed in view of the occurring condition of particles. This measure taken only for the [0114] periphery 6A of the stage 6 and the surface portion 8A of the anode 8 is well effective.
  • In this embodiment as well, there are available substantially the same advantages as those described in the first embodiment. [0115]
  • Eighth Embodiment [0116]
  • Description will be made of an eighth embodiment of this invention. [0117]
  • The configuration of the plasma etcher of the eighth embodiment of the invention is largely different from the configuration of the above-mentioned seventh embodiment in that the component parts used in the plasma etcher are made of a material having an elastic modulus close to that of the reaction products accumulated in the process chamber. [0118]
  • In the plasma etcher of this embodiment having substantially the same configuration as that shown in FIG. 13, the component parts including the [0119] surface portion 4A of the gate valve 4 used in the plasma etcher, the wall surface portion 5A of the process chamber 5, the peripheral portion 6A of the stage 6, the surface portion 7A of the cathode 7 and the surface portion 8A of the anode 8 are made of a material having an elastic modulus close to that of the reaction products accumulated in the process chamber 5. By adopting this configuration, it is possible to prevent peeling of the reaction product film accumulated on the component parts, and hence to reduce occurrence of particles. The reason thereof will be described in the following paragraphs.
  • As described above as to the plasma etcher of the seventh embodiment, transfer of true electric charge is slight in general in a plasma etcher. Therefore, the abovementioned force F largely depends upon the second term [(½)E[0120] 2grad ε] and the third term [(½) grad ((E2m (d ε/dm))] in the above formula.
  • In the formula, the third term represents the force produced when there is an electric field at a place where the dielectric constant varies, together with the mass density while the dielectric suffers from a strain under the effect of the force. The magnitude of the force is dependent upon the difference in elastic modulus between parts in the chamber and the reaction products. If the difference in elastic modulus between the parts in the process chamber and the reaction products is small, the third term becomes smaller. The force acting on the reaction product film therefore becomes smaller, making it more difficult for the reaction product film to peel off or to be broken. [0121]
  • In this embodiment as well, as described above, there are available substantially the same advantages as those described in the seventh embodiment. [0122]
  • The embodiments of the present invention have been described above in detail with reference to the drawings. Detailed configurations are not however limited to these embodiments, but design variants within a range not deviating from the spirit of the invention are within the scope of the present invention. For example, a plasma etcher has been explained as an example of the plasma generator, but it is not limited to this, but the invention is applicable to any of the other plasma generators such as a plasma CVD apparatus or a plasma sputtering apparatus. A case of forming a DRAM wiring has been described as a manufacturing method of semiconductor devices. This is not however limitative, but the invention is applicable to any processing of a thin film comprising an insulating film or a conductive film, or a semiconductor substrate itself into a desired shape. Numerical values of radio-frequency power and values of impressing time shown in the embodiments are only examples, and may be appropriately changed in response to the purpose of uses. [0123]
  • As a variant of the sixth, seventh and eighth embodiments, a negative power supply may be connected to a liner detachably attached in the interior of the process chamber for the purpose of facilitating maintenance. Since this liner, like the process chamber, is made usually of aluminum, almost the same advantages as in the case of direct connection of the negative power supply to the process chamber. As a variant of the seventh and eighth embodiments, the component part used in the plasma etcher may be made of a material having a dielectric constant and an elastic modulus close to those of the reaction products accumulated in the process chamber, providing substantially the same advantages as those available in the seventh and eighth embodiments. Apart from single application of the individual embodiments, they may be implemented in the form of an appropriate combination. This synergetically provide the inhibiting effect of adhesion of particles produced in the process chamber to the semiconductor substrate. [0124]
  • According to the manufacturing method of semiconductor devices of the present invention, as described above, when conducting a plurality of processes while holding the semiconductor substrate in the process chamber, these processes are carried out through a plurality of successive steps by switching over the level of radio-frequency power in response to each processing. The radio-frequency power never becomes 0 during processing steps, thus achieving a very slight extent of dropping of the particles in the process chamber. [0125]
  • When carrying out a plurality of processes through a plurality of successive steps by use of plasma, therefore, it is possible to inhibit occurrence of particles adhering to the semiconductor substrate, or even if particles are produced, it is possible to inhibit the number of particles adhering to the semiconductor substrate, thus reducing the adverse effect of the particles. [0126]
  • While this invention has thus far been disclosed in conjunction with several embodiments thereof, it will be readily possible for those skilled in the art to put this invention into practice in various other manners. [0127]

Claims (14)

What is claimed is:
1. A method of manufacturing a semiconductor device, comprising the steps of:
using a plasma generator having a cathode which mounts a semiconductor substrate to be processed and an anode arranged oppositely to said cathode in a process chamber;
introducing a process gas into said process chamber;
generating plasma in said process chamber by impressing radio-frequency power onto said cathode;
applying a desired processing to said semiconductor substrate;
switching over a level of the radio-frequency power for each step in response to the processing in each step upon applying a plurality of processing steps to said semiconductor substrate while holding said semiconductor substrate; and
thereby carrying out said plurality of steps successively.
2. A method as claimed in claim 1, wherein:
the kind of said process gas is switched over in accordance with said plurality of processing steps.
3. A method as claimed in claim 2, wherein, fluoride is not used as said process gas.
4. A method as claimed in claim 2, wherein:
a gas selected from the group consisting of helium, neon, argon, krypton, radon, oxygen and nitrogen is used as said process gas.
5. A method as claimed in claim 1, wherein:
an auxiliary step having an intermediate value of power level between power levels of two adjacent steps is inserted between said two adjacent steps from among said plurality of steps.
6. A method as claimed in claim 1, wherein:
an auxiliary step of which the power level slowly changes between power levels of two adjacent steps is inserted between said two adjacent steps from among said plurality of steps.
7. A method as claimed in claim 1, wherein:
an auxiliary step of which the power level slowly increases between 0 and the power level of the first step is placed before said first step of said plurality of steps.
8. A method as claimed in claim 1, wherein:
an auxiliary step of which the power level increases over the power level of the final step is placed after said final step of said plurality of steps.
9. A semiconductor manufacturing apparatus, comprising:
a process chamber;
a cathode which mounts a semiconductor substrate to be processed in said process chamber;
an anode electrode which is arranged oppositely to the cathode in said process chamber, said semiconductor substrate being subjected to a desired processing by introducing a process gas into said process chamber, and plasma being generated in said process chamber through impression of a radio-frequency power onto said cathode; and
radio-frequency power control unit which impresses a radio-frequency power onto said cathode by switching over a level of the radio-frequency power in response to each of a plurality of processing steps while holding said semiconductor substrate in said process chamber.
10. An apparatus as claimed in claim 9, wherein:
a potential difference imparting unit is provided between said process chamber and a bulk plasma formed in said process chamber,
said potential difference imparting unit imparting a potential difference to such an extent as to prevent said particles present in said process chamber from adhering to said semiconductor substrate.
11. An apparatus as claimed in claim 10, wherein:
said potential difference imparting unit comprises a negative power supply which gives a negative potential to said process chamber.
12. A semiconductor manufacturing apparatus, comprising:
a process chamber;
a cathode which mounts a semiconductor substrate to be processed in said process chamber; and
an anode electrode which is arranged oppositely to the cathode in said process chamber, said semiconductor substrate being subjected to a desired processing by introducing a process gas into said process chamber, and plasma being generated in said process chamber through impression of a radio-frequency power onto said cathode;
at least one component part used in said semiconductor manufacturing apparatus being made of a material having a dielectric constant close to that of reaction products deposited in said process chamber.
13. A semiconductor manufacturing apparatus, comprising:
a process chamber;
a cathode which mounts a semiconductor substrate to be processed in said process chamber; and
an anode electrode which is arranged oppositely to the cathode in said process chamber, said semiconductor substrate being subjected to a desired processing by introducing a process gas into said process chamber, and plasma being generated in said process chamber through impression of a radio-frequency power onto said cathode;
at least one component part used in said semiconductor manufacturing apparatus being made of a material having an elastic modulus close to that of reaction products deposited in said process chamber.
14. A semiconductor manufacturing apparatus, comprising:
a process chamber;
a cathode which mounts a semiconductor substrate to be processed in said process chamber; and
an anode electrode which is arranged oppositely to the cathode in said process chamber, said semiconductor substrate being subjected to a desired processing by introducing a process gas into said process chamber, and plasma being generated in said process chamber through impression of a radio-frequency power onto said cathode;
at least one component parts used in said semiconductor manufacturing apparatus being made of a material having a dielectric constant and an elastic modulus close to those of reaction products accumulated in said process chamber.
US10/166,303 2001-06-11 2002-06-11 Method of manufacturing semiconductor devices and semiconductor manufacturing apparatus Expired - Fee Related US8202394B2 (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP176358/2001 2001-06-11
JP2001-176358 2001-06-11
JP2001176358 2001-06-11
JP2002117512A JP3555084B2 (en) 2001-06-11 2002-04-19 Plasma processing method for semiconductor substrate and plasma processing apparatus for semiconductor substrate
JP2002-117512 2002-04-19
JP117512/2002 2002-04-19

Publications (2)

Publication Number Publication Date
US20030003758A1 true US20030003758A1 (en) 2003-01-02
US8202394B2 US8202394B2 (en) 2012-06-19

Family

ID=26616729

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/166,303 Expired - Fee Related US8202394B2 (en) 2001-06-11 2002-06-11 Method of manufacturing semiconductor devices and semiconductor manufacturing apparatus

Country Status (4)

Country Link
US (1) US8202394B2 (en)
JP (1) JP3555084B2 (en)
KR (1) KR100461779B1 (en)
TW (1) TWI272674B (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050087136A1 (en) * 2003-10-08 2005-04-28 Tokyo Electron Limited Particle sticking prevention apparatus and plasma processing apparatus
US20070295356A1 (en) * 2006-06-22 2007-12-27 Paul Alejon Fontejon In-situ method to reduce particle contamination in a vacuum plasma processing tool
US20080041306A1 (en) * 2006-06-29 2008-02-21 Nec Electronics Corporation Object-processing apparatus controlling production of particles in electric field or magnetic field
US20100117008A1 (en) * 2008-11-10 2010-05-13 Tokyo Electron Limited Particle attachment preventing method and substrate processing apparatus
US20180294139A1 (en) * 2017-04-07 2018-10-11 Applied Materials, Inc. Gas phase particle reduction in pecvd chamber

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3555084B2 (en) * 2001-06-11 2004-08-18 Necエレクトロニクス株式会社 Plasma processing method for semiconductor substrate and plasma processing apparatus for semiconductor substrate
JP4418193B2 (en) 2003-08-22 2010-02-17 東京エレクトロン株式会社 Particle removal apparatus, particle removal method, and plasma processing apparatus
JP5677482B2 (en) * 2013-02-28 2015-02-25 東京エレクトロン株式会社 Particle adhesion suppressing method and substrate processing apparatus
US9478408B2 (en) 2014-06-06 2016-10-25 Lam Research Corporation Systems and methods for removing particles from a substrate processing chamber using RF plasma cycling and purging
US10081869B2 (en) 2014-06-10 2018-09-25 Lam Research Corporation Defect control in RF plasma substrate processing systems using DC bias voltage during movement of substrates
US10047438B2 (en) 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas
JP6357436B2 (en) * 2014-07-25 2018-07-11 株式会社日立ハイテクノロジーズ Plasma processing equipment
KR102092626B1 (en) 2018-05-09 2020-03-24 김건영 System furniture structure
KR102513043B1 (en) 2021-08-26 2023-03-23 김건영 Assembly Type System Furniture Frame

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5210466A (en) * 1989-10-03 1993-05-11 Applied Materials, Inc. VHF/UHF reactor system
US5531862A (en) * 1993-07-19 1996-07-02 Hitachi, Ltd. Method of and apparatus for removing foreign particles
US5728278A (en) * 1990-11-29 1998-03-17 Canon Kabushiki Kaisha/Applied Materials Japan Inc. Plasma processing apparatus
US6167835B1 (en) * 1997-03-27 2001-01-02 Mitsubishi Denki Kabushiki Kaisha Two chamber plasma processing apparatus
US6184489B1 (en) * 1998-04-13 2001-02-06 Nec Corporation Particle-removing apparatus for a semiconductor device manufacturing apparatus and method of removing particles
US6192828B1 (en) * 1998-05-08 2001-02-27 Nissin Electric Co., Ltd. Thin film forming device for forming silicon thin film having crystallinity
US6196155B1 (en) * 1998-04-15 2001-03-06 Hitachi, Ltd. Plasma processing apparatus and method of cleaning the apparatus

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63176475A (en) * 1987-01-16 1988-07-20 Nec Corp Method for cleaning cvd device
JPH05217964A (en) 1992-02-04 1993-08-27 Sony Corp Dry-etching device and method
JPH06318552A (en) 1993-05-10 1994-11-15 Nissin Electric Co Ltd Plasma processing and its apparatus
JPH07249614A (en) 1994-03-10 1995-09-26 Kokusai Electric Co Ltd Plasma etching method and its equipment
US5573597A (en) 1995-06-07 1996-11-12 Sony Corporation Plasma processing system with reduced particle contamination
JP3571129B2 (en) * 1995-11-10 2004-09-29 株式会社半導体エネルギー研究所 Plasma CVD method and method for manufacturing thin film transistor
JPH09312278A (en) 1996-05-21 1997-12-02 Hitachi Ltd Manufacture of semiconductor and device therefor
JPH11145112A (en) 1997-11-07 1999-05-28 Nec Corp Patterning method
JPH11176806A (en) 1997-11-26 1999-07-02 Shijie Xianjin Jiti Electric Co Ltd Plasma purge method for plasma process particle control
US6039836A (en) * 1997-12-19 2000-03-21 Lam Research Corporation Focus rings
JPH11293468A (en) * 1998-04-07 1999-10-26 Nissin Electric Co Ltd Plasma cvd device and cleaning method therefor
JP3301408B2 (en) * 1998-04-13 2002-07-15 日本電気株式会社 Particle removing apparatus and method of removing particles in semiconductor manufacturing apparatus
JPH11297678A (en) 1998-04-14 1999-10-29 Nippon Steel Corp Manufacturing semiconductor device
WO2000062328A1 (en) * 1999-04-14 2000-10-19 Surface Technology Systems Limited Method and apparatus for stabilising a plasma
DE19919832A1 (en) 1999-04-30 2000-11-09 Bosch Gmbh Robert Process for anisotropic plasma etching of semiconductors
US6566272B2 (en) * 1999-07-23 2003-05-20 Applied Materials Inc. Method for providing pulsed plasma during a portion of a semiconductor wafer process
JP3496760B2 (en) 2001-03-08 2004-02-16 松下電器産業株式会社 Method for manufacturing semiconductor device
JP3555084B2 (en) * 2001-06-11 2004-08-18 Necエレクトロニクス株式会社 Plasma processing method for semiconductor substrate and plasma processing apparatus for semiconductor substrate

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5210466A (en) * 1989-10-03 1993-05-11 Applied Materials, Inc. VHF/UHF reactor system
US5728278A (en) * 1990-11-29 1998-03-17 Canon Kabushiki Kaisha/Applied Materials Japan Inc. Plasma processing apparatus
US5531862A (en) * 1993-07-19 1996-07-02 Hitachi, Ltd. Method of and apparatus for removing foreign particles
US6167835B1 (en) * 1997-03-27 2001-01-02 Mitsubishi Denki Kabushiki Kaisha Two chamber plasma processing apparatus
US6184489B1 (en) * 1998-04-13 2001-02-06 Nec Corporation Particle-removing apparatus for a semiconductor device manufacturing apparatus and method of removing particles
US6196155B1 (en) * 1998-04-15 2001-03-06 Hitachi, Ltd. Plasma processing apparatus and method of cleaning the apparatus
US6192828B1 (en) * 1998-05-08 2001-02-27 Nissin Electric Co., Ltd. Thin film forming device for forming silicon thin film having crystallinity

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050087136A1 (en) * 2003-10-08 2005-04-28 Tokyo Electron Limited Particle sticking prevention apparatus and plasma processing apparatus
US20070295356A1 (en) * 2006-06-22 2007-12-27 Paul Alejon Fontejon In-situ method to reduce particle contamination in a vacuum plasma processing tool
US7799138B2 (en) * 2006-06-22 2010-09-21 Hitachi Global Storage Technologies Netherlands In-situ method to reduce particle contamination in a vacuum plasma processing tool
US20080041306A1 (en) * 2006-06-29 2008-02-21 Nec Electronics Corporation Object-processing apparatus controlling production of particles in electric field or magnetic field
US8051799B2 (en) * 2006-06-29 2011-11-08 Renesas Electronics Corporation Object-processing apparatus controlling production of particles in electric field or magnetic field
US20100117008A1 (en) * 2008-11-10 2010-05-13 Tokyo Electron Limited Particle attachment preventing method and substrate processing apparatus
US8134134B2 (en) * 2008-11-10 2012-03-13 Tokyo Electron Limited Particle attachment preventing method and substrate processing apparatus
US20180294139A1 (en) * 2017-04-07 2018-10-11 Applied Materials, Inc. Gas phase particle reduction in pecvd chamber

Also Published As

Publication number Publication date
US8202394B2 (en) 2012-06-19
KR20020095127A (en) 2002-12-20
KR100461779B1 (en) 2004-12-14
JP2003068708A (en) 2003-03-07
JP3555084B2 (en) 2004-08-18
TWI272674B (en) 2007-02-01

Similar Documents

Publication Publication Date Title
US8202394B2 (en) Method of manufacturing semiconductor devices and semiconductor manufacturing apparatus
US8323414B2 (en) Particle removal apparatus and method and plasma processing apparatus
US8287750B2 (en) Plasma processing method and plasma processing apparatus
JP3942672B2 (en) Substrate processing method and substrate processing apparatus
CN103959447A (en) Plasma processing apparatus and plasma processing method
JP4838464B2 (en) Processing method
JP2007027496A (en) Plasma etching device and fine particle removal method
KR100782621B1 (en) Plasma processing method and plasma processing device
KR20020086642A (en) Plasma deposition method and system
US7183219B1 (en) Method of plasma processing
JP2003155569A (en) Plasma cvd system and cleaning method therefor
EP0995213B1 (en) Gate electrode formation method
JPH11330057A (en) Method for etching oxide film
JP2006083459A (en) Sputtering system and sputtering method
JP4130278B2 (en) Manufacturing method of semiconductor device
JP2001230242A (en) Plasma cvd device and its gas supply nozzle
JP2000178741A (en) Plasma cvd device, film formation thereby and cleaning controlling method therein
JP2885150B2 (en) Dry cleaning method for dry etching equipment
JP2002252205A (en) Particle removal apparatus apparatus and particle removal method for semiconductor manufacturing equipment
JP2002309370A (en) Sputtering apparatus
JP2002217168A (en) Method of plasma treatment
JPH08203828A (en) Method and equipment for sputtering
JPH0547713A (en) Apparatus for plasma processing
JP2002235173A (en) Plasma cvd apparatus and method for cleaning deposited film
JPH10177993A (en) Plasma processing device of parallel plate narrow electrode-type

Legal Events

Date Code Title Description
AS Assignment

Owner name: NEC CORPORATION, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:MORIYA, TSUYOSHI;ITO, NATSUKO;UESUGI, FUMIHIKO;REEL/FRAME:013278/0937

Effective date: 20020830

AS Assignment

Owner name: NEC ELECTRONICS CORPORATION, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:NEC CORPORATION;REEL/FRAME:013904/0971

Effective date: 20021101

AS Assignment

Owner name: RENESAS ELECTRONICS CORPORATION, JAPAN

Free format text: CHANGE OF NAME;ASSIGNOR:NEC ELECTRONICS CORPORATION;REEL/FRAME:025486/0561

Effective date: 20100401

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20160619