US20030017695A1 - Reliability barrier integration for Cu application - Google Patents

Reliability barrier integration for Cu application Download PDF

Info

Publication number
US20030017695A1
US20030017695A1 US10/245,119 US24511902A US2003017695A1 US 20030017695 A1 US20030017695 A1 US 20030017695A1 US 24511902 A US24511902 A US 24511902A US 2003017695 A1 US2003017695 A1 US 2003017695A1
Authority
US
United States
Prior art keywords
barrier
layer
hole
depositing
copper
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/245,119
Inventor
Fusen Chen
Liang-Yuh Chen
Roderick Mosely
Moshe Eizenberg
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US10/245,119 priority Critical patent/US20030017695A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MOSELY, RODERICK CRAIG, EIZENBERG, MOSHE, CHEN, FUSEN, CHEN, LIANG
Publication of US20030017695A1 publication Critical patent/US20030017695A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates to a deposition sequence and related hardware for manufacturing a plug and line typical of a dual damascene structure utilizing a thin conformal barrier layer formed on the via walls.
  • Plugs have presented an increasingly difficult problem as integrated circuits are formed with an increasing density of circuit elements because the feature sizes have continued to shrink.
  • the thickness of the oxide layer seems to be constrained to the neighborhood of 1 ⁇ m, while the diameter of the plug is being reduced from the neighborhood of 0.25 ⁇ m or 0.35 ⁇ m to 0.18 ⁇ m and below.
  • the aspect ratios the ratio of the depth to the minimum lateral dimension of the plugs are being pushed to 5:1 and above.
  • Copper is a material which is becoming more important as a result. Copper has a resistivity of 1.7 ⁇ .cm. Copper has a small RC time constant thereby increasing the speed of a device formed thereof. In addition, copper exhibits improved reliability over aluminum in that copper has excellent electromigration resistance and can drive more current in the lines.
  • barrier layers become increasingly important to prevent copper from diffusing into the dielectric and compromising the integrity of the device.
  • Barrier layers for copper applications are available for inter-dielectric applications.
  • the use of a thin silicon nitride (SiN) layer on the interlayer dielectric will effectively inhibit interlayer diffusion.
  • SiN silicon nitride
  • Within the same dielectric layer it is difficult to provide an effective barrier to prevent leakage between lines.
  • Several technologies are presently under investigation which add a barrier liner to the via sidewall separating the copper metal from the interlayer dielectric.
  • PVD physical vapor deposition
  • the barrier thickness will depend directly upon the structure architecture with the barrier becoming thinner on the sidewall near the structure bottom. Under overhangs on reentrant structures the barrier thickness, and therefore the barrier integrity, will be compromised.
  • CVD deposited films are by their nature conformal in reentrant structures. Further, CVD deposited films maintain a high degree of conformity to the structure's lower interface.
  • Silicon nitride (Si x N y ) and titanium nitride (TiN) prepared by decomposition of an organic material (TDMAT) are common semiconductor manufacturing materials which display the described conformal performance. Both materials are perceived as being good barriers to Cu interdiffusion, but are considered unattractive due to their high resistivity. The high resistive nature of the material would detrimentally effect the via resistance performance which must be maintained as low as possible to maximize logic device performance. Ideally, good barrier would line the sidewalls of the plug shown in FIG. 1.
  • the present invention generally provides a process sequence and related hardware for filling a hole on a substrate with copper.
  • the sequence comprises first forming a reliable barrier layer in the hole to prevent diffusion of the copper into the dielectric layer through which the hole is formed.
  • One embodiment of the present invention comprises forming a generally conformal barrier layer over a patterned dielectric, etching the bottom of the hole, depositing a second barrier, and then filling the hole with copper.
  • An alternative sequence comprises depositing a first barrier layer over a blanket dielectric layer, forming a hole through both the barrier layer and the dielectric layer, depositing a generally conformal second barrier layer in the hole, removing the barrier layer from the bottom of the hole, and selectively filling the hole with copper.
  • FIG. 1 is a prior art drawing showing a plug filled with copper having a good barrier layer formed on the sidewalls of the plug;
  • FIGS. 2 - 5 show a partial cross-sectional view of a substrate having one process sequence of the present invention performed thereon;
  • FIG. 6 is a flow diagram of one process sequence of the present invention.
  • FIGS. 7 - 12 show a partial cross-sectional view of a substrate having another process sequence of the present invention performed thereon;
  • FIG. 13 is a flow diagram of another process sequence of the present invention.
  • FIG. 14 is a schematic of a multichamber processing apparatus
  • FIG. 15 is a cross-sectional view of a high density PVD process chamber
  • FIG. 16 is a cross-sectional view of a high density CVD process chamber.
  • FIG. 17 is a cross-sectional flow diagram of a computer controlled processing apparatus.
  • the present invention provides a process sequence and related hardware to form a copper (Cu) plug having a reliable barrier formed on the sidewall of the plug to prevent Cu diffusion into the dielectric layer through which the plug is formed.
  • a sequence and related hardware are provided to form a copper plug by first depositing a generally conformal barrier layer, such as Si x N y , over a substrate having a plug formed in a dielectric layer deposited thereon. The substrate is then subjected to a pre-clean or other etch process to remove the barrier layer formed at the bottom of the plug at the interface with the underlying layer, typically a metal layer or a silicon or polisilicon layer.
  • a second barrier layer such as a Ta, TaN, TiSiN or TaSiN layer, is then deposited over the first barrier layer to provide good bottom coverage in the plug and also to cover the corners of the plug where the dielectric layer may have been exposed by the etching process.
  • Copper is then deposited using a high density physical vapor deposition process followed by heated Cu planarization and high pressure fill, chemical vapor deposition or a combination of chemical vapor deposition followed by physical vapor deposition.
  • a barrier layer such as amorphous Si x N y
  • the substrate is then patterned to open a plug through both the barrier layer and the dielectric layer to expose the underlying layer.
  • a conformal barrier layer is then formed over the patterned substrate surface including the sidewalls of the plug.
  • the substrate is then subjected to a pre-clean or other etch process to remove the barrier layer formed on the bottom of the plug at the interface with the underlying layer.
  • the underlying layer is a nucleating material, such as Cu or other metal
  • Cu can be grown selectively within the plug using chemical vapor deposition techniques.
  • the IMP Cu process and pressure fill described above can be used to fill the plug.
  • FIGS. 2 - 6 illustrates and describe one process sequence of the present invention and will be described below.
  • FIG. 2 is a partial cross sectional view of a substrate having a plug 20 formed thereon through a dielectric layer 12 to an underlying metal layer 14 .
  • a conformal Si x N y barrier layer 16 is formed over the patterned surface by CVD techniques to form a generally conformal barrier layer on the sidewalls 18 and bottom of the plug 20 .
  • the substrate is exposed to a pre-clean or other etching process to remove the portion of the Si x N y layer 22 formed on the bottom of the plug 20 at the interface with the underlying metal layer 14 as shown in FIG. 3.
  • a second barrier layer 24 such as Ta, TaN, TiSiN and/or TaSiN is sputter deposited using a high density plasma process, such as an ion metal plasma process, (commonly referred to by applicant as an IMPTM process) onto the Si x N y layer and exposed dielectric layer 12 as shown in FIG. 4. Copper is then deposited using an IMP process followed by a warm Cu planarization and high pressure fill as shown in FIG.
  • FIGS. 7 - 12 illustrate and describe another process sequence of the present invention and will be described below.
  • FIG. 7 shows a blanket dielectric layer 12 formed on a substrate having a barrier layer 15 , such as an Si x N y layer, formed thereon.
  • a barrier layer 16 such as a Si x N y layer, is first deposited on the dielectric layer 12 .
  • the substrate is then patterned and etched to form a plug 20 through the barrier layers 15 , 16 and the dielectric layer 12 as shown in FIG. 8.
  • a second conformal barrier layer 30 Si x N y
  • FIG. 13 is a flow diagram which summarizes the process sequence described above.
  • FIG. 14 A schematic of a multichamber processing apparatus 35 suitable for performing the CVD processes of the present invention is illustrated in FIG. 14.
  • the apparatus is an “ENDURA” system commercially available from Applied Materials, Santa Clara, Calif.
  • the particular embodiment of the apparatus 35 shown herein is suitable for processing planar substrates, such as semiconductor substrates, and is provided to illustrate the invention, and should not be used to limit the scope of the invention.
  • the apparatus 35 typically comprises a cluster of interconnected process chambers, for example, CVD and PVD deposition and rapid thermal annealing chambers.
  • a high-density plasma is defined in one sense as one substantially filling the entire volume it is in and having an average ion density of greater than 10 11 cm ⁇ 3 in the principal part of the plasma.
  • the conventional plasma-enhanced PVD reactor produces a plasma of significantly lower ion density.
  • high-density plasmas are available in a number of different types of reactors, they are preferably obtained in inductively coupled plasma reactors, such as the type shown in schematical cross-section in FIG. 15. For reasons to be described shortly, this is referred to an ionized metal plasma (IMP) reactor.
  • IMP ionized metal plasma
  • a vacuum chamber 40 is defined principally by a chamber wall 42 and a target backing plate 44 .
  • a PVD target 46 is attached to the target backing plate 44 and has a composition comprising at least part of the material being sputter deposited.
  • the target 46 is made of tantalum.
  • a substrate 48 being sputter deposited with a layer of a PVD film is supported on a pedestal electrode 50 in opposition to the target 46 .
  • Processing gas is supplied to the chamber 40 from gas sources 52 , 54 as metered by respective mass flow controllers 56 , 58 , and a vacuum pump system 60 maintains the chamber 40 at the desired low pressure.
  • An inductive coil 62 is wrapped around the space between the target 46 and the pedestal 50 .
  • Three independent power supplies are used in this type of inductively coupled sputtering chamber.
  • a DC power supply 64 negatively biases the target 46 with respect to the pedestal 50 .
  • An RF power source 66 supplies electrical power in the megahertz range to the inductive coil 62 .
  • the DC voltage applied between the target 46 and the substrate 48 causes the processing gas supplied to the chamber to discharge and form a plasma.
  • the RF coil power inductively coupled into the chamber 40 by the coil 62 increases the density of the plasma, that is, increases the density of ionized particles.
  • Magnets 58 disposed behind the target 46 significantly increase the density of the plasma adjacent to the target 46 in order to increase the sputtering efficiency.
  • Another RF power source 70 applies electrical power in the frequency range of 100 KHz to a few megahertz to the pedestal 50 in order to bias it with respect to the plasma.
  • Argon from the gas source 54 is the principal sputtering gas. It ionizes in the plasma, and its positively charged ions are attracted to the negatively biased target 46 with enough energy that the ions sputter particles from the target 46 , that is, target atoms or multi-atom particles are dislodged from the target. The sputtered particles travel primarily along ballistic paths, and some of them strike the substrate 48 to deposit upon the substrate as a film of the target material. If the target 46 is tantalum and assuming no further reactions, a tantalum film is thus sputter deposited, or in the case of an aluminum target, an aluminum film is formed.
  • the apparatus also comprises a CVD deposition chamber 40 (shown in FIG. 16) having surrounding sidewalls 45 and a ceiling 50 .
  • the chamber 40 comprises a process gas distributor 55 for delivering process gases into the chamber. Mass flow controllers and air operated valves are used to control the flow of process gases into the deposition chamber 40 .
  • the gas distributor 55 is typically mounted above the substrate (as shown), or peripherally about the substrate (not shown).
  • a support 65 is provided for supporting the substrate in the deposition chamber 40 .
  • the substrate is introduced into the chamber 40 through a substrate loading inlet in the sidewall 45 of the chamber 40 and placed on the support 65 .
  • the support 65 can be lifted or lowered by support lift bellows 70 so that the gap between the substrate and gas distributor 55 can be adjusted.
  • a lift finger assembly 75 comprising lift fingers that are inserted through holes in the support 65 can be used to lift and lower the substrate onto the support to facilitate transport of the substrate into and out of the chamber 40 .
  • a thermal heater 80 is then provided in the chamber to rapidly heat the substrate. Rapid heating and cooling of the substrate is preferred to increase processing throughput, and to allow rapid cycling between successive processes operated at different temperatures within the same chamber 65 .
  • the temperature of the substrate is generally estimated from the temperature of the support 65 .
  • the substrate is processed in a process zone 95 above a horizontal perforated barrier plate 105 .
  • the barrier plate 105 has exhaust holes 110 which are in fluid communication with an exhaust system 115 for exhausting spent process gases from the chamber 40 .
  • a typical exhaust system 115 comprises a rotary vane vacuum pump (not shown) capable of achieving a minimum vacuum of about 10 mTorr, and optionally a scrubber system for scrubbing byproduct gases.
  • the pressure in the chamber 40 is sensed at the side of the substrate and is controlled by adjusting a throttle valve in the exhaust system 115 .
  • a plasma generator 116 is provided for generating a plasma in the process zone 95 of the chamber 40 for plasma enhanced chemical vapor deposition processes.
  • the plasma generator 116 can generate a plasma (i) inductively by applying an RF current to an inductor coil encircling the deposition chamber (not shown), (ii) capacitively by applying an RF current to process electrodes in the chamber, or (iii) both inductively and capacitively while the chamber wall or other electrode is grounded.
  • a DC or RF current at a power level of from about 750 Watts to about 2000 Watts can be applied to an inductor coil (not shown) to inductively couple energy into the deposition chamber to generate a plasma in the process zone 95 .
  • the frequency of the RF current is typically from about 400 KHz to about 16 MHZ, and more typically about 13.56 MHZ
  • a gas containment or plasma focus ring (not shown), typically made of aluminum oxide or quartz, can be used to contain the flow of process gas or plasma around the substrate.
  • a pre-clean chamber which can be used to remove the barrier layer from the bottom of the plug is available from Applied Materials, Inc. of Santa Clara, Calif. Additionally, other etch chambers known in the field could be used to remove the barrier layer as described.
  • the process can be implemented using a computer program product 141 that runs on a conventional computer system comprising a central processor unit (CPU) interconnected to a memory system with peripheral control components, such as for example a 68400 microprocessor, commercially available from Synenergy Microsystems, California.
  • the computer program code can be written in any conventional computer readable programming language such as for example 68000 assembly language, C, C++, or Pascal. Suitable program code is entered into a single file, or multiple files, using a conventional text editor, and stored or embodied in a computer usable medium, such as a memory system of the computer. If the entered code text is in a high level language, the code is compiled, and the resultant compiler code is then linked with an object code of precompiled windows library routines. To execute the linked compiled object code, the system user invokes the object code, causing the computer system to load the code in memory, from which the CPU reads and executes the code to perform the tasks identified in the program.
  • FIG. 17 shows an illustrative block diagram of the hierarchical control structure of the computer program 141 .
  • a user enters a process set and process chamber number into a process selector subroutine 142 .
  • the process sets are predetermined sets of process parameters necessary to carry out specified processes in a specific process chamber, and are identified by predefined set numbers.
  • the process set the desired process chamber, and (ii) the desired set of process parameters needed to operate the process chamber for performing a particular process.
  • the process parameters relate to process conditions such as, for example, process gas composition and flow rates, temperature, pressure, plasma conditions such as RF and DC bias power levels and magnetic field power levels, cooling gas pressure, and chamber wall temperature.
  • a process sequencer subroutine 143 comprises program code for accepting the identified process chamber and set of process parameters from the process selector subroutine 142 , and for controlling operation of the various process chambers. Multiple users can enter process set numbers and process chamber numbers, or a user can enter multiple process set numbers and process chamber numbers, so the sequencer subroutine 143 operates to schedule the selected processes in the desired sequence.
  • the sequencer subroutine 143 includes a program code to perform the steps of (i) monitoring the operation of the process chambers to determine if the chambers are being used, (ii) determining what processes are being carried out in the chambers being used, and (iii) executing the desired process based on availability of a process chamber and type of process to be carried out.
  • sequencer subroutine 143 can be designed to take into consideration the present condition of the process chamber being used in comparison with the desired process conditions for a selected process, or the “age” of each particular user entered request, or any other relevant factor a system programmer desires to include for determining scheduling priorities.
  • the sequencer subroutine 143 determines which process chamber and process set combination is going to be executed next, the sequencer subroutine 143 causes execution of the process set by passing the particular process set parameters to the chamber manager subroutines 144 a - c which control multiple processing tasks in different process chambers according to the process set determined by the sequencer subroutine 143 .
  • the chamber manager subroutine 144 a comprises program code for controlling CVD process operations, within the described process chamber 40 .
  • the chamber manager subroutine 144 also controls execution of various chamber component subroutines or program code modules, which control operation of the chamber components necessary to carry out the selected process set.
  • chamber component subroutines are substrate positioning subroutine 145 , process gas control subroutine 146 , pressure control subroutine 147 , heater control subroutine 148 , and plasma control subroutine 149 .
  • These different subroutines function as seeding program code means for (i) heating the substrate to temperatures Ts within a range of temperatures A Ts, and (ii) introducing a reaction gases into the process zone to deposit a substantially continuous insulating layer on the field portions of the substrate; and deposition growth program code means for (i) maintaining the substrate at a deposition temperatures Td within a range of temperature A Td, and (ii) introducing deposition gas into the process zone to form an epitaxial growth layer that is grown in the contact holes or vias.
  • Those having ordinary skill in the art would readily recognize that other chamber control subroutines can be included depending on what processes are desired to be performed in the process chamber 40 .
  • the chamber manager subroutine 144 a selectively schedules or calls the process component subroutines in accordance within the particular process set being executed.
  • the chamber manager subroutine 144 a schedules the process component subroutines similarly to how the sequencer subroutine 143 schedules which process chamber 40 and process set is to be executed next.
  • the chamber manager subroutine 144 a includes steps of monitoring the various chamber components, determining which components need to be operated based on the process parameters for the process set to be executed, and causing execution of a chamber component subroutine responsive to the monitoring and determining steps.
  • a process according to the present invention was performed on a wafer having a 0.25 ⁇ via with about a 4:1 aspect ratio.
  • the patterned wafer was first introduced into a CVD chamber where about 50 ⁇ to about 100 ⁇ of Si x N y was deposited on the wafer using CVD techniques.
  • the wafer was then moved into a Pre-clean II chamber where the wafer was subjected to an argon/hydrogen etching environment for about 20 seconds. RF/DC powers of about 300/300W were used.
  • the wafer was moved into an IMP chamber where about 400 ⁇ of TaN was deposited on the wafer.
  • the wafer was introduced into a CVD chamber where about 400 ⁇ of CVD Cu was deposited on the wafer as a wetting layer. Then, Cu was sputtered onto the wafer to complete the fill of the via.
  • a another process sequence of the present invention was performed on a wafer having a metal 1 feature, a barrier layer and a dielectric layer formed thereon.
  • the wafer was first patterned and etched to form a via connecting the metal 1 feature.
  • the wafer was introduced into a CVD chamber where about 50 ⁇ to about 100 ⁇ of Si x N y was deposited on the wafer using CVD techniques.
  • the wafer was then moved into a Pre-clean II chamber where the wafer was subjected to an argon/hydrogen etching environment for about 20 seconds. RF/DC powers of about 300/300W were used.
  • the wafer was introduced into a CVD chamber where the via was selectively filled with Cu.

Abstract

The present invention provides a process sequence and related hardware for filling a hole with copper. The sequence comprises first forming a reliable barrier layer in the hole to prevent diffusion of the copper into the dielectric layer through which the hole is formed. One sequence comprises forming a generally conformal barrier layer over a patterned dielectric, etching the bottom of the hole, depositing a second barrier, and then filling the hole with copper. An alternative sequence comprises depositing a first barrier layer over a blanket dielectric layer, forming a hole through both the barrier layer and the dielectric layer, depositing a generally conformal second barrier layer in the hole, removing the barrier layer from the bottom of the hole, and selectively filling the hole with copper.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of co-pending U.S. patent application Ser. No. 08/856,116, filed May 14, 1997, which is herein incorporated by reference.[0001]
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0002]
  • The present invention relates to a deposition sequence and related hardware for manufacturing a plug and line typical of a dual damascene structure utilizing a thin conformal barrier layer formed on the via walls. [0003]
  • 2. Description of the Related Art [0004]
  • Modern semiconductor integrated circuits usually involve multiple layers separated by dielectric (insulating) layers, such as silicon dioxide or silica, often referred to simply as an oxide layer, although other materials are being considered for use as the dielectric. The layers are electrically interconnected by holes penetrating the intervening oxide layer which contact some underlying conductive feature. After the holes are etched, they are filled with a metal, typically aluminum (however the trend now is to move towards copper) to electrically connect the bottom layer with the top layer. The generic structure is referred to as a plug. If the plug is connected to silicon or polysilicon, the plug is a contact. If the plug is connected to a metal, the plug is a via. [0005]
  • Plugs have presented an increasingly difficult problem as integrated circuits are formed with an increasing density of circuit elements because the feature sizes have continued to shrink. For logic applications, the thickness of the oxide layer seems to be constrained to the neighborhood of 1 μm, while the diameter of the plug is being reduced from the neighborhood of 0.25 μm or 0.35 μm to 0.18 μm and below. As a result, the aspect ratios (the ratio of the depth to the minimum lateral dimension) of the plugs are being pushed to 5:1 and above. [0006]
  • As sizes continue to decrease, the characteristics of the material forming the plugs become increasingly important. The smaller the plug, the less resistive the material forming the plug should be for speed performance. Copper is a material which is becoming more important as a result. Copper has a resistivity of 1.7 μΩ.cm. Copper has a small RC time constant thereby increasing the speed of a device formed thereof. In addition, copper exhibits improved reliability over aluminum in that copper has excellent electromigration resistance and can drive more current in the lines. [0007]
  • One problem with the use of copper is that copper diffuses into silicon dioxide, silicon and other dielectric materials. Therefore, barrier layers become increasingly important to prevent copper from diffusing into the dielectric and compromising the integrity of the device. Barrier layers for copper applications are available for inter-dielectric applications. The use of a thin silicon nitride (SiN) layer on the interlayer dielectric will effectively inhibit interlayer diffusion. Within the same dielectric layer it is difficult to provide an effective barrier to prevent leakage between lines. Several technologies are presently under investigation which add a barrier liner to the via sidewall separating the copper metal from the interlayer dielectric. Common physical vapor deposition (PVD) technologies are limited in high aspect and re-entrant structures due to the directional nature of their deposition. The barrier thickness will depend directly upon the structure architecture with the barrier becoming thinner on the sidewall near the structure bottom. Under overhangs on reentrant structures the barrier thickness, and therefore the barrier integrity, will be compromised. [0008]
  • In contrast, CVD deposited films are by their nature conformal in reentrant structures. Further, CVD deposited films maintain a high degree of conformity to the structure's lower interface. Silicon nitride (Si[0009] xNy) and titanium nitride (TiN) prepared by decomposition of an organic material (TDMAT) are common semiconductor manufacturing materials which display the described conformal performance. Both materials are perceived as being good barriers to Cu interdiffusion, but are considered unattractive due to their high resistivity. The high resistive nature of the material would detrimentally effect the via resistance performance which must be maintained as low as possible to maximize logic device performance. Ideally, good barrier would line the sidewalls of the plug shown in FIG. 1.
  • Therefore, there is a need for a process sequence and related hardware which provides a good barrier layer on the via sidewall, but which does not negatively affect the resistance of the plug. [0010]
  • SUMMARY OF THE INVENTION
  • The present invention generally provides a process sequence and related hardware for filling a hole on a substrate with copper. The sequence comprises first forming a reliable barrier layer in the hole to prevent diffusion of the copper into the dielectric layer through which the hole is formed. [0011]
  • One embodiment of the present invention comprises forming a generally conformal barrier layer over a patterned dielectric, etching the bottom of the hole, depositing a second barrier, and then filling the hole with copper. An alternative sequence comprises depositing a first barrier layer over a blanket dielectric layer, forming a hole through both the barrier layer and the dielectric layer, depositing a generally conformal second barrier layer in the hole, removing the barrier layer from the bottom of the hole, and selectively filling the hole with copper.[0012]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features, advantages and objects of the present invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings. [0013]
  • It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments. [0014]
  • FIG. 1 is a prior art drawing showing a plug filled with copper having a good barrier layer formed on the sidewalls of the plug; [0015]
  • FIGS. [0016] 2-5 show a partial cross-sectional view of a substrate having one process sequence of the present invention performed thereon;
  • FIG. 6 is a flow diagram of one process sequence of the present invention; [0017]
  • FIGS. [0018] 7-12 show a partial cross-sectional view of a substrate having another process sequence of the present invention performed thereon;
  • FIG. 13 is a flow diagram of another process sequence of the present invention; [0019]
  • FIG. 14 is a schematic of a multichamber processing apparatus; [0020]
  • FIG. 15 is a cross-sectional view of a high density PVD process chamber; [0021]
  • FIG. 16 is a cross-sectional view of a high density CVD process chamber; and [0022]
  • FIG. 17 is a cross-sectional flow diagram of a computer controlled processing apparatus.[0023]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • The present invention provides a process sequence and related hardware to form a copper (Cu) plug having a reliable barrier formed on the sidewall of the plug to prevent Cu diffusion into the dielectric layer through which the plug is formed. In one aspect of the invention, a sequence and related hardware are provided to form a copper plug by first depositing a generally conformal barrier layer, such as Si[0024] xNy, over a substrate having a plug formed in a dielectric layer deposited thereon. The substrate is then subjected to a pre-clean or other etch process to remove the barrier layer formed at the bottom of the plug at the interface with the underlying layer, typically a metal layer or a silicon or polisilicon layer. A second barrier layer, such as a Ta, TaN, TiSiN or TaSiN layer, is then deposited over the first barrier layer to provide good bottom coverage in the plug and also to cover the corners of the plug where the dielectric layer may have been exposed by the etching process. Copper is then deposited using a high density physical vapor deposition process followed by heated Cu planarization and high pressure fill, chemical vapor deposition or a combination of chemical vapor deposition followed by physical vapor deposition.
  • In another aspect of the invention, a barrier layer, such as amorphous Si[0025] xNy, is deposited on a substrate having a blanket dielectric layer, such as SiO2, formed thereon. The substrate is then patterned to open a plug through both the barrier layer and the dielectric layer to expose the underlying layer. A conformal barrier layer is then formed over the patterned substrate surface including the sidewalls of the plug. The substrate is then subjected to a pre-clean or other etch process to remove the barrier layer formed on the bottom of the plug at the interface with the underlying layer. Where the underlying layer is a nucleating material, such as Cu or other metal, Cu can be grown selectively within the plug using chemical vapor deposition techniques. Alternatively, the IMP Cu process and pressure fill described above can be used to fill the plug.
  • FIGS. [0026] 2-6 illustrates and describe one process sequence of the present invention and will be described below. FIG. 2 is a partial cross sectional view of a substrate having a plug 20 formed thereon through a dielectric layer 12 to an underlying metal layer 14. A conformal SixNy barrier layer 16 is formed over the patterned surface by CVD techniques to form a generally conformal barrier layer on the sidewalls 18 and bottom of the plug 20. Next, the substrate is exposed to a pre-clean or other etching process to remove the portion of the SixNy layer 22 formed on the bottom of the plug 20 at the interface with the underlying metal layer 14 as shown in FIG. 3. Typically, the etching process also removes material at the upper corners of the plug 20 which may expose a portion of the dielectric layer 12. In addition, the deposition on the sidewalls of the plugs tends to be thinner at the bottom than at the top. Accordingly, a second barrier layer 24, such as Ta, TaN, TiSiN and/or TaSiN is sputter deposited using a high density plasma process, such as an ion metal plasma process, (commonly referred to by applicant as an IMP™ process) onto the SixNy layer and exposed dielectric layer 12 as shown in FIG. 4. Copper is then deposited using an IMP process followed by a warm Cu planarization and high pressure fill as shown in FIG. 5, or deposited using chemical vapor deposition techniques or a combination of chemical vapor deposition techniques followed by physical vapor deposition techniques. Other Cu deposition techniques which are known to fill small features, such as electroplating, can also be used and are within the scope of the present invention. The process sequence is summarized in the flow diagram present in FIG. 6.
  • FIGS. [0027] 7-12 illustrate and describe another process sequence of the present invention and will be described below. FIG. 7 shows a blanket dielectric layer 12 formed on a substrate having a barrier layer 15, such as an SixNy layer, formed thereon. In the sequence, a barrier layer 16, such as a SixNy layer, is first deposited on the dielectric layer 12. The substrate is then patterned and etched to form a plug 20 through the barrier layers 15, 16 and the dielectric layer 12 as shown in FIG. 8. Next, a second conformal barrier layer 30 (SixNy) is formed over the patterned surface as shown in FIG. 9. The substrate is then exposed to a pre-clean or other etch process to remove the portion of the SixNy layer 30 formed on the bottom of the plug 20 at the interface with the underlying layer as shown in FIG. 10. Next, Cu can be selectively grown in the plug in those applications where the underlying layer is a conductive layer which can nucleate CVD of Cu. Additionally, Cu can be sputter deposited in the plug or Cu can be deposited using electroplating to complete the fill of the plug. Thereafter, the substrate can undergo chemical mechanical polishing to remove the excess and unwanted material from the substrate and complete the formation of the desired feature as shown in FIG. 12. FIG. 13 is a flow diagram which summarizes the process sequence described above.
  • A schematic of a [0028] multichamber processing apparatus 35 suitable for performing the CVD processes of the present invention is illustrated in FIG. 14. The apparatus is an “ENDURA” system commercially available from Applied Materials, Santa Clara, Calif. The particular embodiment of the apparatus 35 shown herein is suitable for processing planar substrates, such as semiconductor substrates, and is provided to illustrate the invention, and should not be used to limit the scope of the invention. The apparatus 35 typically comprises a cluster of interconnected process chambers, for example, CVD and PVD deposition and rapid thermal annealing chambers.
  • In the context of contact hole filling, a high-density plasma is defined in one sense as one substantially filling the entire volume it is in and having an average ion density of greater than 10[0029] 11 cm−3 in the principal part of the plasma. The conventional plasma-enhanced PVD reactor produces a plasma of significantly lower ion density. Although high-density plasmas are available in a number of different types of reactors, they are preferably obtained in inductively coupled plasma reactors, such as the type shown in schematical cross-section in FIG. 15. For reasons to be described shortly, this is referred to an ionized metal plasma (IMP) reactor.
  • As shown in this figure, which is meant only to be schematical, a [0030] vacuum chamber 40 is defined principally by a chamber wall 42 and a target backing plate 44. A PVD target 46 is attached to the target backing plate 44 and has a composition comprising at least part of the material being sputter deposited. For the deposition of both tantalum (Ta) and tantalum nitride (TaN), the target 46 is made of tantalum. A substrate 48 being sputter deposited with a layer of a PVD film is supported on a pedestal electrode 50 in opposition to the target 46. Processing gas is supplied to the chamber 40 from gas sources 52, 54 as metered by respective mass flow controllers 56, 58, and a vacuum pump system 60 maintains the chamber 40 at the desired low pressure.
  • An [0031] inductive coil 62 is wrapped around the space between the target 46 and the pedestal 50. Three independent power supplies are used in this type of inductively coupled sputtering chamber. A DC power supply 64 negatively biases the target 46 with respect to the pedestal 50. An RF power source 66 supplies electrical power in the megahertz range to the inductive coil 62. The DC voltage applied between the target 46 and the substrate 48 causes the processing gas supplied to the chamber to discharge and form a plasma. The RF coil power inductively coupled into the chamber 40 by the coil 62 increases the density of the plasma, that is, increases the density of ionized particles. Magnets 58 disposed behind the target 46 significantly increase the density of the plasma adjacent to the target 46 in order to increase the sputtering efficiency. Another RF power source 70 applies electrical power in the frequency range of 100 KHz to a few megahertz to the pedestal 50 in order to bias it with respect to the plasma.
  • Argon from the [0032] gas source 54 is the principal sputtering gas. It ionizes in the plasma, and its positively charged ions are attracted to the negatively biased target 46 with enough energy that the ions sputter particles from the target 46, that is, target atoms or multi-atom particles are dislodged from the target. The sputtered particles travel primarily along ballistic paths, and some of them strike the substrate 48 to deposit upon the substrate as a film of the target material. If the target 46 is tantalum and assuming no further reactions, a tantalum film is thus sputter deposited, or in the case of an aluminum target, an aluminum film is formed.
  • The apparatus also comprises a CVD deposition chamber [0033] 40 (shown in FIG. 16) having surrounding sidewalls 45 and a ceiling 50. The chamber 40 comprises a process gas distributor 55 for delivering process gases into the chamber. Mass flow controllers and air operated valves are used to control the flow of process gases into the deposition chamber 40. The gas distributor 55 is typically mounted above the substrate (as shown), or peripherally about the substrate (not shown). A support 65 is provided for supporting the substrate in the deposition chamber 40. The substrate is introduced into the chamber 40 through a substrate loading inlet in the sidewall 45 of the chamber 40 and placed on the support 65. The support 65 can be lifted or lowered by support lift bellows 70 so that the gap between the substrate and gas distributor 55 can be adjusted. A lift finger assembly 75 comprising lift fingers that are inserted through holes in the support 65 can be used to lift and lower the substrate onto the support to facilitate transport of the substrate into and out of the chamber 40. A thermal heater 80 is then provided in the chamber to rapidly heat the substrate. Rapid heating and cooling of the substrate is preferred to increase processing throughput, and to allow rapid cycling between successive processes operated at different temperatures within the same chamber 65. The temperature of the substrate is generally estimated from the temperature of the support 65.
  • The substrate is processed in a [0034] process zone 95 above a horizontal perforated barrier plate 105. The barrier plate 105 has exhaust holes 110 which are in fluid communication with an exhaust system 115 for exhausting spent process gases from the chamber 40. A typical exhaust system 115 comprises a rotary vane vacuum pump (not shown) capable of achieving a minimum vacuum of about 10 mTorr, and optionally a scrubber system for scrubbing byproduct gases. The pressure in the chamber 40 is sensed at the side of the substrate and is controlled by adjusting a throttle valve in the exhaust system 115.
  • A [0035] plasma generator 116 is provided for generating a plasma in the process zone 95 of the chamber 40 for plasma enhanced chemical vapor deposition processes. The plasma generator 116 can generate a plasma (i) inductively by applying an RF current to an inductor coil encircling the deposition chamber (not shown), (ii) capacitively by applying an RF current to process electrodes in the chamber, or (iii) both inductively and capacitively while the chamber wall or other electrode is grounded. A DC or RF current at a power level of from about 750 Watts to about 2000 Watts can be applied to an inductor coil (not shown) to inductively couple energy into the deposition chamber to generate a plasma in the process zone 95. When an RF current is used, the frequency of the RF current is typically from about 400 KHz to about 16 MHZ, and more typically about 13.56 MHZ Optionally, a gas containment or plasma focus ring (not shown), typically made of aluminum oxide or quartz, can be used to contain the flow of process gas or plasma around the substrate.
  • A pre-clean chamber which can be used to remove the barrier layer from the bottom of the plug is available from Applied Materials, Inc. of Santa Clara, Calif. Additionally, other etch chambers known in the field could be used to remove the barrier layer as described. [0036]
  • The process can be implemented using a [0037] computer program product 141 that runs on a conventional computer system comprising a central processor unit (CPU) interconnected to a memory system with peripheral control components, such as for example a 68400 microprocessor, commercially available from Synenergy Microsystems, California. The computer program code can be written in any conventional computer readable programming language such as for example 68000 assembly language, C, C++, or Pascal. Suitable program code is entered into a single file, or multiple files, using a conventional text editor, and stored or embodied in a computer usable medium, such as a memory system of the computer. If the entered code text is in a high level language, the code is compiled, and the resultant compiler code is then linked with an object code of precompiled windows library routines. To execute the linked compiled object code, the system user invokes the object code, causing the computer system to load the code in memory, from which the CPU reads and executes the code to perform the tasks identified in the program.
  • FIG. 17 shows an illustrative block diagram of the hierarchical control structure of the [0038] computer program 141. A user enters a process set and process chamber number into a process selector subroutine 142. The process sets are predetermined sets of process parameters necessary to carry out specified processes in a specific process chamber, and are identified by predefined set numbers. The process set the desired process chamber, and (ii) the desired set of process parameters needed to operate the process chamber for performing a particular process. The process parameters relate to process conditions such as, for example, process gas composition and flow rates, temperature, pressure, plasma conditions such as RF and DC bias power levels and magnetic field power levels, cooling gas pressure, and chamber wall temperature.
  • A [0039] process sequencer subroutine 143 comprises program code for accepting the identified process chamber and set of process parameters from the process selector subroutine 142, and for controlling operation of the various process chambers. Multiple users can enter process set numbers and process chamber numbers, or a user can enter multiple process set numbers and process chamber numbers, so the sequencer subroutine 143 operates to schedule the selected processes in the desired sequence. Preferably the sequencer subroutine 143 includes a program code to perform the steps of (i) monitoring the operation of the process chambers to determine if the chambers are being used, (ii) determining what processes are being carried out in the chambers being used, and (iii) executing the desired process based on availability of a process chamber and type of process to be carried out. Conventional methods of monitoring the process chambers can be used, such as polling. When scheduling which process is to be executed, the sequencer subroutine 143 can be designed to take into consideration the present condition of the process chamber being used in comparison with the desired process conditions for a selected process, or the “age” of each particular user entered request, or any other relevant factor a system programmer desires to include for determining scheduling priorities.
  • Once the [0040] sequencer subroutine 143 determines which process chamber and process set combination is going to be executed next, the sequencer subroutine 143 causes execution of the process set by passing the particular process set parameters to the chamber manager subroutines 144 a-c which control multiple processing tasks in different process chambers according to the process set determined by the sequencer subroutine 143. For example, the chamber manager subroutine 144 a comprises program code for controlling CVD process operations, within the described process chamber 40. The chamber manager subroutine 144 also controls execution of various chamber component subroutines or program code modules, which control operation of the chamber components necessary to carry out the selected process set. Examples of chamber component subroutines are substrate positioning subroutine 145, process gas control subroutine 146, pressure control subroutine 147, heater control subroutine 148, and plasma control subroutine 149. These different subroutines function as seeding program code means for (i) heating the substrate to temperatures Ts within a range of temperatures A Ts, and (ii) introducing a reaction gases into the process zone to deposit a substantially continuous insulating layer on the field portions of the substrate; and deposition growth program code means for (i) maintaining the substrate at a deposition temperatures Td within a range of temperature A Td, and (ii) introducing deposition gas into the process zone to form an epitaxial growth layer that is grown in the contact holes or vias. Those having ordinary skill in the art would readily recognize that other chamber control subroutines can be included depending on what processes are desired to be performed in the process chamber 40.
  • In operation, the [0041] chamber manager subroutine 144 a selectively schedules or calls the process component subroutines in accordance within the particular process set being executed. The chamber manager subroutine 144 a schedules the process component subroutines similarly to how the sequencer subroutine 143 schedules which process chamber 40 and process set is to be executed next. Typically, the chamber manager subroutine 144 a includes steps of monitoring the various chamber components, determining which components need to be operated based on the process parameters for the process set to be executed, and causing execution of a chamber component subroutine responsive to the monitoring and determining steps.
  • EXAMPLE 1
  • In one example, a process according to the present invention was performed on a wafer having a 0.25μ via with about a 4:1 aspect ratio. The patterned wafer was first introduced into a CVD chamber where about 50 Å to about 100 Å of Si[0042] xNy was deposited on the wafer using CVD techniques. The wafer was then moved into a Pre-clean II chamber where the wafer was subjected to an argon/hydrogen etching environment for about 20 seconds. RF/DC powers of about 300/300W were used. Next, the wafer was moved into an IMP chamber where about 400 Å of TaN was deposited on the wafer. Next, the wafer was introduced into a CVD chamber where about 400 Å of CVD Cu was deposited on the wafer as a wetting layer. Then, Cu was sputtered onto the wafer to complete the fill of the via.
  • EXAMPLE 2
  • In another example, a another process sequence of the present invention was performed on a wafer having a metal [0043] 1 feature, a barrier layer and a dielectric layer formed thereon. The wafer was first patterned and etched to form a via connecting the metal 1 feature. Next, the wafer was introduced into a CVD chamber where about 50 Å to about 100 Å of SixNy was deposited on the wafer using CVD techniques. The wafer was then moved into a Pre-clean II chamber where the wafer was subjected to an argon/hydrogen etching environment for about 20 seconds. RF/DC powers of about 300/300W were used. Next, the wafer was introduced into a CVD chamber where the via was selectively filled with Cu.
  • While the foregoing is directed to the preferred embodiment of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims which follow. [0044]

Claims (45)

1. A method of depositing a barrier over surfaces of a hole formed in a dielectric layer of a substrate and extending generally therethrough to an underlying conductive layer or conductive feature of the substrate, the method comprising:
forming a barrier comprising Ta using a vapor deposition over the surfaces of the hole, wherein the forming a barrier comprises etching a barrier material at the bottom of the hole after conformal deposition of the barrier material, and wherein the etching the barrier material comprises using a noble gas-containing plasma while supplying electrical power including RF;
whereby the barrier formed within said hole is adapted to protect the dielectric adjacent sidewalls of the hole.
2. The method of claim 1, wherein the barrier comprising Ta covers the bottom of the hole.
3. The method of claim 2, wherein the barrier comprising Ta is Ta or TaN.
4. The method of claim 1, wherein the vapor deposition includes high density plasma physical vapor deposition.
5. The method of claim 4, wherein the high density plasma physical vapor deposition comprises using a plasma having an average ion density of greater than 1011 cm−3.
6. The method of claim 4, wherein the forming a barrier comprises applying RF power to the substrate during the high density plasma physical vapor deposition.
7. The method of claim 1, wherein the forming a barrier includes depositing Ta or TaN while employing physical vapor deposition with a plasma having an average ion density of greater than 1011 cm−3.
8. The method of claim 1, further comprising supplying DC electrical power during the etching a barrier material.
9. The method of claim 8, further comprising adding hydrogen to the noble gas-containing plasma.
10. The method of claim 1, further comprising electrically biasing the substrate during the forming a barrier.
11. The method of claim 1, wherein the forming a barrier comprises depositing Ta or TaN using high density plasma sputter deposition.
12. The method of claim 11, wherein the etching a barrier material is performed in an etch chamber, and the depositing Ta or TaN is performed in a deposition chamber.
13. The method of claim 11, wherein the substrate is electrically biased during the high density plasma sputter deposition.
14. The method of claim 1, further comprising applying an electromagnetic filed to the plasma during the forming a barrier.
15. The method of claim 1, further comprising, after the forming a barrier, depositing a wetting layer of copper within the hole by sputter deposition utilizing a high density plasma.
16. The method of claim 15, wherein the high density plasma has an average ion density of greater than 1011 cm−3.
17. The method of claim 15, wherein the depositing a wetting layer comprises inductive coupling of energy into the high density plasma.
18. The method of claim 1, wherein the forming a barrier comprises one or more of CVD processes and PVD processes.
19. The method of claim 1, further comprising depositing a wetting layer of copper in the hole after the forming a barrier.
20. The method of claim 19, further comprising filling the hole with copper following the depositing a wetting layer.
21. The method of claim 1, wherein the barrier material comprises SixNy.
22. The method of claim 1, wherein a layer of Ta is deposited after the forming a barrier and the etching barrier material.
23. A method for forming a barrier within a hole defined in a dielectric layer of a substrate, the hole generally extending to an underlying copper layer or copper feature of the substrate, the method comprising:
depositing a barrier layer generally conformally within the hole;
etching a previously deposited layer at the bottom of the hole using an argon-containing plasma while supplying RF electrical power; and
wherein the barrier includes at least one of Ta and TaN, and wherein high density plasma physical vapor deposition is employed in forming the barrier;
whereby a barrier is provided protecting the dielectric layer while also promoting interconnection to the underlying copper layer or copper feature.
24. The method of claim 23, wherein DC electrical power is supplied during the etching a previously deposited layer.
25. The method of claim 24, wherein the argon-containing plasma further comprises hydrogen.
26. The method of claim 23, wherein the etching is performed in an etch chamber, and the depositing is performed in a deposition chamber.
27. The method of claim 23, further comprising depositing a copper wetting layer within the hole by sputter deposition utilizing a high density plasma after the barrier is deposited.
28. The method of claim 27, wherein the copper wetting layer is deposited within the hole by sputter deposition utilizing a high density plasma having an average ion density of greater than 1011 cm−3.
29. The method of claim 28, wherein the copper wetting layer is deposited within the hole following the depositing a barrier layer and the etching.
30. The method of claim 29, further comprising filling the hole with copper after the depositing the copper wetting layer.
31. The method of claim 23, wherein the high density plasma sputter deposition of the Ta or TaN includes applying RF bias to a substrate support member.
32. The method of claim 23, wherein the barrier is formed by successive deposition of a plurality of barrier layers of differing composition.
33. The method of claim 23, wherein the barrier is formed by one or more of CVD and PVD.
34. The method of claim 23, further comprising, after the etching a previously deposited layer, depositing copper within the hole by a sputter deposition including inductive coupling of energy into the plasma.
35. The method of claim 23, wherein the previously deposited layer that is etched comprises SixNy.
36. A method of processing a substrate having a dielectric layer, an underlying patterned copper layer, and a hole formed in the dielectric layer extending generally therethrough to the underlying patterned copper layer, the method comprising:
depositing one or more barrier layers generally conformally over the walls of the hole;
etching one or more of the barrier layers preferentially from the bottom of the hole using a noble-gas containing plasma while supplying RF electrical power; and
depositing a Ta-containing barrier layer using a high density plasma sputter deposition.
37, The method of claim 36, wherein the Ta-containing barrier layer covers the bottom of the hole.
38. The method of claim 37, wherein the Ta-containing barrier layer is a Ta layer or a TaN layer.
39. The method of claim 38, wherein the high density plasma sputter deposition comprises using a plasma having an average ion density of greater than 1011 cm−3.
40. The method of claim 36, further comprising supplying DC electrical power during the etching the one or more of the barrier layers.
41. The method of claim 36, wherein the depositing one or more barrier layers comprises a vapor deposition.
42. The method of claim 36, further comprising depositing a wetting layer of copper in the hole after the depositing a Ta-containing barrier layer.
43. The method of claim 42, further comprising filling the hole with copper following the depositing a wetting layer.
44. The method of claim 36, further comprising, after the depositing a Ta-containing barrier layer, depositing a wetting layer of copper within the hole by sputter deposition utilizing a high density plasma.
45. The method of claim 44, wherein the high density plasma has an average ion density of greater than 1011 cm−3.
US10/245,119 1997-05-14 2002-09-16 Reliability barrier integration for Cu application Abandoned US20030017695A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/245,119 US20030017695A1 (en) 1997-05-14 2002-09-16 Reliability barrier integration for Cu application

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US85611697A 1997-05-14 1997-05-14
US10/245,119 US20030017695A1 (en) 1997-05-14 2002-09-16 Reliability barrier integration for Cu application

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US85611697A Continuation 1997-05-14 1997-05-14

Publications (1)

Publication Number Publication Date
US20030017695A1 true US20030017695A1 (en) 2003-01-23

Family

ID=25322886

Family Applications (5)

Application Number Title Priority Date Filing Date
US10/052,681 Expired - Fee Related US7026238B2 (en) 1997-05-14 2002-01-17 Reliability barrier integration for Cu application
US10/245,119 Abandoned US20030017695A1 (en) 1997-05-14 2002-09-16 Reliability barrier integration for Cu application
US10/245,104 Abandoned US20030013297A1 (en) 1997-05-14 2002-09-16 Reliability barrier integration for Cu application
US10/841,086 Abandoned US20040209460A1 (en) 1997-05-14 2004-05-07 Reliability barrier integration for Cu application
US11/682,005 Abandoned US20070151861A1 (en) 1997-05-14 2007-03-05 Reliability barrier integration for cu application

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/052,681 Expired - Fee Related US7026238B2 (en) 1997-05-14 2002-01-17 Reliability barrier integration for Cu application

Family Applications After (3)

Application Number Title Priority Date Filing Date
US10/245,104 Abandoned US20030013297A1 (en) 1997-05-14 2002-09-16 Reliability barrier integration for Cu application
US10/841,086 Abandoned US20040209460A1 (en) 1997-05-14 2004-05-07 Reliability barrier integration for Cu application
US11/682,005 Abandoned US20070151861A1 (en) 1997-05-14 2007-03-05 Reliability barrier integration for cu application

Country Status (6)

Country Link
US (5) US7026238B2 (en)
EP (1) EP0981832A1 (en)
JP (1) JP2002511191A (en)
KR (1) KR20010012516A (en)
TW (1) TW417249B (en)
WO (1) WO1998052219A1 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040092095A1 (en) * 2002-11-12 2004-05-13 Applied Materials, Inc. Side wall passivation films for damascene cu/low k electronic devices
US20040209460A1 (en) * 1997-05-14 2004-10-21 Ming Xi Reliability barrier integration for Cu application
US6881673B2 (en) 1997-12-02 2005-04-19 Applied Materials, Inc. Integrated deposition process for copper metallization
US20050098427A1 (en) * 2003-11-11 2005-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. RF coil design for improved film uniformity of an ion metal plasma source
WO2009148505A2 (en) 2008-05-30 2009-12-10 Corning Incorporated Solid oxide fuel cell systems
US20180287922A1 (en) * 2017-03-31 2018-10-04 Renesas Electronics Corporation Communication system, bus load monitoring device, and bus load monitoring method

Families Citing this family (125)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999027579A1 (en) * 1997-11-26 1999-06-03 Applied Materials, Inc. Damage-free sculptured coating deposition
US6287977B1 (en) * 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US8696875B2 (en) 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US10047430B2 (en) 1999-10-08 2018-08-14 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6562715B1 (en) 2000-08-09 2003-05-13 Applied Materials, Inc. Barrier layer structure for copper metallization and method of forming the structure
US6498091B1 (en) * 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US20020197402A1 (en) * 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
TW581822B (en) * 2001-07-16 2004-04-01 Applied Materials Inc Formation of composite tungsten films
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
TWI253478B (en) * 2001-11-14 2006-04-21 Mitsubishi Heavy Ind Ltd Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7589029B2 (en) * 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7504006B2 (en) 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US6784096B2 (en) * 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US7005375B2 (en) 2002-09-30 2006-02-28 Agere Systems Inc. Method to avoid copper contamination of a via or dual damascene structure
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US6949461B2 (en) * 2002-12-11 2005-09-27 International Business Machines Corporation Method for depositing a metal layer on a semiconductor interconnect structure
DE10261466B4 (en) * 2002-12-31 2007-01-04 Advanced Micro Devices, Inc., Sunnyvale A method of making a conductive barrier layer having improved adhesion and resistance properties
US7056826B2 (en) * 2003-01-07 2006-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming copper interconnects
WO2004064147A2 (en) * 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US20040150103A1 (en) * 2003-02-03 2004-08-05 International Business Machines Corporation Sacrificial Metal Liner For Copper
US20040175926A1 (en) * 2003-03-07 2004-09-09 Advanced Micro Devices, Inc. Method for manufacturing a semiconductor component having a barrier-lined opening
CN100593235C (en) * 2003-06-13 2010-03-03 应用材料公司 Integration of ALD tantalum nitride for copper metallization
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US6987059B1 (en) 2003-08-14 2006-01-17 Lsi Logic Corporation Method and structure for creating ultra low resistance damascene copper wiring
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US20050082089A1 (en) * 2003-10-18 2005-04-21 Stephan Grunow Stacked interconnect structure between copper lines of a semiconductor circuit
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US20050118796A1 (en) * 2003-11-28 2005-06-02 Chiras Stefanie R. Process for forming an electrically conductive interconnect
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060009030A1 (en) * 2004-07-08 2006-01-12 Texas Instruments Incorporated Novel barrier integration scheme for high-reliability vias
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US20060024953A1 (en) * 2004-07-29 2006-02-02 Papa Rao Satyavolu S Dual damascene diffusion barrier/liner process with selective via-to-trench-bottom recess
US7601649B2 (en) * 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7282802B2 (en) * 2004-10-14 2007-10-16 International Business Machines Corporation Modified via bottom structure for reliability enhancement
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US20060246699A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Process for electroless copper deposition on a ruthenium seed
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
KR100640662B1 (en) * 2005-08-06 2006-11-01 삼성전자주식회사 Semiconductor device having a barrier metal spacer and method of fabricating the same
DE102006037722B4 (en) * 2005-08-06 2016-02-25 Samsung Electronics Co., Ltd. An integrated circuit wiring structure and method of making the same
KR100685902B1 (en) * 2005-08-29 2007-02-26 동부일렉트로닉스 주식회사 Metal line for semiconductor device and method for fabricating the same
US20070065576A1 (en) * 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
EP2221864B1 (en) * 2005-12-02 2018-04-11 Ulvac, Inc. Method for forming Cu film
US20070126120A1 (en) * 2005-12-06 2007-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device
US7417321B2 (en) * 2005-12-30 2008-08-26 Taiwan Semiconductor Manufacturing Co., Ltd Via structure and process for forming the same
US7959985B2 (en) * 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
JP5175285B2 (en) * 2006-07-31 2013-04-03 アプライド マテリアルズ インコーポレイテッド Method for controlling morphology during epitaxial layer formation
CN101496153A (en) * 2006-07-31 2009-07-29 应用材料股份有限公司 Methods of forming carbon-containing silicon epitaxial layers
US20080081464A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Method of integrated substrated processing using a hot filament hydrogen radical souce
US20080078325A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Processing system containing a hot filament hydrogen radical source for integrated substrate processing
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US9087877B2 (en) * 2006-10-24 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k interconnect structures with reduced RC delay
DE102006056626A1 (en) * 2006-11-30 2008-06-05 Advanced Micro Devices, Inc., Sunnyvale Conductive barrier layer producing method for manufacturing integrated circuit, involves depositing layer on exposed surfaces by self-restricted deposition technique, and providing surface with characteristics at reduced deposition rate
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
KR100853098B1 (en) * 2006-12-27 2008-08-19 동부일렉트로닉스 주식회사 Metal line in semiconductor device and method of manufacturing the metal line
DE102007004860B4 (en) * 2007-01-31 2008-11-06 Advanced Micro Devices, Inc., Sunnyvale A method of making a copper-based metallization layer having a conductive overcoat by an improved integration scheme
DE102007004867B4 (en) * 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale A method of increasing the reliability of copper-based metallization structures in a microstructure device by using aluminum nitride
US7585762B2 (en) * 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7678298B2 (en) * 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7824743B2 (en) * 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
KR101515544B1 (en) * 2008-04-18 2015-04-30 주식회사 원익아이피에스 Method of forming chalcogenide thin film
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US8653664B2 (en) * 2009-07-08 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layers for copper interconnect
CN102005411A (en) * 2009-09-01 2011-04-06 中芯国际集成电路制造(上海)有限公司 Forming method for barrier layer
US8653663B2 (en) 2009-10-29 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for copper interconnect
US8361900B2 (en) 2010-04-16 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for copper interconnect
JP5614352B2 (en) * 2011-03-29 2014-10-29 東京エレクトロン株式会社 Loading unit and processing system
US9190319B2 (en) * 2013-03-08 2015-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming interconnect structure
KR102296919B1 (en) * 2015-01-16 2021-09-02 삼성디스플레이 주식회사 Thin film encapsulation manufacturing device and manufacturing method of thin film encapsulation
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR20190042461A (en) * 2017-10-14 2019-04-24 어플라이드 머티어리얼스, 인코포레이티드 Integration of ald copper with high temperature pvd copper deposition for beol interconnect
WO2019094228A1 (en) * 2017-11-07 2019-05-16 Everspin Technologies, Inc. Angled surface removal process and structure relating thereto
SG11202008268RA (en) 2018-03-19 2020-10-29 Applied Materials Inc Methods for depositing coatings on aerospace components
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. Protection of components from corrosion
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US10923393B2 (en) * 2018-09-24 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Contacts and interconnect structures in field-effect transistors
US10636705B1 (en) 2018-11-29 2020-04-28 Applied Materials, Inc. High pressure annealing of metal gate structures
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
KR20210028324A (en) 2019-09-03 2021-03-12 삼성전자주식회사 Semiconductor device
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
WO2022005696A1 (en) 2020-07-03 2022-01-06 Applied Materials, Inc. Methods for refurbishing aerospace components

Citations (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US29958A (en) * 1860-09-11 Brick-mold
US87520A (en) * 1869-03-02 Improved rocking-chair
US185370A (en) * 1876-12-12 Improvement in bungs and bushes
US3607384A (en) * 1968-07-11 1971-09-21 Western Electric Co Thin-film resistors having positive resistivity profiles
US4169032A (en) * 1978-05-24 1979-09-25 International Business Machines Corporation Method of making a thin film thermal print head
US4364099A (en) * 1979-08-31 1982-12-14 Fujitsu Limited Tantalum thin film capacitor
US4419202A (en) * 1980-12-22 1983-12-06 The Secretary Of State For Defence In Her Britannic Majesty's Government Of The United Kingdom Of Great Britain And Northern Ireland Metal coatings
US4491509A (en) * 1984-03-09 1985-01-01 At&T Technologies, Inc. Methods of and apparatus for sputtering material onto a substrate
US4760369A (en) * 1985-08-23 1988-07-26 Texas Instruments Incorporated Thin film resistor and method
US4782380A (en) * 1987-01-22 1988-11-01 Advanced Micro Devices, Inc. Multilayer interconnection for integrated circuit structure having two or more conductive metal layers
US4999096A (en) * 1987-06-30 1991-03-12 Hitachi, Ltd. Method of and apparatus for sputtering
US5391517A (en) * 1993-09-13 1995-02-21 Motorola Inc. Process for forming copper interconnect structure
US5397962A (en) * 1992-06-29 1995-03-14 Texas Instruments Incorporated Source and method for generating high-density plasma with inductive power coupling
US5407698A (en) * 1992-04-29 1995-04-18 Northern Telecom Limited Deposition of tungsten
US5565074A (en) * 1995-07-27 1996-10-15 Applied Materials, Inc. Plasma reactor with a segmented balanced electrode for sputtering process materials from a target surface
US5674787A (en) * 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5705849A (en) * 1996-04-08 1998-01-06 Chartered Semiconductor Manufacturing Pte Ltd. Antifuse structure and method for manufacturing it
US5759635A (en) * 1996-07-03 1998-06-02 Novellus Systems, Inc. Method for depositing substituted fluorocarbon polymeric layers
US5792272A (en) * 1995-07-10 1998-08-11 Watkins-Johnson Company Plasma enhanced chemical processing reactor and method
US5818110A (en) * 1996-11-22 1998-10-06 International Business Machines Corporation Integrated circuit chip wiring structure with crossover capability and method of manufacturing the same
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5910880A (en) * 1997-08-20 1999-06-08 Micron Technology, Inc. Semiconductor circuit components and capacitors
US5972178A (en) * 1995-06-07 1999-10-26 Applied Materials, Inc. Continuous process for forming improved titanium nitride barrier layers
US5972179A (en) * 1997-09-30 1999-10-26 Lucent Technologies Inc. Silicon IC contacts using composite TiN barrier layer
US5989999A (en) * 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
US5993916A (en) * 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US6002174A (en) * 1997-12-31 1999-12-14 Micron Technology, Inc. Barrier materials for semiconductor devices
US6007684A (en) * 1995-06-07 1999-12-28 Applied Materials, Inc. Process for forming improved titanium-containing barrier layers
US6013576A (en) * 1996-10-16 2000-01-11 Samsung Electronics Co., Ltd. Methods for forming an amorphous tantalum nitride film
US6017817A (en) * 1999-05-10 2000-01-25 United Microelectronics Corp. Method of fabricating dual damascene
US6028003A (en) * 1997-07-03 2000-02-22 Motorola, Inc. Method of forming an interconnect structure with a graded composition using a nitrided target
US6143646A (en) * 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
US6157081A (en) * 1999-03-10 2000-12-05 Advanced Micro Devices, Inc. High-reliability damascene interconnect formation for semiconductor fabrication
US6157061A (en) * 1997-08-29 2000-12-05 Nec Corporation Nonvolatile semiconductor memory device and method of manufacturing the same
US6164128A (en) * 1999-10-13 2000-12-26 Santa Cruz; Cathy D. Apparatus, method and formula relating to total-wind statistics
US6164138A (en) * 1997-04-15 2000-12-26 Dresser Industries, Inc. Self aligning dial for instrument gauge
US6184128B1 (en) * 2000-01-31 2001-02-06 Advanced Micro Devices, Inc. Method using a thin resist mask for dual damascene stop layer etch
US6184138B1 (en) * 1999-09-07 2001-02-06 Chartered Semiconductor Manufacturing Ltd. Method to create a controllable and reproducible dual copper damascene structure
US6221775B1 (en) * 1998-09-24 2001-04-24 International Business Machines Corp. Combined chemical mechanical polishing and reactive ion etching process
US6265757B1 (en) * 1999-11-09 2001-07-24 Agere Systems Guardian Corp. Forming attached features on a semiconductor substrate
US6268283B1 (en) * 1999-01-06 2001-07-31 United Microelectronics Corp. Method for forming dual damascene structure
US6271084B1 (en) * 2001-01-16 2001-08-07 Taiwan Semiconductor Manufacturing Company Method of fabricating a metal-insulator-metal (MIM), capacitor structure using a damascene process
US6274483B1 (en) * 2000-01-18 2001-08-14 Taiwan Semiconductor Manufacturing Company Method to improve metal line adhesion by trench corner shape modification
US6297114B1 (en) * 1995-07-05 2001-10-02 Sharp Kabushiki Kaisha Semiconductor device and process and apparatus of fabricating the same
US6309801B1 (en) * 1998-11-18 2001-10-30 U.S. Philips Corporation Method of manufacturing an electronic device comprising two layers of organic-containing material
US6498091B1 (en) * 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US6607977B1 (en) * 2001-03-13 2003-08-19 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnect applications

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4962060A (en) * 1987-03-10 1990-10-09 Advanced Micro Devices, Inc. Making a high speed interconnect system with refractory non-dogbone contacts and an active electromigration suppression mechanism
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5178739A (en) * 1990-10-31 1993-01-12 International Business Machines Corporation Apparatus for depositing material into high aspect ratio holes
JPH05179437A (en) 1991-01-18 1993-07-20 Citizen Watch Co Ltd Formation of tantalum film
JPH0529254A (en) * 1991-07-24 1993-02-05 Sony Corp Forming method of wiring
JP2785919B2 (en) * 1991-07-26 1998-08-13 ローム株式会社 Method of manufacturing semiconductor device having growth layer on insulating layer
US5371042A (en) * 1992-06-16 1994-12-06 Applied Materials, Inc. Method of filling contacts in semiconductor devices
US5486492A (en) * 1992-10-30 1996-01-23 Kawasaki Steel Corporation Method of forming multilayered wiring structure in semiconductor device
US5354712A (en) * 1992-11-12 1994-10-11 Northern Telecom Limited Method for forming interconnect structures for integrated circuits
US5654232A (en) * 1994-08-24 1997-08-05 Intel Corporation Wetting layer sidewalls to promote copper reflow into grooves
US5613296A (en) * 1995-04-13 1997-03-25 Texas Instruments Incorporated Method for concurrent formation of contact and via holes
US5534460A (en) * 1995-04-27 1996-07-09 Vanguard International Semiconductor Corp. Optimized contact plug process
KR0175030B1 (en) * 1995-12-07 1999-04-01 김광호 High heat-resistant metal wiring structure of semiconductor device and method of forming the same
KR0179795B1 (en) 1995-12-28 1999-04-15 문정환 Method of diffusion barrier film
US6008117A (en) * 1996-03-29 1999-12-28 Texas Instruments Incorporated Method of forming diffusion barriers encapsulating copper
TW402778B (en) 1996-07-12 2000-08-21 Applied Materials Inc Aluminum hole filling using ionized metal adhesion layer
JPH1041389A (en) * 1996-07-24 1998-02-13 Sony Corp Manufacture of semiconductor device
US6093639A (en) * 1996-10-30 2000-07-25 United Microelectronics Corp. Process for making contact plug
US5933753A (en) * 1996-12-16 1999-08-03 International Business Machines Corporation Open-bottomed via liner structure and method for fabricating same
US5913147A (en) * 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US5930669A (en) * 1997-04-03 1999-07-27 International Business Machines Corporation Continuous highly conductive metal wiring structures and method for fabricating the same
TW417249B (en) 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US5985762A (en) * 1997-05-19 1999-11-16 International Business Machines Corporation Method of forming a self-aligned copper diffusion barrier in vias
US6139699A (en) 1997-05-27 2000-10-31 Applied Materials, Inc. Sputtering methods for depositing stress tunable tantalum and tantalum nitride films
US5904565A (en) * 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
WO1999027579A1 (en) * 1997-11-26 1999-06-03 Applied Materials, Inc. Damage-free sculptured coating deposition
US6229174B1 (en) * 1997-12-08 2001-05-08 Micron Technology, Inc. Contact structure for memory device
US6025226A (en) * 1998-01-15 2000-02-15 International Business Machines Corporation Method of forming a capacitor and a capacitor formed using the method
US5985759A (en) * 1998-02-24 1999-11-16 Applied Materials, Inc. Oxygen enhancement of ion metal plasma (IMP) sputter deposited barrier layers
US6372301B1 (en) 1998-12-22 2002-04-16 Applied Materials, Inc. Method of improving adhesion of diffusion layers on fluorinated silicon dioxide
JP2002534807A (en) 1999-01-08 2002-10-15 アプライド マテリアルズ インコーポレイテッド Method for depositing copper seed layer to promote improved feature surface coverage
US6211071B1 (en) * 1999-04-22 2001-04-03 Advanced Micro Devices, Inc. Optimized trench/via profile for damascene filling
US6200433B1 (en) * 1999-11-01 2001-03-13 Applied Materials, Inc. IMP technology with heavy gas sputtering
US6451177B1 (en) * 2000-01-21 2002-09-17 Applied Materials, Inc. Vault shaped target and magnetron operable in two sputtering modes
FR2808941B1 (en) * 2000-05-12 2002-08-16 St Microelectronics Sa VALIDATION OF THE PRESENCE OF AN ELECTROMAGNETIC TRANSPONDER IN THE FIELD OF AN AMPLITUDE DEMODULATION READER
US6562715B1 (en) * 2000-08-09 2003-05-13 Applied Materials, Inc. Barrier layer structure for copper metallization and method of forming the structure
US6586334B2 (en) * 2000-11-09 2003-07-01 Texas Instruments Incorporated Reducing copper line resistivity by smoothing trench and via sidewalls
JP3967879B2 (en) * 2000-11-16 2007-08-29 株式会社ルネサステクノロジ Copper plating solution and method for manufacturing semiconductor integrated circuit device using the same
US6949450B2 (en) * 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6509267B1 (en) * 2001-06-20 2003-01-21 Advanced Micro Devices, Inc. Method of forming low resistance barrier on low k interconnect with electrolessly plated copper seed layer
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6727177B1 (en) * 2001-10-18 2004-04-27 Lsi Logic Corporation Multi-step process for forming a barrier film for use in copper layer formation

Patent Citations (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US29958A (en) * 1860-09-11 Brick-mold
US87520A (en) * 1869-03-02 Improved rocking-chair
US185370A (en) * 1876-12-12 Improvement in bungs and bushes
US3607384A (en) * 1968-07-11 1971-09-21 Western Electric Co Thin-film resistors having positive resistivity profiles
US4169032A (en) * 1978-05-24 1979-09-25 International Business Machines Corporation Method of making a thin film thermal print head
US4364099A (en) * 1979-08-31 1982-12-14 Fujitsu Limited Tantalum thin film capacitor
US4419202A (en) * 1980-12-22 1983-12-06 The Secretary Of State For Defence In Her Britannic Majesty's Government Of The United Kingdom Of Great Britain And Northern Ireland Metal coatings
US4491509A (en) * 1984-03-09 1985-01-01 At&T Technologies, Inc. Methods of and apparatus for sputtering material onto a substrate
US4760369A (en) * 1985-08-23 1988-07-26 Texas Instruments Incorporated Thin film resistor and method
US4782380A (en) * 1987-01-22 1988-11-01 Advanced Micro Devices, Inc. Multilayer interconnection for integrated circuit structure having two or more conductive metal layers
US4999096A (en) * 1987-06-30 1991-03-12 Hitachi, Ltd. Method of and apparatus for sputtering
US5407698A (en) * 1992-04-29 1995-04-18 Northern Telecom Limited Deposition of tungsten
US5397962A (en) * 1992-06-29 1995-03-14 Texas Instruments Incorporated Source and method for generating high-density plasma with inductive power coupling
US5391517A (en) * 1993-09-13 1995-02-21 Motorola Inc. Process for forming copper interconnect structure
US5989999A (en) * 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
US5972178A (en) * 1995-06-07 1999-10-26 Applied Materials, Inc. Continuous process for forming improved titanium nitride barrier layers
US6007684A (en) * 1995-06-07 1999-12-28 Applied Materials, Inc. Process for forming improved titanium-containing barrier layers
US6297114B1 (en) * 1995-07-05 2001-10-02 Sharp Kabushiki Kaisha Semiconductor device and process and apparatus of fabricating the same
US5792272A (en) * 1995-07-10 1998-08-11 Watkins-Johnson Company Plasma enhanced chemical processing reactor and method
US5565074A (en) * 1995-07-27 1996-10-15 Applied Materials, Inc. Plasma reactor with a segmented balanced electrode for sputtering process materials from a target surface
US5674787A (en) * 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5705849A (en) * 1996-04-08 1998-01-06 Chartered Semiconductor Manufacturing Pte Ltd. Antifuse structure and method for manufacturing it
US5759635A (en) * 1996-07-03 1998-06-02 Novellus Systems, Inc. Method for depositing substituted fluorocarbon polymeric layers
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5993916A (en) * 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US6013576A (en) * 1996-10-16 2000-01-11 Samsung Electronics Co., Ltd. Methods for forming an amorphous tantalum nitride film
US5818110A (en) * 1996-11-22 1998-10-06 International Business Machines Corporation Integrated circuit chip wiring structure with crossover capability and method of manufacturing the same
US6164138A (en) * 1997-04-15 2000-12-26 Dresser Industries, Inc. Self aligning dial for instrument gauge
US6143646A (en) * 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
US6028003A (en) * 1997-07-03 2000-02-22 Motorola, Inc. Method of forming an interconnect structure with a graded composition using a nitrided target
US5910880A (en) * 1997-08-20 1999-06-08 Micron Technology, Inc. Semiconductor circuit components and capacitors
US6157061A (en) * 1997-08-29 2000-12-05 Nec Corporation Nonvolatile semiconductor memory device and method of manufacturing the same
US5972179A (en) * 1997-09-30 1999-10-26 Lucent Technologies Inc. Silicon IC contacts using composite TiN barrier layer
US6002174A (en) * 1997-12-31 1999-12-14 Micron Technology, Inc. Barrier materials for semiconductor devices
US6221775B1 (en) * 1998-09-24 2001-04-24 International Business Machines Corp. Combined chemical mechanical polishing and reactive ion etching process
US6309801B1 (en) * 1998-11-18 2001-10-30 U.S. Philips Corporation Method of manufacturing an electronic device comprising two layers of organic-containing material
US6268283B1 (en) * 1999-01-06 2001-07-31 United Microelectronics Corp. Method for forming dual damascene structure
US6157081A (en) * 1999-03-10 2000-12-05 Advanced Micro Devices, Inc. High-reliability damascene interconnect formation for semiconductor fabrication
US6017817A (en) * 1999-05-10 2000-01-25 United Microelectronics Corp. Method of fabricating dual damascene
US6184138B1 (en) * 1999-09-07 2001-02-06 Chartered Semiconductor Manufacturing Ltd. Method to create a controllable and reproducible dual copper damascene structure
US6164128A (en) * 1999-10-13 2000-12-26 Santa Cruz; Cathy D. Apparatus, method and formula relating to total-wind statistics
US6265757B1 (en) * 1999-11-09 2001-07-24 Agere Systems Guardian Corp. Forming attached features on a semiconductor substrate
US6274483B1 (en) * 2000-01-18 2001-08-14 Taiwan Semiconductor Manufacturing Company Method to improve metal line adhesion by trench corner shape modification
US6184128B1 (en) * 2000-01-31 2001-02-06 Advanced Micro Devices, Inc. Method using a thin resist mask for dual damascene stop layer etch
US6498091B1 (en) * 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US6271084B1 (en) * 2001-01-16 2001-08-07 Taiwan Semiconductor Manufacturing Company Method of fabricating a metal-insulator-metal (MIM), capacitor structure using a damascene process
US6607977B1 (en) * 2001-03-13 2003-08-19 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnect applications

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040209460A1 (en) * 1997-05-14 2004-10-21 Ming Xi Reliability barrier integration for Cu application
US6881673B2 (en) 1997-12-02 2005-04-19 Applied Materials, Inc. Integrated deposition process for copper metallization
US20040092095A1 (en) * 2002-11-12 2004-05-13 Applied Materials, Inc. Side wall passivation films for damascene cu/low k electronic devices
US6878620B2 (en) 2002-11-12 2005-04-12 Applied Materials, Inc. Side wall passivation films for damascene cu/low k electronic devices
US20050098427A1 (en) * 2003-11-11 2005-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. RF coil design for improved film uniformity of an ion metal plasma source
WO2009148505A2 (en) 2008-05-30 2009-12-10 Corning Incorporated Solid oxide fuel cell systems
US20180287922A1 (en) * 2017-03-31 2018-10-04 Renesas Electronics Corporation Communication system, bus load monitoring device, and bus load monitoring method

Also Published As

Publication number Publication date
US20040209460A1 (en) 2004-10-21
TW417249B (en) 2001-01-01
JP2002511191A (en) 2002-04-09
WO1998052219A1 (en) 1998-11-19
US20020060363A1 (en) 2002-05-23
KR20010012516A (en) 2001-02-15
US20070151861A1 (en) 2007-07-05
US20030013297A1 (en) 2003-01-16
US7026238B2 (en) 2006-04-11
EP0981832A1 (en) 2000-03-01

Similar Documents

Publication Publication Date Title
US20030017695A1 (en) Reliability barrier integration for Cu application
KR100743330B1 (en) Barrier applications for aluminum planarization
US6139697A (en) Low temperature integrated via and trench fill process and apparatus
US6001420A (en) Semi-selective chemical vapor deposition
US6319766B1 (en) Method of tantalum nitride deposition by tantalum oxide densification
US6562715B1 (en) Barrier layer structure for copper metallization and method of forming the structure
US6355106B1 (en) Deposition of copper with increased adhesion
US6080665A (en) Integrated nitrogen-treated titanium layer to prevent interaction of titanium and aluminum
US6319728B1 (en) Method for treating a deposited film for resistivity reduction
US20040046260A1 (en) Plasma treatment for copper oxide reduction
US20020064952A1 (en) Staged aluminum deposition process for filling vias
EP1094504A2 (en) PVD-IMP tungsten and tungsten nitride as a liner, barrier, and/or seed layer
EP0871218A2 (en) Intergrated CVD/PVD Al planarization using ultra-thin nucleation layers
KR980011939A (en) Aluminum hole filling method using ionized metal adhesive layer
US20020192948A1 (en) Integrated barrier layer structure for copper contact level metallization

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHEN, FUSEN;CHEN, LIANG;MOSELY, RODERICK CRAIG;AND OTHERS;REEL/FRAME:013309/0117;SIGNING DATES FROM 19970421 TO 19970511

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION