US20030088842A1 - Apparatus and methods for wire load independent logic synthesis and timing closure with constant replacement delay cell libraries - Google Patents

Apparatus and methods for wire load independent logic synthesis and timing closure with constant replacement delay cell libraries Download PDF

Info

Publication number
US20030088842A1
US20030088842A1 US10/322,851 US32285102A US2003088842A1 US 20030088842 A1 US20030088842 A1 US 20030088842A1 US 32285102 A US32285102 A US 32285102A US 2003088842 A1 US2003088842 A1 US 2003088842A1
Authority
US
United States
Prior art keywords
cell
load
replacement
delay
family
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/322,851
Inventor
Mehmet Cirit
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Library Tech Inc
Original Assignee
Library Tech Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Library Tech Inc filed Critical Library Tech Inc
Priority to US10/322,851 priority Critical patent/US20030088842A1/en
Publication of US20030088842A1 publication Critical patent/US20030088842A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/327Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/06Power analysis or power optimisation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/12Timing analysis or timing optimisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

Disclosed is a method of generating an integrated circuit (IC) layout design. An initial layout netlist having a plurality of original cells is provided. A first original cell within the initial layout netlist is replaced with a first replacement cell having a different drive than the first original cell's drive but a same replacement delay as the first original cell when the first original cell is not optimal. The first replacement delay of a particular cell is the particular cell's total delay contribution to a particular delay path that includes the particular cell.

Description

    BACKGROUND OF THE INVENTION
  • The present invention relates generally to integrated circuit design. More specifically, the invention relates to mechanisms for timing optimization. [0001]
  • FIG. 1 illustrates a conventional integrated [0002] circuit design flow 100. Initially, the circuit's behavior is described in a high level language in a design entry procedure 102. Logic synthesis tools then transform the high level description into a listing of logic cells (logic netlist) and interconnection information in a synthesis procedure 104. The logic cells correspond to cells within a standard cell library. In general terms, logic circuit synthesis generates an initial circuit topology that satisfies the basic logic requirements as defined by the high level design description. The initial design can be presented graphically as a schematic and also in a data file listing the included logic elements and their interconnections. This data file is generally referred to as a netlist.
  • The timing optimization procedures ([0003] 106, 110, and 114) are described further below, and a description of such optimization procedures is skipped for now so as to more clearly describe the other operations of the design flow. After synthesis, the cells listed in the layout netlist are obtained from the standard library and arranged within a design layout in a placement procedure 108. The placed cells are then routed together in operation 112. The cells are placed and routed together into a layout design that is equivalent to the original design description, as well as the layout design netlist. Said in another way, the layout netlist (and original high level description) is in effect transformed into a design layout having interconnected cells.
  • Logic synthesis tools map functional groups within the high level description to cells having the same logic function. The standard cell library typically provides a set of discrete implementations of each logic function. The different implementations of a particular logic function are designed to drive different capacitive loads while maintaining similar rise/fall times for multiples of a standard load, usually one, two, and four. Unfortunately, the different implementations typically have different associated delays. [0004]
  • As shown, timing optimization procedures are typically performed after the [0005] synthesis procedure 104, after the placement procedure 108, and after the routing procedure 112. If timing requirements are not met in any of the timing optimization procedures (e.g., 106, 110, or 114), the entire design flow from timing synthesis 104 through timing optimization 114 are repeated. This reiteration of all or part of the design flow may occur numerous times until the timing goals are met. Unfortunately, these reiterations are usually associated with significant design time and costs.
  • The various optimization techniques operate on the netlist to attain a satisfactory balance between different requirements. Timing assurance especially depends on the process technology and placement of the circuit design. Timing assurance in synthesis has traditionally operated on a discrete set of cell types with a discrete set of drive capabilities. A static timing analysis-based tool is typically used to select among cells of different drive capabilities. Two important parameters that control this selection are the intrinsic load of the cells on the driver cell, which is well known, and also the load of the interconnect wires, which is not known until the final layout of the design and strongly depends on the placement and routing stages. [0006]
  • Wire load is often estimated in the absence of any placement and routing information. These estimates are typically done without any knowledge of the eventual placement of the logic design and, accordingly, deviate significantly from the actual loads. This creates what is called the “timing closure” problem where several iterations are done between the synthesis-based timing optimization and placement until timing constraints are satisfied. To compensate for the changes in the wire loads, the most common techniques include replacing an existing cell with one of higher drive but the same functionality, and duplicating a cell and splitting the original cell's load over the resulting pair. [0007]
  • The netlist changes as the load conditions change. These changes may require additional cells and the removal of other cells (e.g., if they are found to be redundant). One such change usually triggers more changes. There is only a discrete set of sizes available, and after replacement, signal delays through the cells are affected differently when they are replaced with other cells. Changes in sizing of replacement cells vs. replaced cells also impacts the delays of the cells' preceding driver cell(s) because of changes in the input capacitive loading. A replacement cell may have a shorter delay, but its driver may have a longer delay, offsetting any gains in the accumulated delay for the path. The process of finding the right cell is also computationally expensive, as all drive strength choices are typically tried out for every stage of the signal path. This makes the use of more drive strengths in a cell library impractical. [0008]
  • Various solutions have been proposed for the timing closure problem, which include better wire load prediction, integrated timing optimization and placement, “logical effort” and “gain” based timing optimization. The integrated placement and timing optimization compromises the quality of both timing and placement. “Logical effort” and “gain” based optimization over-constrain the placement stage to produce the right wire loads. These last two approaches attempt to avoid the computational cost of using discrete drive strengths by building continuous models of cells, mapping the delay capabilities of the cells versus their size, and using the resulting simplified models to solve the timing optimization problem. After solving the problem using these simplified models, cells based on continuous parameters are mapped to discrete components from the cell library. The wire load values are still required to be known. The quality of the modeling, mapping delay capabilities versus their size, and the number of the discrete choices in the library are critical issues with these approaches. In sum, these two approaches are often computationally complex and inaccurate. [0009]
  • Accordingly, there is a need for an improved design methodology where timing optimization and placement can be performed independently in the most efficient manner and an initial timing optimization is performed independently of the wire loads. [0010]
  • SUMMARY OF THE INVENTION
  • Accordingly, the present invention provides improved apparatus and methods for generating design netlists which meet timing and performance specifications of a circuit design. Preferably, power usage is kept low, and the design, placement and routing procedures of chip design flow are kept as independent as possible. These improved apparatus and methods rely on a special cell library having the property of constant replacement delays, as defined below, and preferably a relatively large, but still discrete choices of drive strengths. A set of logic cells is called a “family” if each member implements the same logic function, but the members of a particular family may have different electrical properties, like signal delays depending on the drive and load specifications. In one embodiment of a constant replacement delay cell library, members of each logic family share the same logic function, and the same set of replacement delays, which may be different for different signal paths. Each member of the family is designed to drive a specific load from a sorted set of uniformly increasing loads. Replacement delays of each member under this specific load is the same when the member cell is driven by a typical driver. A cell family thus has a load range, a set of fixed replacement delays for the particular load for each member, and a common set of timing constraints, such as setup and hold times, corresponding to the worst cases among the family members. In one example, the number of cells in each family could be 15-20, in contrast to current constant output rise/fall time libraries which are about 4-5. Each member has a “load slack”, which is the difference of the maximum load range of its family and its “natural load”, for which it is has been designed and optimized. [0011]
  • In one embodiment, a design netlist is provided. For example, the design netlist was generated by using a high level description language to describe the design circuit's behavior and the high level description functions were then grouped and mapped to standard cells to form the design netlist. The standard cell library could be a subset of the special library. Each cell in the netlist could be replaced with the appropriate member of the logic family depending on the load it needs to drive. The loads at this point include only the self loading of the cells themselves due to their input or gate capacitances. At the end of this process, where timing requirements and wire loads are ignored, every cell is matched to its capacitive loading. If the cells are power optimized, the circuit is the most power efficient implementation. Each cell is driving its “natural load” and has the maximum “load slack.” One objective in this embodiment is to find an implementation which will maximize the “load slack” for every cell output. If some cells are overloaded, with little “load slack,” their load can be split either among more instances of themselves or multiple instances of buffers. In this implementation, one goal is to maximize the load slack for each cell. This procedure is referred to herein as the “driver replacement.”[0012]
  • Timing optimization can be performed on the resulting netlist after “driver replacement.” Unlike traditional approaches to timing optimization where the goal is to find a cell for a particular expected load, one goal of timing optimization in this embodiment is to find an implementation which allows for maximum “load slack.”Wire load constraints are then determined for each of the outputs of the logic cells within the design netlist. Placement and routing is then performed based on the predetermined wire load constraints to generate the design layout. A second timing optimization is then performed based on the actual wire loads resulting from the routing procedure. This procedure involves replacing a particular cell with another cell from the same family to drive the total load of gate capacitances and interconnect wires, and matches the replacement delay of the original cell with its own replacement delay for the new total load. [0013]
  • Since the replacement cell has the same replacement delay as the replaced cell, it is likely that the timing requirements produced by the first timing optimization are still being met by the resulting netlist after the second timing optimization. However, since timing may be affected by the interconnect effects, variations in the input slopes and variations in the output drive of the cells, which are all second order effects, the timing is preferably re-verified. If timing is still not met, the design layout may have to be adjusted by further cell replacements. [0014]
  • In another embodiment, a method of generating an integrated circuit (IC) layout design is disclosed. An initial layout netlist having a plurality of original cells is provided. A first original cell within the initial layout netlist is replaced with a first replacement cell having a different drive than the first original cell's drive but a same replacement delay as the first original cell when the first original cell is not optimal. The first replacement delay of a particular cell is the particular cell's total delay contribution to a particular delay path that includes the particular cell. [0015]
  • In another embodiment, the invention pertains to a computer readable medium containing program instructions for generating an integrated circuit (IC) layout design. The computer readable medium includes computer readable code for providing an initial layout netlist having a plurality of original cells and for replacing a first original cell within the initial layout netlist with a first replacement cell having a different drive than the first original cell's drive but a same replacement delay as the first original cell when the first original cell is not optimal. The first replacement delay of a particular cell is the particular cell's total delay contribution to a particular delay path that includes the particular cell. The computer readable medium further includes a computer readable medium for storing the computer readable codes. [0016]
  • In yet another embodiment, a computer system operable to generate an integrated circuit (IC) layout design is disclosed. The computer system includes one or more processors and one or more memory. At least one of the processors and memory are adapted to provide an initial layout netlist having a plurality of original cells and replace a first original cell within the initial layout netlist with a first replacement cell having a different drive than the first original cell's drive but a same replacement delay as the first original cell when the first original cell is not optimal. The first replacement delay of a particular cell is the particular cell's total delay contribution to a particular delay path that includes the particular cell. [0017]
  • In yet another aspect, the invention pertains to a method for performing timing optimization. A design netlist having a plurality of cells and associated timing requirements is provided. Timing optimization is performed on the design netlist by ignoring wire load estimates for each cell within the design netlist and maximizing load slack associated with each cell. [0018]
  • In another embodiment, the invention pertains to a method of optimizing a design circuit having a plurality of cells. The design circuit is associated with timing requirements. A constant replacement delay cell library having a plurality of cell families that each include a plurality of cells having different load capabilities and a same replacement delay is provided. A replacement delay of a particular cell within the constant replacement delay cell library is the particular cell's total delay contribution to a particular delay path that includes the particular cell. The design circuit is optimized to meet the timing requirements of such design circuit by replacing one or more cells of the design circuit with cells from the constant replacement delay cell library. The design circuit is optimized for power consumption by replacing one or more cells of the design circuit with cells from the constant replacement delay cell library. [0019]
  • In yet another aspect, the invention pertains to a method for generating a logic family of constant replacement cells. A maximum load, a minimum load, an incremental load, and a single replacement delay are selected for the family. The single replacement delay for the family may vary for different signal paths through the cell, but remains constant across the family. A plurality of standard library cells to be included within the family are generated. Each cell has the selected replacement delays, a same logic function and is capable of driving different loads. The loads associated with the family cells range from the selected minimum load through the selected maximum load in increments of the selected incremental load. [0020]
  • These and other features and advantages of the present invention will be presented in more detail in the following specification of the invention and the accompanying figures which illustrate by way of example the principles of the invention. [0021]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present invention will be readily understood by the following detailed description in conjunction with the accompanying drawings, wherein like reference numerals designate like structural elements, and in which: [0022]
  • FIG. 1 is a flowchart illustrating a conventional integrated circuit design process; [0023]
  • FIGS. 2A and 2B illustrate the concept of replacement delay in accordance with one embodiment of the present invention; [0024]
  • FIG. 3 is a flowchart illustrating a procedure for generating an integrated circuit layout using a constant replacement delay cell library in accordance with one embodiment of the present invention; [0025]
  • FIG. 4 is a flowchart illustrating the driver reassignment procedure of FIG. 3 in accordance with one embodiment of the present invention; [0026]
  • FIG. 5 is a flowchart illustrating the load slack maximizing timing optimization of FIG. 3 in accordance with one embodiment of the present invention; [0027]
  • FIG. 6 is a flowchart illustrating the wire load constraint calculation of FIG. 3 in accordance with one embodiment of the present invention; [0028]
  • FIG. 7 is a flowchart illustrating the constant replacement delay timing optimization of FIG. 3 in accordance with one embodiment of the present invention; and [0029]
  • FIG. 8 is a flow diagram illustrating a procedure for generating a cell for a constant replacement delay cell library in accordance with one embodiment of the present invention. [0030]
  • DETAILED DESCRIPTION OF SPECIFIC EMBODIMENTS
  • Reference will now be made in detail to the specific embodiments of the invention. Examples of these specific embodiments are illustrated in the accompanying drawings. While the invention will be described in conjunction with these specific embodiments, it will be understood that it is not intended to limit the invention to the described embodiments. On the contrary, it is intended to cover alternatives, modifications, and equivalents as may be included within the spirit and scope of the invention as defined by the appended claims. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. The present invention may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail in order not to unnecessarily obscure the present invention. [0031]
  • In general terms, the present invention provides an initial load independent timing optimization that is performed on an initial circuit design using a specialized cell library. The specialized cell library contains various logic cells grouped into families. Each family provides members that have different load ratings, but the same logic function, physical footprint, and replacement delay. Additionally, each member of each family is optimized to drive a certain load which is referred to herein as the “natural load” of the member cell. That is, each family contains a plurality of members capable of driving different loads, but with the same replacement delay. The load granularity, the minimum and maximum load, and the number of cells in the family, and particular replacement delays for various signal paths between the inputs and outputs of each member are various design parameters of the cell family. If there are timing constraints like setup and hold times, the largest values among all members are assigned to the family. In one implementation, granularity could be defined as the total load per fanout, and the number of the cells in the family could be the maximum fanout. [0032]
  • In brief, FIGS. 2A and 2B illustrate the concept of replacement delay using a [0033] cell 204 which is part of a logic family. The capacitive load C(l) is an abstraction of the sum of gate and wire loads the cell 204 needs to drive. The driver 202 represents another cell from the netlist which is driving the inputs of cell 204. The driver 202 is a buffer which passes through the ideal input signals supplied by the voltage source V(pwl). Rise and fall times of the driver 202 can be controlled by the capacitive load C(s) which represents gate and wire loads present at the input of the cell 204. The driver 202 and cell 204 is an abstraction of a segment of a signal path in a circuit design. During timing optimization, both the cell 204 and driver 202 may need to be replaced with other equivalent cells to meet timing requirements. The total path delay t(ac) is the sum of the signal delays through the driver 202, t(ab), and signal delay through the cell 204, t(bc):
  • t(ac)=t(ab)+t(bc) [0034]
  • FIG. 2B illustrates the same circuit as in FIG. 2A, but the [0035] driver 204 and its capacitive load C(l) are removed. The signal delay of driver 202 in this case is t(de). The replacement delay of the cell 204 is defined as:
  • t(r)=t(ab)+t(bc)−t(de) [0036]
  • which is conceptually the sum of the internal signal delay through [0037] cell 204 and the incremental delay it imposes on its driver 202 as a result of its input capacitance. The replacement delay is the total delay contribution of the cell to the path delay, including from itself and from its preceding driver. If cell 204 needs to be replaced because of a change in the load C(l), the total path delay t(ac) will not change substantially since the new cell has the same replacement delay as the original. The term “constant” is being used in an approximate sense. That is, there could be minor variations within the family in the range of a few picoseconds which do not necessarily impact the total signal delay over a relatively long path. During the cell optimization stage the choice of the driver cell and its load C(s) impacts the accuracy of the replacement delays. The replacement accuracy could be improved by selecting the driver to be a “typical” driver, and by selecting C(s) to have a typical fanout load. Deviations around typical behavior usually cancel each other out improving the reliability of the process.
  • A cell library with constant replacement delays is used to generate a circuit layout in contrast to constant rise/fall time libraries which are conventionally used for this purpose. In brief, a synthesis is initially performed to satisfy timing requirements without accounting for wire loads resulting from a subsequent routing procedure. Wire load constraints may then be determined for each cell based on the maximum load its logic family is capable of supporting without changing the timing of the design. Placement and routing is performed based on these determined wire load constraints. After placement and routing, actual wire loads are calculated and original cells are replaced with new cells within the family capable of driving the actual wire loads without changing the timing (i.e. the replacement delay for the replaced cells remains constant). [0038]
  • As explained further below, one can keep the timing of the circuit constant by matching the load with the appropriate logic cell from a constant replacement delay cell library. The accuracy of the timing depends on the granularity of the load levels selected. Additionally, since the number of iterations to do timing optimization is finite, there can be a relatively high number of cells within each cell family. In one embodiment, each family has about 15 members, about the maximum fanout expected within the netlists. One can perform this substitution so long as the total load, which is the sum of wire load and gate capacitance, remains within the maximum and minimum load specifications of the cell family. [0039]
  • Timing optimization generally involves gradually replacing cells on a signal path with higher drive members from the same family. During this process “load slack” may be reduced, leaving less flexibility to placement and routing procedures. In order to increase “load slack,” which is the difference between the maximum load specification for the cell family and the gate capacitance the member cell needs to drive, one can employ mirroring, which splits the load to increase load slack, or insert multiple buffers on a cell's output. Larger load slacks allow more freedom to placement and routing tools, increasing the quality of the placement and making it easier to meet timing requirements. Consequently, the present invention may also include timing optimization techniques that maximize load slack for each cell. [0040]
  • FIG. 3 is a flowchart illustrating a [0041] procedure 300 for generating an integrated circuit layout in accordance with one embodiment of the present invention. Initially, a design is entered in operation 102. In this design entry procedure 102, a specific integrated circuit (IC) is designed using any suitable type and number of design techniques. Typically, a design tool is used to generate a design netlist or circuit description. The design tool typically includes mechanisms for arranging graphical representations of specific functional blocks into an initial design circuit, as well as mechanisms for representing the initial design with a data file listing the included logic elements and their interconnections. For example, an IC designer may use preexisting schematic library blocks to form the IC device using, for example, electronic design automation (EDA) tools. In some cases, the IC designer may create the IC or part of the IC from scratch with the aid of any suitable design system, such as conventional computer aided design (CAD) tools. For example, the IC designer may use a schematic CAD tool to plan the logic diagrams for a particular IC device. Still further, the IC designer may write a description of the IC device or portions of the IC device with the aid of a hardware design language, such as VHDL.
  • Synthesis is then performed in [0042] operation 304. For example, the netlist (or circuit description) is then passed to a synthesis tool which transforms the netlist into a plurality of standard cells taken from a cell library 350. For example, an inverter function within the netlist is replaced with a standard cell inverter from library 350. As standard synthesis tools prefer cell libraries with small families of cells, it may be preferable to work with a small subset of the cell library 350 for the sake of efficiency. Design entry and synthesis can be performed using standard methods irrespective of the nature of the cell library 350. Output from these procedures is a netlist which uses the cells from library 350 and which represents an implementation of the initial design.
  • Driver reassignment then occurs in [0043] operation 306. Driver reassignment 306 generally includes replacing cells selected by the synthesis tool so that the total gate capacitance seen by each cell is approximately equal to their “natural load.”Irrespective of the timing requirements, the circuit netlist after this process is completed has the maximum “load slack.” It is also the most power efficient implementation as each cell is matched to its load, assuming that the cells are power optimized for the particular loads they are driving. One embodiment of driver reassignment is described further below with respect to FIG. 4. A load slack maximizing timing optimization 308 may then be performed. Load slack is defined as the difference between the maximum load of a cell family, and the current load member cell needs to drive. Timing of a signal path can be improved by using higher drive cells from the same family. With a large number of cells on the path and given a large selection of cells to use, there are a large number of ways of meeting the timing requirement. This embodiment of the present invention starts with a maximum slack implementation which may be violating the timing requirements, and chooses from among all possible implementations one with the maximum load slack.
  • Wire load constraints are then calculated in [0044] operation 310. Maximum and/or minimum wire loads are determined for each cell output. Additionally, for cells with multiple outputs, the wire loads may be balanced. One embodiment of a technique for determining the wire load constraints is described further below with reference to FIG. 6. After wire load constraints are calculated, placement and routing 312 occurs. One goal of routing is to generate the smallest wire loads meeting the previously calculated wire load constraints.
  • Wire load constraints are determined and used so that replacement cells may be selected after placement and routing to replace the originally selected cells without any regard to placement and routing procedures. If the calculated load constraints are satisfied during placement and routing, prelayout timing requirements of the logic circuit are most likely to be met (e.g. during subsequent timing optimization [0045] 314).
  • A constant replacement delay [0046] timing optimization procedure 314 is then performed. This optimization 314 is based on the actual loads coming out of the placement and routing procedure 312. Each original cell in the layout is replaced with a replacement cell from the constant replacement cell library 350 which has the same replacement for the total load of gate capacitance and wire loads as the original cell had with the gate capacitance load only. Preferably, the cell with the lowest drive that can meet these requirements is selected as the replacement cell. During this process, second order effects that may impact the accuracy of the path delays can be accounted for. These could result from the finite granularity of the cell library, the distributed nature of interconnect wire loads, variations in the output drive, and variations in the input rise/fall times, which may happen as the cells are replaced. If the path delay exceeds the specifications, beginning with the smallest cells on the path, they are replaced with higher drive members from the same family in an incremental layout adjustment operation 316. One embodiment of constant replacement delay timing optimization 314 is described further below with respect to FIG. 7.
  • Any suitable technique may be used to give a starting netlist for the driver replacement procedure. FIG. 4 is a flowchart illustrating the [0047] driver reassignment procedure 306 of FIG. 3 in accordance with one embodiment of the present invention. Initially, each cell in the netlist is replaced with the smallest cell in its family in operation 402. It is then determined whether these cells are matching to the load they are driving, ignoring any wire loads. To accomplish this, the total input gate capacitance is then calculated for each cell in the netlist in operation 404. Each driver cell is then replaced with cell versions matching its calculated load in operation 406 if the current cell is not matching the calculated load. It is then determined whether any cells were replaced in operation 408.
  • If cells were replaced, [0048] operations 404 and 406 are repeated, wherein total input gate capacitance are calculated again and cells are replaced to match their loads. If no cells have been replaced, the new netlist is generated in operation 410. Loads are recalculated since cell replacements also impact them. This iterative procedure ends when no cells have been replaced.
  • At the end of this iterative process, every cell drives its “natural load,” without accounting for wire loads. If the cells were optimized for power for their “natural loads,” the netlist represents the most power optimal implementation of the logic design. In this embodiment, the [0049] driver reassignment process 306 assures that the starting point for timing optimization is a power optimized netlist. In addition, all the cells have the maximum load slack. However, at this point in the design flow, this implementation may not meet the timing requirements. The bigger the load slack, the easier it will be to meet timing specifications, and the more efficient the placement and routing will be. Mirroring and buffering can be used to increase load slack by reducing the fanout.
  • Unlike standard timing optimization techniques which make assumptions about wire loads at every step of the process, the techniques of several of the specific embodiments generally ignore wire load. The focus of these techniques is to find an implementation which will maximize load slack while meeting the timing requirements. This approach gives the maximum freedom to placement and routing tools, effectively decoupling them from the timing requirements. [0050]
  • Assuming that we have a signal path, which is a chain of cells along which a signal propagates, FIG. 5 is a flow chart illustrating the load slack maximizing [0051] timing optimization 308 of FIG. 3 for such path in accordance with one embodiment of the present invention. This optimization procedure 308 may, of course, be applied to all paths within the design netlist. Initially, it is determined whether the timing goals have been met for the path being optimized in operation 502. If the timing goals have been met, this optimization 308 ends. If the timing goals have not been met, the load slack is calculated in operation 504. For each cell on the path, a differential delay is calculated which is the reduction in path delay if the cell were to be replaced by the next higher drive member of the cell family in operation 506. (The load slack would be reduced as well if the replacement were actually carried out.) Among all the cells with the largest load slacks, the one with the largest delay reduction is selected for replacement in operation 508. The path delay is then updated in operation 510. The operation 308 for load slack maximizing timing optimization is then repeated in operation 502 until timing requirements are met.
  • In the illustrated embodiment, selecting the cell with the largest load slack for replacement allows other cells to conserve their relatively smaller load slack values for subsequent wire load additions. In other words, only cells with large load slacks are utilized for timing optimization. Thus, it is more likely that enough load slack remains for the replaced cells (as well as for the other non-replaced cells) for adding wire load during routing. Selecting cells with the largest differential delay speeds up the optimization process with least load slack loss. By way of alternative embodiments, cells may be randomly or sequentially selected to be replaced by higher drive cells until the timing goals are met without regard to load slacks. Timing closure after placement and routing may be severely impacted. [0052]
  • Any number and type of situations may be addressed to determine wire load constraints for a particular cell. FIG. 6 illustrates one embodiment of the wire [0053] load constraint calculation 310 of FIG. 3. Wire load constraint calculations are shown for a single cell. However, this operation 310 is preferably applied to each cell within the layout design. After one or more wire load constraint(s) are determined for a particular cell, a subsequent placement and routing procedure may then generate a wire load for each cell that meets its calculated wire load constraint(s).
  • In the illustrated embodiment, it is initially determined whether the particular cell matches its present load in [0054] operation 602. If the cell's load, excluding wire loads from subsequent routing, is within the “natural load” range for this cell, the maximum wire load cannot be more than the load slack of the cell. In this case maximum wire load constraint is the difference between the maximum load specified for the cell family and the total gate capacitance that the cell is driving in operation 604.
  • If the cell does not match its load (e.g., the cell is overdriving), which may have happened as a result of the load slack maximizing timing optimization procedure, the replacement delay of the cell is calculated using the gate capacitance that the cell is actually driving in [0055] operation 606. The replacement delay is calculated since a cell that was originally selected from a particular family having a particular replacement delay will have a replacement delay that is different than its family's when it is driving significantly less load than it is designed to drive. The maximum wire load is then defined as the difference between the load of the biggest member of the family with the same calculated replacement delay and the gate capacitances that the current cell is actually driving in operation 607. This wire load is the maximum wire load one can accept without impacting the timing. This maximum is preferably comparable to the difference between the maximum load of the cell family and the natural load of the cell. Detailed calculation accounts for any non-linearity and may allow larger load constraints.
  • It is then determined whether the total gate capacitance load for the current cell is less than the minimum load specification of the cell family in [0056] operation 608. If this is true, a minimum wire load constraint is calculated to be equal to the minimum load specification of the current cell family minus the total gate capacitance of the current cell it is driving in operation 610. Minimum wire loads for a cell family may be necessary as it may not be possible to implement a constant replacement delay requirement over a large range of loads. However, by subdividing the load range and allowing smaller delays for intervals with smaller loads, multiple cell families can be generated for different load ranges. In this example, the load constraints include both a maximum wire load constraint and a minimum wire load constraint. However, if the total gate capacitance load for the current cell is not less than the minimum load specification of its cell family, a minimum wire load is not calculated in operation 610.
  • It then may be determined whether the current cell has multiple outputs in [0057] operation 612. If the cell does not have multiple outputs, the operation 310 for determining wire load constraints finishes. If the cell has multiple outputs, wire loads are preferably balanced. That is, the same amount of wire load is added to each output. Accordingly, the maximum wire load is determined to be equal to the smallest maximum wire load constraint calculated for the multiple outputs of the current cell in operation 614. Additionally the minimum wire load constraint is preferably set to the largest minimum wire load calculated for the multiple outputs of the current cell (assuming that a minimum wire load was calculated) in operation 616.
  • FIG. 7 is a flowchart illustrating the constant replacement [0058] delay timing optimization 314 of FIG. 3 in accordance with one embodiment of the present invention. This procedure is illustrated for a single current cell although it may be applied to each cell of the netlist. In general terms, an original cell is replaced with another cell from the cell family with the same replacement delay, and the replacement cell is capable of driving the original cell's present load, including the wire load generated by the routing process. Initially, it is determined whether the current cell is an overdriving cell in operation 702, which may result from the load slack maximizing timing optimization procedure.
  • If the current cell is an overdriving cell (i.e., driving less than its natural load), its replacement delay is then calculated in [0059] operation 708, using the gate capacitance the cell is actually driving as the load. Total load is then calculated in operation 710 as the sum of the gate and wire capacitances. The cell is then replaced with a cell from the family with the same calculated replacement delay for the calculated load in operation 712. The timing optimization 314 ends. As a result, the delay of signals passing through the replaced cell will not change after the replacement.
  • If the current cell was not an overdriving cell (i.e., its load consisting of gate capacitances is comparable to its natural load within the limits of load granularity of its cell family), the total capacitance is then calculated for the current cell in [0060] operation 704. This calculated capacitance load includes both the gate capacitance as well as the wire capacitance. The current cell is then replaced with a cell from the current cell family that is designed to drive the calculated load in operation 706. The operation 314 for constant replacement delay timing optimization then ends.
  • Suitable computer systems for use in implementing and controlling the methods in the present invention (e.g., synthesis, driver reassignments, timing optimization techniques, wire load constrains calculations, etc.) may be obtained from various vendors (e.g., Silicon Graphics of Mountain View, Calif. or Sun Microsystems of Sunnyvale, Calif.) or custom built by a design circuit synthesis system vendor, such as Synopsis, Inc. of Mountain View, Calif. The above described techniques may be implemented with any suitable combination of hardware and software. For example, the techniques of the present invention may be implemented with any suitable computer program instructions that are embedded within any suitable computer readable medium. [0061]
  • Examples of computer readable media include, but are not limited to, magnetic media such as hard disks, floppy disks, and magnetic tape; optical media such as CD-ROM disks; magneto-optical media such as floptical disks; and hardware devices that are specially configured to store and perform program instructions, such as read-only memory devices (ROM) and random access memory (RAM). The invention may also be embodied in a carrier wave travelling over an appropriate medium such as airwaves, optical lines, electric lines, etc. Examples of program instructions include both machine code, such as produced by a compiler, and files containing higher level code that may be executed by the computer using an interpreter. [0062]
  • Any suitable techniques may be utilized to generate a cell library having groups of cells with different drives and the same replacement delay. FIG. 8 is a flow diagram illustrating a [0063] procedure 800 for generating a constant replacement delay cell library in accordance with one embodiment of the present invention. Initially, a seed netlist is provided in operation 802. The seed netlist is a collection of transistors and their connectivity which when laid out implements a certain logic function. Logic function can be described using any suitable language, such as ACDL 810, which stands for Advanced Cell Description Language. The seed netlist may be created using any suitable technique, such as using schematic capture or entering the netlist manually. When designed manually, the seed netlist is generally designed to meet timing and area requirements, which techniques are well known to those skilled in the art.
  • Cell layout is then implemented in [0064] operation 804 on the provided seed netlist. Circuit extraction is then performed on the cell layout in operation 806. Each transistor is characterized by length l, width w, the area, perimeter and the resistance of their source and drain regions, which are denoted by ad, as, pd, ps, rd, rs. As the transistor size changes (l and w), the parameters ad, as, pd, ps, rd, rs change as well. One purpose of using a circuit extractor is to calculate these parameters as well as the parameters for interconnect wires connecting the transistors together. These parameters depend on the layout topology as well as the sizes of transistors. The bulk of cell design effort is in determining the transistor sizes to use for a particular timing specification and generating a compact layout for the cell.
  • A [0065] circuit optimizer 808 transforms the extracted circuit into a new netlist 818 which meets the timing requirements and is power optimized. For example, the circuit optimizer 808 may be specifically configured software tools such as CellOpt™ available from Library Technologies, Inc. of Saratoga, Calif.
  • In one embodiment, the circuit optimizer may be configured to embed the cell being optimized into an optimization fixture as illustrated in FIG. 2A where the [0066] driver 202 is a typical driver with symmetric rise/fall times. Its output drive strength is preferably close to the output drive strength of the majority of cells to be designed. Its rise and fall times can be controlled by the slew 814 input which sets the values of C(s). Load input 814 sets C(l). The replacement delays 812 specifies what the replacement delay for various timing arcs through the cell 204 should be after the transistors have been resized. There could be different delay requirements for different timing arcs through the cell 204. All the timing paths (whether they are coupled to the outputs of cell 204 or not) can be enumerated based on the functional description of the cell given in ACDL format 810. Internal signal paths are factors for power reduction, while signal paths that reach the outputs of the cell are factors for both power reduction and for meeting the timing goals. Circuit optimizer 808 uses numeric optimization techniques where it uses circuit simulator 816 (e.g. SPICE) to measure the timing and power dissipation of the circuit implementation, changes the transistor sizes, recalculates their parameters and simulates again until all the requirements are satisfied. Source/drain area and perimeter values may be updated based on the following extrapolation formulae:
  • a d =wh d
  • a s =wh s
  • p d =b d(w+h d)
  • p s =b s(w+h s)
  • where the parameters b[0067] d, bs, hd, hs can be calculated using the device parameters of the extracted circuit 806. They may vary depending on the layout topology and the extractor used. If the layout topology and the extractor used do not change, these equations can accurately track device parameters as the device sizes change.
  • In summary, [0068] circuit optimizer 808 produces a new netlist 818 which meets the timing requirements, optimizes power, and incorporates layout effects. If it can be laid out (820) using the original layout topology 804 as the seed netlist 802, the process 800 finishes. Otherwise, the extracted circuit netlist may be based on the new layout 820, and process 800 repeats.
  • In order to generate a family of constant replacement delay cells which may be indexed by 1, 2,3 . . . , the process is repeated by setting C(l) defined ([0069] 814) to C0, 2C0, 3C0, . . . where C0 is the granularity, and may correspond to typical load per fanout.
  • In general terms, a constant replacement delay cell library family may be created by first selecting a maximum load that the family is capable of driving. A minimum load is then selected for the family. An incremental load is then selected for the family. A single replacement delay value is then selected for the family. Standard library cells are then generated for the family. Each cell has the same selected replacement delay, a same logic function, and is capable of driving different loads from other cells within the family. The loads associated with the family cells range from the selected minimum load through the selected maximum load in increments of the selected incremental load. [0070]
  • Although the foregoing invention has been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing both the process and apparatus of the present invention. For example, although the above implementation example describes cells that are first replaced with cells for power optimization purposes (operation [0071] 306) and then replaced again for timing optimization purposes (operation 308), a cell may be replaced once with a cell that is optimized to meet both power and timing requirements. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the invention is not to be limited to the details given herein, but may be modified within the scope and equivalents of the appended claims.

Claims (21)

What is claimed is:
1. A method of generating an integrated circuit (IC) layout design, the method comprising:
providing an initial layout netlist having a plurality of original cells; and
replacing a first original cell within the initial layout netlist with a first replacement cell having a different drive than the first original cell's drive but a same replacement delay as a first original delay of the first original cell when the first original cell is not optimal,
wherein the first replacement delay equals a total delay contribution to a particular delay path that includes the first replacement cell and the first original delay equals a total delay contribution to a particular delay path that includes the first original cell.
2. A method as recited in claim 1, wherein the first replacement cell is taken from a constant replacement delay cell library having a plurality of cell families that each include a plurality of cells having different load capabilities and a same replacement delay.
3. A method as recited in claim 1, wherein providing the initial layout netlist is accomplished by transforming a logic design netlist or logic design description into the layout netlist.
4. A method as recited in claim 1, wherein the first replacement is prior to any routing procedure being performed on the layout netlist, wherein the first replacement cell is capable of driving the first original cell's load, excluding a wire load that is associated with the first original cell and is introduced by a subsequent routing procedure, the first original cell not being optimal when it is incapable of driving its own load, excluding the wire load.
5. A method as recited in claim 1, wherein the first replacement is accomplished by ignoring a wire load for the first original cell and maximizing load slack associated with the first original cell.
6. A method as recited in claim 1, wherein the first original cell is optimal when power consumption is optimized.
7. A method as recited in claim 2, further comprising providing at least one family of the constant replacement delay cell library by:
selecting a maximum load for the family;
selecting a minimum load for the family;
selecting an incremental load for the family;
selecting a single replacement delay for the family which may vary for different signal paths through the cell, but remains constant across the family; and
generating a plurality of standard library cells to be included within the family, each cell having the selected replacement delay and a same logic function and being capable of driving different loads, wherein the loads associated with the family cells range from the selected minimum load through the selected maximum load in increments of the selected incremental load.
8. A computer readable medium containing program instructions for generating an integrated circuit (IC) layout design, the computer readable medium comprising:
computer readable code for providing an initial layout netlist having a plurality of original cells;
computer readable code for replacing a first original cell within the initial layout netlist with a first replacement cell having a different drive than the first original cell's drive but a same replacement delay as a first original delay of the first original cell when the first original cell is not optimal, wherein the first replacement delay equals a total delay contribution to a particular delay path that includes the first replacement cell and the first original delay equals a total delay contribution to a particular delay path that includes the first original cell.; and
a computer readable medium for storing the computer readable codes.
9. A computer readable medium as recited in claim 8, wherein the first replacement cell is taken from a constant replacement delay cell library having a plurality of cell families that each include a plurality of cells having different load capabilities and a same replacement delay.
10. A computer readable medium as recited in claim 8, wherein providing the initial layout netlist is accomplished by transforming a logic design netlist or logic design description into the layout netlist.
11. A computer readable medium as recited in claim 8, wherein the first replacement is prior to any routing procedure being performed on the layout netlist, wherein the first replacement cell is capable of driving the first original cell's load, excluding a wire load that is associated with the first original cell and is introduced by a subsequent routing procedure, the first original cell not being optimal when it is incapable of driving its own load, excluding the wire load.
12. A computer readable medium as recited in claim 8, wherein the first replacement is accomplished by ignoring a wire load for the first original cell and maximizing load slack associated with the first original cell.
13. A computer readable medium as recited in claim 8, wherein the first original cell is optimal when power consumption is optimized.
14. A computer readable medium as recited in claim 9, the computer readable medium comprising: computer readable code for providing at least one family of the constant replacement delay cell library by:
selecting a maximum load for the family;
selecting a minimum load for the family;
selecting an incremental load for the family;
selecting a single replacement delay for the family which may vary for different signal paths through the cell, but remains constant across the family; and
generating a plurality of standard library cells to be included within the family, each cell having the selected replacement delay and a same logic function and being capable of driving different loads, wherein the loads associated with the family cells range from the selected minimum load through the selected maximum load in increments of the selected incremental load.
15. A computer system operable to generate an integrated circuit (IC) layout design, the computer system comprising:
one or more processors;
one or more memory, wherein at least one of the processors and memory are adapted to:
provide an initial layout netlist having a plurality of original cells; and
replace a first original cell within the initial layout netlist with a first replacement cell having a different drive than the first original cell's drive but a same replacement delay as a first original delay of the first original cell when the first original cell is not optimal,
wherein the first replacement delay equals a total delay contribution to a particular delay path that includes the first replacement cell and the first original delay equals a total delay contribution to a particular delay path that includes the first original cell.
16. A computer system as recited in claim 15, wherein the first replacement cell is taken from a constant replacement delay cell library having a plurality of cell families that each include a plurality of cells having different load capabilities and a same replacement delay.
17. A computer system as recited in claim 15, wherein providing the initial layout netlist is accomplished by transforming a logic design netlist or logic design description into the layout netlist.
18. A computer system as recited in claim 15, wherein the first replacement is prior to any routing procedure being performed on the layout netlist, wherein the first replacement cell is capable of driving the first original cell's load, excluding a wire load that is associated with the first original cell and is introduced by a subsequent routing procedure, the first original cell not being optimal when it is incapable of driving its own load, excluding the wire load.
19. A computer system as recited in claim 15, wherein the first replacement is accomplished by ignoring a wire load for the first original cell and maximizing load slack associated with the first original cell.
20. A computer system as recited in claim 15, wherein the first original cell is optimal when power consumption is optimized.
21. A computer system as recited in claim 16, wherein at least one of the processors and memory are further adapted to provide at least one family of the constant replacement delay cell library by:
selecting a maximum load for the family;
selecting a minimum load for the family;
selecting an incremental load for the family;
selecting a single replacement delay for the family which may vary for different signal paths through the cell, but remains constant across the family; and
generating a plurality of standard library cells to be included within the family, each cell having the selected replacement delay and a same logic function and being capable of driving different loads, wherein the loads associated with the family cells range from the selected minimum load through the selected maximum load in increments of the selected incremental load.
US10/322,851 2001-06-08 2002-12-18 Apparatus and methods for wire load independent logic synthesis and timing closure with constant replacement delay cell libraries Abandoned US20030088842A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/322,851 US20030088842A1 (en) 2001-06-08 2002-12-18 Apparatus and methods for wire load independent logic synthesis and timing closure with constant replacement delay cell libraries

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/877,998 US6523156B2 (en) 2001-06-08 2001-06-08 Apparatus and methods for wire load independent logic synthesis and timing closure with constant replacement delay cell libraries
US10/322,851 US20030088842A1 (en) 2001-06-08 2002-12-18 Apparatus and methods for wire load independent logic synthesis and timing closure with constant replacement delay cell libraries

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/877,998 Continuation US6523156B2 (en) 2001-06-08 2001-06-08 Apparatus and methods for wire load independent logic synthesis and timing closure with constant replacement delay cell libraries

Publications (1)

Publication Number Publication Date
US20030088842A1 true US20030088842A1 (en) 2003-05-08

Family

ID=25371166

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/877,998 Expired - Fee Related US6523156B2 (en) 2001-06-08 2001-06-08 Apparatus and methods for wire load independent logic synthesis and timing closure with constant replacement delay cell libraries
US10/322,851 Abandoned US20030088842A1 (en) 2001-06-08 2002-12-18 Apparatus and methods for wire load independent logic synthesis and timing closure with constant replacement delay cell libraries

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/877,998 Expired - Fee Related US6523156B2 (en) 2001-06-08 2001-06-08 Apparatus and methods for wire load independent logic synthesis and timing closure with constant replacement delay cell libraries

Country Status (1)

Country Link
US (2) US6523156B2 (en)

Cited By (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030188266A1 (en) * 2002-03-29 2003-10-02 International Business Machines Corporation Substituting high performance and low power macros in integrated circuit chips
US20040216063A1 (en) * 2003-04-28 2004-10-28 International Business Machines Corporation Method and system for integrated circuit design
US20050155007A1 (en) * 2004-01-09 2005-07-14 Matsushita Electric Industrial Co., Ltd. Automatic layout method of semiconductor integrated circuit
US20050278658A1 (en) * 2004-05-27 2005-12-15 Xiaonan Zhang Standard cell library having cell drive strengths selected according to delay
US20060095541A1 (en) * 2004-10-08 2006-05-04 Sharp Laboratories Of America, Inc. Methods and systems for administrating imaging device event notification
US20060117286A1 (en) * 2004-11-26 2006-06-01 Fujitsu Limited Method for correcting timing error when designing semiconductor integrated circuit
US7093217B1 (en) * 2003-11-03 2006-08-15 Sun Microsystems, Inc. Method and apparatus for determining the optimal fanout across a logic element
EP1701279A1 (en) * 2005-03-11 2006-09-13 STMicroelectronics (Research & Development) Limited Manufacturing a clock distribution network in an integrated circuit
US20060215457A1 (en) * 2005-03-25 2006-09-28 Fujitsu Limited Method of generating cell library data for large scale integrated circuits
US7191426B1 (en) * 2004-09-01 2007-03-13 Altera Corporation Method and apparatus for performing incremental compilation on field programmable gate arrays
US7308664B1 (en) * 2004-02-09 2007-12-11 Altera Corporation Method and apparatus for utilizing long-path and short-path timing constraints in an electronic-design-automation tool for routing
US20080072205A1 (en) * 2006-09-18 2008-03-20 Harris Edward B Method and apparatus for designing a logic circuit using one or more circuit elements having a substantially continuous range of values
US7412680B1 (en) * 2005-09-15 2008-08-12 Altera Corporation Method and apparatus for performing integrated global routing and buffer insertion
US20090014811A1 (en) * 2006-03-09 2009-01-15 Tela Innovations, Inc. Dynamic Array Architecture
US20090032898A1 (en) * 2007-08-02 2009-02-05 Tela Innovations, Inc. Methods for Defining Dynamic Array Section with Manufacturing Assurance Halo and Apparatus Implementing the Same
US20090172608A1 (en) * 2007-12-28 2009-07-02 Hopkins Jeremy T Techniques for Selecting Spares to Implement a Design Change in an Integrated Circuit
US20090224408A1 (en) * 2008-03-27 2009-09-10 Tela Innovations, Inc. Methods for Multi-Wire Routing and Apparatus Implementing Same
US20090224317A1 (en) * 2008-03-13 2009-09-10 Tela Innovations, Inc. Cross-Coupled Transistor Layouts in Restricted Gate Level Layout Architecture
US20100006901A1 (en) * 2006-03-09 2010-01-14 Tela Innovations, Inc. Semiconductor Device Portion Having Gate Electrode Conductive Structures Formed from Rectangular Shaped Gate Electrode Layout Features Defined Along At Least Four Gate Electrode Tracks and Having Corresponding Non-Symmetric Diffusion Regions
US7716612B1 (en) * 2005-12-29 2010-05-11 Tela Innovations, Inc. Method and system for integrated circuit optimization by using an optimized standard-cell library
US20100252896A1 (en) * 2007-10-26 2010-10-07 Tela Innovations, Inc. Methods, Structures, and Designs for Self-Aligning Local Interconnects used in Integrated Circuits
US20110035717A1 (en) * 2009-08-05 2011-02-10 Taiwan Semiconductor Manufacturing Company, Ltd. Design Optimization for Circuit Migration
US7932545B2 (en) 2006-03-09 2011-04-26 Tela Innovations, Inc. Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers
US7979829B2 (en) 2007-02-20 2011-07-12 Tela Innovations, Inc. Integrated circuit cell library with cell-level process compensation technique (PCT) application and associated methods
US8015517B1 (en) * 2008-06-06 2011-09-06 Nangate A/S Library sizing
US8065384B2 (en) 2004-10-08 2011-11-22 Sharp Laboratories Of America, Inc. Methods and systems for imaging device event notification subscription
US8214778B2 (en) 2007-08-02 2012-07-03 Tela Innovations, Inc. Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US8225261B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
US8225239B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US8245180B2 (en) 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
US8247846B2 (en) 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US8286107B2 (en) 2007-02-20 2012-10-09 Tela Innovations, Inc. Methods and systems for process compensation technique acceleration
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US8843873B1 (en) * 2013-12-08 2014-09-23 Pramod Sharma Capacitive cell load estimation using electromigration analysis
US8863063B2 (en) 2009-05-06 2014-10-14 Tela Innovations, Inc. Finfet transistor circuit
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7082104B2 (en) * 2001-05-18 2006-07-25 Intel Corporation Network device switch
US7093224B2 (en) 2001-08-28 2006-08-15 Intel Corporation Model-based logic design
US7073156B2 (en) * 2001-08-29 2006-07-04 Intel Corporation Gate estimation process and method
US6983427B2 (en) * 2001-08-29 2006-01-03 Intel Corporation Generating a logic design
US20030046054A1 (en) * 2001-08-29 2003-03-06 Wheeler William R. Providing modeling instrumentation with an application programming interface to a GUI application
US6859913B2 (en) * 2001-08-29 2005-02-22 Intel Corporation Representing a simulation model using a hardware configuration database
US7107201B2 (en) * 2001-08-29 2006-09-12 Intel Corporation Simulating a logic design
US20030046051A1 (en) * 2001-08-29 2003-03-06 Wheeler William R. Unified design parameter dependency management method and apparatus
US6721925B2 (en) * 2001-08-29 2004-04-13 Intel Corporation Employing intelligent logical models to enable concise logic representations for clarity of design description and for rapid design capture
US7130784B2 (en) * 2001-08-29 2006-10-31 Intel Corporation Logic simulation
US6701506B1 (en) * 2001-12-14 2004-03-02 Sequence Design, Inc. Method for match delay buffer insertion
US7197724B2 (en) * 2002-01-17 2007-03-27 Intel Corporation Modeling a logic design
US6782514B2 (en) * 2002-01-24 2004-08-24 Zenasis Technologies, Inc. Context-sensitive constraint driven uniquification and characterization of standard cells
US20030145311A1 (en) * 2002-01-25 2003-07-31 Wheeler William R. Generating simulation code
US7024639B2 (en) * 2002-01-31 2006-04-04 Cadence Design Systems, Inc. Method and apparatus for specifying encoded sub-networks
US6854098B2 (en) * 2002-01-31 2005-02-08 Cadence Design Systems, Inc. Method and apparatus for performing technology mapping
US7398503B2 (en) * 2002-01-31 2008-07-08 Cadence Design Systems, Inc Method and apparatus for pre-tabulating sub-networks
US6990650B2 (en) * 2002-01-31 2006-01-24 Cadence Design Systems, Inc. Method and apparatus for performing technology mapping
US20030217026A1 (en) * 2002-01-31 2003-11-20 Steven Teig Structure for storing a plurality os sub-networks
US7076760B2 (en) * 2002-01-31 2006-07-11 Cadence Design Systems, Inc. Method and apparatus for specifying encoded sub-networks
US6848086B2 (en) * 2002-01-31 2005-01-25 Cadence Design Systems, Inc. Method and apparatus for performing technology mapping
US7383524B2 (en) * 2002-01-31 2008-06-03 Cadence Design Systems, Inc Structure for storing a plurality of sub-networks
US6854097B2 (en) * 2002-01-31 2005-02-08 Cadence Design Systems, Inc. Method and apparatus for performing technology mapping
US6795955B2 (en) * 2002-03-15 2004-09-21 Numerical Technologies Method and apparatus for identifying an identical cell in an IC layout with an existing solution
US6880133B2 (en) * 2002-05-15 2005-04-12 Sonics, Inc. Method and apparatus for optimizing distributed multiplexed bus interconnects
US7124380B2 (en) * 2004-03-18 2006-10-17 Hewlett-Packard Development Company, L.P. System and method for controlling analysis of multiple instantiations of circuits in hierarchical VLSI circuit designs
US7228514B2 (en) * 2005-01-21 2007-06-05 International Business Machines Corporation Method, system and computer program product for automatically estimating pin locations and interconnect parasitics of a circuit layout
US20070006106A1 (en) * 2005-06-30 2007-01-04 Texas Instruments Incorporated Method and system for desensitization of chip designs from perturbations affecting timing and manufacturability
US7600208B1 (en) 2007-01-31 2009-10-06 Cadence Design Systems, Inc. Automatic placement of decoupling capacitors
US7596775B2 (en) * 2007-05-22 2009-09-29 United Microelectronics Corp. Method for determining a standard cell for IC design
US8621408B2 (en) * 2011-12-02 2013-12-31 Synopsys, Inc. Progressive circuit evaluation for circuit optimization
US8762904B2 (en) 2012-03-28 2014-06-24 Synopsys, Inc. Optimizing logic synthesis for environmental insensitivity
US8863058B2 (en) * 2012-09-24 2014-10-14 Atrenta, Inc. Characterization based buffering and sizing for system performance optimization
US9965581B1 (en) * 2015-07-20 2018-05-08 Xilinx, Inc. Fanout optimization to facilitate timing improvement in circuit designs
US10242150B1 (en) * 2016-06-07 2019-03-26 Xilinx, Inc. Circuit design implementation using control-set based merging and module-based replication
CN110750946B (en) * 2018-07-19 2023-08-18 澜至电子科技(成都)有限公司 Simulation acceleration method and system for integrated circuit netlist
US10831954B1 (en) 2019-10-29 2020-11-10 International Business Machines Corporation Technology lookup table-based default assertion generation and consumption for timing closure of VLSI designs
US11341311B1 (en) 2021-06-24 2022-05-24 International Business Machines Corporation Generation and selection of universally routable via mesh specifications in an integrated circuit

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6058252A (en) 1995-01-19 2000-05-02 Synopsys, Inc. System and method for generating effective layout constraints for a circuit design or the like
US5666288A (en) * 1995-04-21 1997-09-09 Motorola, Inc. Method and apparatus for designing an integrated circuit
US6014506A (en) * 1995-10-31 2000-01-11 Vlsi Technology, Inc. Method and apparatus for improving engineering change order placement in integrated circuit designs
US5953236A (en) * 1995-10-31 1999-09-14 Vlsi Technology, Inc. Method and apparatus for implementing engineering change orders in integrated circuit designs
JPH10163330A (en) 1996-12-03 1998-06-19 Nec Corp Apparatus and method for optimizing delay in taking layout in consideration
US6080201A (en) 1998-02-10 2000-06-27 International Business Machines Corporation Integrated placement and synthesis for timing closure of microprocessors
US6099580A (en) 1998-02-11 2000-08-08 Monterey Design Systems, Inc. Method for providing performance-driven logic optimization in an integrated circuit layout design
US6167557A (en) 1998-04-28 2000-12-26 International Business Machines Corporation Method and apparatus for logic synthesis employing size independent timing optimization
US6321371B1 (en) * 1999-07-01 2001-11-20 Agilent Technologies, Inc. Insertion of spare logic gates into the unused spaces between individual gates in standard cell artwork
US6404226B1 (en) * 1999-09-21 2002-06-11 Lattice Semiconductor Corporation Integrated circuit with standard cell logic and spare gates

Cited By (241)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6721927B2 (en) * 2002-03-29 2004-04-13 International Business Machines Corporation Substituting high performance and low power macros in integrated circuit chips
US20030188266A1 (en) * 2002-03-29 2003-10-02 International Business Machines Corporation Substituting high performance and low power macros in integrated circuit chips
US20040216063A1 (en) * 2003-04-28 2004-10-28 International Business Machines Corporation Method and system for integrated circuit design
US6865725B2 (en) * 2003-04-28 2005-03-08 International Business Machines Corporation Method and system for integrated circuit design
US7093217B1 (en) * 2003-11-03 2006-08-15 Sun Microsystems, Inc. Method and apparatus for determining the optimal fanout across a logic element
US20050155007A1 (en) * 2004-01-09 2005-07-14 Matsushita Electric Industrial Co., Ltd. Automatic layout method of semiconductor integrated circuit
CN100401305C (en) * 2004-01-09 2008-07-09 松下电器产业株式会社 Automatic layout method of semiconductor integrated circuit
US7272811B2 (en) 2004-01-09 2007-09-18 Matsushita Electric Industrial Co., Ltd. Automatic layout method of semiconductor integrated circuit
US8156463B1 (en) 2004-02-09 2012-04-10 Altera Corporation Method and apparatus for utilizing long-path and short-path timing constraints in an electronic-design-automation tool for routing
US7308664B1 (en) * 2004-02-09 2007-12-11 Altera Corporation Method and apparatus for utilizing long-path and short-path timing constraints in an electronic-design-automation tool for routing
US7254802B2 (en) * 2004-05-27 2007-08-07 Verisilicon Holdings, Co. Ltd. Standard cell library having cell drive strengths selected according to delay
US20050278658A1 (en) * 2004-05-27 2005-12-15 Xiaonan Zhang Standard cell library having cell drive strengths selected according to delay
US7191426B1 (en) * 2004-09-01 2007-03-13 Altera Corporation Method and apparatus for performing incremental compilation on field programmable gate arrays
US20060095541A1 (en) * 2004-10-08 2006-05-04 Sharp Laboratories Of America, Inc. Methods and systems for administrating imaging device event notification
US8065384B2 (en) 2004-10-08 2011-11-22 Sharp Laboratories Of America, Inc. Methods and systems for imaging device event notification subscription
US20060117286A1 (en) * 2004-11-26 2006-06-01 Fujitsu Limited Method for correcting timing error when designing semiconductor integrated circuit
US7444607B2 (en) * 2004-11-26 2008-10-28 Fujitsu Limited Method for correcting timing error when designing semiconductor integrated circuit
US20060248486A1 (en) * 2005-03-11 2006-11-02 Stmicroelectronics Limited Manufacturing a clock distribution network in an integrated circuit
EP1701279A1 (en) * 2005-03-11 2006-09-13 STMicroelectronics (Research & Development) Limited Manufacturing a clock distribution network in an integrated circuit
US7831945B2 (en) 2005-03-11 2010-11-09 STMicroelectronics (R&D) Ltd. Manufacturing a clock distribution network in an integrated circuit
US20060215457A1 (en) * 2005-03-25 2006-09-28 Fujitsu Limited Method of generating cell library data for large scale integrated circuits
US7320116B2 (en) * 2005-03-25 2008-01-15 Fujitsu Limited Method of generating cell library data for large scale integrated circuits
US7412680B1 (en) * 2005-09-15 2008-08-12 Altera Corporation Method and apparatus for performing integrated global routing and buffer insertion
US7716612B1 (en) * 2005-12-29 2010-05-11 Tela Innovations, Inc. Method and system for integrated circuit optimization by using an optimized standard-cell library
US8264007B2 (en) 2006-03-09 2012-09-11 Tela Innovations, Inc. Semiconductor device including at least six transistor forming linear shapes including at least two different gate contact connection distances
US7910959B2 (en) 2006-03-09 2011-03-22 Tela Innovations, Inc. Semiconductor device and associated layouts having transistors formed from six linear conductive segments with gate electrode connection through single interconnect level
US20100001321A1 (en) * 2006-03-09 2010-01-07 Tela Innovations, Inc. Semiconductor Device Layout Having Restricted Layout Region Including Rectangular Shaped Gate Electrode Layout Features Defined Along At Least Four Gate Electrode Tracks with Corresponding Non-Symmetric Diffusion Regions
US20100006903A1 (en) * 2006-03-09 2010-01-14 Tela Innovations, Inc. Semiconductor Device Portion Having Sub-193 Nanometers-Sized Gate Electrode Conductive Structures Formed from Rectangular Shaped Gate Electrode Layout Features Defined Along At Least Four Gate Electrode Tracks and Having Corresponding Non-Symmetric Diffusion Regions
US20100011331A1 (en) * 2006-03-09 2010-01-14 Tela Innovations, Inc. Semiconductor Device Layout Including Cell Layout Having Restricted Gate Electrode Level Layout with Linear Shaped Gate Electrode Layout Features Defined Along At Least Four Gate Electrode Tracks with Minimum End-to-End Spacing with Corresponding Non-Symmetric Diffusion Regions
US20100006897A1 (en) * 2006-03-09 2010-01-14 Tela Innovations. Inc. Semiconductor Device Layout Having Restricted Layout Region Including Rectangular Shaped Gate Electrode Layout Features and Equal Number of PMOS and NMOS Transistors
US20100006901A1 (en) * 2006-03-09 2010-01-14 Tela Innovations, Inc. Semiconductor Device Portion Having Gate Electrode Conductive Structures Formed from Rectangular Shaped Gate Electrode Layout Features Defined Along At Least Four Gate Electrode Tracks and Having Corresponding Non-Symmetric Diffusion Regions
US20100011329A1 (en) * 2006-03-09 2010-01-14 Tela Innovations, Inc. Semiconductor Device Layout Including Cell Layout Having Restricted Gate Electrode Level Layout with Rectangular Shaped Gate Electrode Layout Features and Equal Number of PMOS and NMOS Transistors
US20100012981A1 (en) * 2006-03-09 2010-01-21 Tela Innovations, Inc. Semiconductor Device Portion Having Gate Electrode Conductive Structures Formed from Linear Shaped Gate Electrode Layout Features Defined Along At Least Four Gate Electrode Tracks with Minimum End-to-End Spacing and Having Corresponding Non-Symmetric Diffusion Regions
US20100019284A1 (en) * 2006-03-09 2010-01-28 Tela Innovations, Inc. Cell of Semiconductor Device Having Sub-Wavelength-Sized Gate Electrode Conductive Structures Formed from Rectangular Shaped Gate Electrode Layout Features and At Least Eight Transistors
US20100019280A1 (en) * 2006-03-09 2010-01-28 Tela Innovations, Inc. Cell of Semiconductor Device Having Gate Electrode Conductive Structures Formed from Rectangular Shaped Gate Electrode Layout Features Defined Along At Least Four Gate Electrode Tracks
US20100023907A1 (en) * 2006-03-09 2010-01-28 Tela Innovations, Inc. Layout of Cell of Semiconductor Device Having Linear Shaped Gate Electrode Layout Features Defined Along At Least Four Gate Electrode Tracks with Minimum End-to-End Spacing and Having Corresponding p-type and n-type Diffusion Regions Separated by Central Inactive Region
US20100025734A1 (en) * 2006-03-09 2010-02-04 Tela Innovations, Inc. Cell of Semiconductor Device Having Sub-Wavelength-Sized Gate Electrode Conductive Structures Formed from Linear Shaped Gate Electrode Layout Features Defined with Minimum End-to-End Spacing and Equal Number of PMOS and NMOS Transistors
US20100096671A1 (en) * 2006-03-09 2010-04-22 Tela Innovations, Inc. Cell of Semiconductor Device Having Gate Electrode Conductive Structures Formed from Rectangular Shaped Gate Electrode Layout Features and At Least Eight Transistors
US10230377B2 (en) 2006-03-09 2019-03-12 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US10217763B2 (en) 2006-03-09 2019-02-26 Tela Innovations, Inc. Semiconductor chip having region including gate electrode features of rectangular shape on gate horizontal grid and first-metal structures of rectangular shape on at least eight first-metal gridlines of first-metal vertical grid
US10186523B2 (en) 2006-03-09 2019-01-22 Tela Innovations, Inc. Semiconductor chip having region including gate electrode features formed in part from rectangular layout shapes on gate horizontal grid and first-metal structures formed in part from rectangular layout shapes on at least eight first-metal gridlines of first-metal vertical grid
US7842975B2 (en) 2006-03-09 2010-11-30 Tela Innovations, Inc. Dynamic array architecture
US10141335B2 (en) 2006-03-09 2018-11-27 Tela Innovations, Inc. Semiconductor CIP including region having rectangular-shaped gate structures and first metal structures
US10141334B2 (en) 2006-03-09 2018-11-27 Tela Innovations, Inc. Semiconductor chip including region having rectangular-shaped gate structures and first-metal structures
US7906801B2 (en) 2006-03-09 2011-03-15 Tela Innovations, Inc. Semiconductor device and associated layouts having transistors formed from six linear conductive segments with intervening diffusion contact restrictions
US9917056B2 (en) 2006-03-09 2018-03-13 Tela Innovations, Inc. Coarse grid design methods and structures
US7910958B2 (en) 2006-03-09 2011-03-22 Tela Innovations, Inc. Semiconductor device and associated layouts having transistors formed from linear conductive segment with non-active neighboring linear conductive segment
US9905576B2 (en) 2006-03-09 2018-02-27 Tela Innovations, Inc. Semiconductor chip including region having rectangular-shaped gate structures and first metal structures
US9859277B2 (en) 2006-03-09 2018-01-02 Tela Innovations, Inc. Methods, structures, and designs for self-aligning local interconnects used in integrated circuits
US7923757B2 (en) 2006-03-09 2011-04-12 Tela Innovations, Inc. Semiconductor device and associated layouts having linear shaped gate electrodes defined along at least five adjacent gate electrode tracks of equal pitch with gate electrode connection through single interconnect level
US7932545B2 (en) 2006-03-09 2011-04-26 Tela Innovations, Inc. Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers
US7932544B2 (en) 2006-03-09 2011-04-26 Tela Innovations, Inc. Semiconductor device and associated layouts including linear conductive segments having non-gate extension portions
US9754878B2 (en) 2006-03-09 2017-09-05 Tela Innovations, Inc. Semiconductor chip including a chip level based on a layout that includes both regular and irregular wires
US7943966B2 (en) 2006-03-09 2011-05-17 Tela Innovations, Inc. Integrated circuit and associated layout with gate electrode level portion including at least two complimentary transistor forming linear conductive segments and at least one non-gate linear conductive segment
US7943967B2 (en) 2006-03-09 2011-05-17 Tela Innovations, Inc. Semiconductor device and associated layouts including diffusion contact placement restriction based on relation to linear conductive segments
US7948012B2 (en) 2006-03-09 2011-05-24 Tela Innovations, Inc. Semiconductor device having 1965 nm gate electrode level region including at least four active linear conductive segments and at least one non-gate linear conductive segment
US7948013B2 (en) 2006-03-09 2011-05-24 Tela Innovations, Inc. Semiconductor device and associated layouts having linear shaped gate electrodes defined along at least five adjacent gate electrode tracks of equal pitch
US7952119B2 (en) 2006-03-09 2011-05-31 Tela Innovations, Inc. Semiconductor device and associated layout having three or more linear-shaped gate electrode level conductive segments of both equal length and equal pitch
US9741719B2 (en) 2006-03-09 2017-08-22 Tela Innovations, Inc. Methods, structures, and designs for self-aligning local interconnects used in integrated circuits
US9711495B2 (en) 2006-03-09 2017-07-18 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US7989848B2 (en) 2006-03-09 2011-08-02 Tela Innovations, Inc. Semiconductor device having at least four side-by-side electrodes of equal length and equal pitch with at least two transistor connections to power or ground
US7989847B2 (en) 2006-03-09 2011-08-02 Tela Innovations, Inc. Semiconductor device having linear-shaped gate electrodes of different transistor types with uniformity extending portions of different lengths
US9673825B2 (en) 2006-03-09 2017-06-06 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US9589091B2 (en) 2006-03-09 2017-03-07 Tela Innovations, Inc. Scalable meta-data objects
US8022441B2 (en) 2006-03-09 2011-09-20 Tela Innovations, Inc. Semiconductor device and associated layouts having transistors formed from six linear conductive segments with gate electrode-to-gate electrode connection through single interconnect level and common node connection through different interconnect level
US8030689B2 (en) 2006-03-09 2011-10-04 Tela Innovations, Inc. Integrated circuit device and associated layout including separated diffusion regions of different type each having four gate electrodes with each of two complementary gate electrode pairs formed from respective linear conductive segment
US8035133B2 (en) 2006-03-09 2011-10-11 Tela Innovations, Inc. Semiconductor device having two pairs of transistors of different types formed from shared linear-shaped conductive features with intervening transistors of common type on equal pitch
US8058671B2 (en) 2006-03-09 2011-11-15 Tela Innovations, Inc. Semiconductor device having at least three linear-shaped electrode level conductive features of equal length positioned side-by-side at equal pitch
US9443947B2 (en) 2006-03-09 2016-09-13 Tela Innovations, Inc. Semiconductor chip including region having integrated circuit transistor gate electrodes formed by various conductive structures of specified shape and position and method for manufacturing the same
US9425273B2 (en) 2006-03-09 2016-08-23 Tela Innovations, Inc. Semiconductor chip including integrated circuit including at least five gate level conductive structures having particular spatial and electrical relationship and method for manufacturing the same
US8072003B2 (en) 2006-03-09 2011-12-06 Tela Innovations, Inc. Integrated circuit device and associated layout including two pairs of co-aligned complementary gate electrodes with offset gate contact structures
US8088680B2 (en) 2006-03-09 2012-01-03 Tela Innovations, Inc. Method for fabricating integrated circuit having at least three linear-shaped gate electrode level conductive features of equal length positioned side-by-side at equal pitch
US8089101B2 (en) 2006-03-09 2012-01-03 Tela Innovations, Inc. Integrated circuit device with gate electrode level region including two side-by-side ones of at least three linear-shaped conductive structures electrically connected to each other through non-gate level
US8089102B2 (en) 2006-03-09 2012-01-03 Tela Innovations, Inc. Method for fabricating integrated circuit having three or more linear-shaped gate electrode level conductive segments of both equal length and equal pitch
US8089098B2 (en) 2006-03-09 2012-01-03 Tela Innovations, Inc. Integrated circuit device and associated layout including linear gate electrodes of different transistor types next to linear-shaped non-gate conductive segment
US8088682B2 (en) 2006-03-09 2012-01-03 Tela Innovations, Inc. Method for fabricating integrated circuit with gate electrode level region including two side-by-side ones of at least three linear-shaped conductive structures electrically connected to each other through non-gate level
US8088679B2 (en) 2006-03-09 2012-01-03 Tela Innovations, Inc. Method for fabricating integrated circuit with gate electrode level portion including at least two complementary transistor forming linear conductive segments and at least one non-gate linear conductive segment
US8088681B2 (en) 2006-03-09 2012-01-03 Tela Innovations, Inc. Method for fabricating integrated circuit including separated diffusion regions of different type each having four gate electrodes with each of two complementary gate electrode pairs formed from respective linear condcutive segment
US8089099B2 (en) 2006-03-09 2012-01-03 Tela Innovations, Inc, Integrated circuit device and associated layout including gate electrode level region of 965 NM radius with linear-shaped conductive segments on fixed pitch
US8089103B2 (en) 2006-03-09 2012-01-03 Tela Innovations, Inc. Integrated circuit device with gate level region including at least three linear-shaped conductive segments having offset line ends and forming three transistors of first type and one transistor of second type
US8089100B2 (en) 2006-03-09 2012-01-03 Tela Innovations, Inc. Integrated circuit with gate electrode level region including at least four linear-shaped conductive structures forming gate electrodes of transistors and including extending portions of at least two different sizes
US8089104B2 (en) 2006-03-09 2012-01-03 Tela Innovations, Inc. Integrated circuit with gate electrode level region including multiple linear-shaped conductive structures forming gate electrodes of transistors and including uniformity extending portions of different size
US8101975B2 (en) 2006-03-09 2012-01-24 Tela Innovations, Inc. Integrated circuit device with gate level region including non-gate linear conductive segment positioned within 965 nanometers of four transistors of first type and four transistors of second type
US8110854B2 (en) 2006-03-09 2012-02-07 Tela Innovations, Inc. Integrated circuit device with linearly defined gate electrode level region and shared diffusion region of first type connected to shared diffusion region of second type through at least two interconnect levels
US8129755B2 (en) 2006-03-09 2012-03-06 Tela Innovations, Inc. Integrated circuit with gate electrode level including at least four linear-shaped conductive structures of equal length and equal pitch with linear-shaped conductive structure forming one transistor
US8129751B2 (en) 2006-03-09 2012-03-06 Tela Innovations, Inc. Integrated circuit including at least six linear-shaped conductive structures forming gate electrodes and including four conductive contacting structures having at least two different connection distances
US8129756B2 (en) 2006-03-09 2012-03-06 Tela Innovations, Inc. Integrated circuit including at least six linear-shaped conductive structures forming gate electrodes of transistors with at least two different extension distances beyond conductive contacting structures
US8129750B2 (en) 2006-03-09 2012-03-06 Tela Innovations, Inc. Integrated circuit including at least six linear-shaped conductive structures forming gate electrodes of transistors with at least two linear-shaped conductive structures of different length
US8129754B2 (en) 2006-03-09 2012-03-06 Tela Innovations, Inc. Integrated circuit with gate electrode level including at least six linear-shaped conductive structures forming gate electrodes of transisters with at least one pair of linear-shaped conductive structures having offset ends
US8129819B2 (en) 2006-03-09 2012-03-06 Tela Innovations, Inc. Method of fabricating integrated circuit including at least six linear-shaped conductive structures at equal pitch including at least two linear-shaped conductive structures having non-gate portions of different length
US8129757B2 (en) 2006-03-09 2012-03-06 Tela Innovations, Inc. Integrated circuit including at least six linear-shaped conductive structive structures at equal pitch including at least two linear-shaped conductive structures having non-gate portions of different length
US8129753B2 (en) 2006-03-09 2012-03-06 Tela Innovations, Inc. Integrated circuit including gate electrode level region including at least seven linear-shaped conductive structures of equal length positioned at equal pitch with at least two linear-shaped conductive structures each forming one transistor and having extending portion sized greater than gate portion
US8129752B2 (en) 2006-03-09 2012-03-06 Tela Innovations, Inc. Integrated circuit including a linear-shaped conductive structure forming one gate electrode and having length greater than or equal to one-half the length of linear-shaped conductive structure forming two gate electrodes
US8134185B2 (en) 2006-03-09 2012-03-13 Tela Innovations, Inc. Integrated circuit having gate electrode level region including at least seven linear-shaped conductive structures at equal pitch including linear-shaped conductive structure forming transistors of two different types and at least three linear-shaped conductive structures having aligned ends
US8134186B2 (en) 2006-03-09 2012-03-13 Tela Innovations, Inc. Integrated circuit including at least three linear-shaped conductive structures at equal pitch including linear-shaped conductive structure having non-gate portion length greater than gate portion length
US8134184B2 (en) 2006-03-09 2012-03-13 Tela Innovations, Inc. Integrated circuit having gate electrode level region including at least four linear-shaped conductive structures with some outer-contacted linear-shaped conductive structures having larger outer extending portion than inner extending portion
US8134183B2 (en) 2006-03-09 2012-03-13 Tela Innovations, Inc. Integrated circuit including linear-shaped conductive structures that have gate portions and extending portions of different size
US8138525B2 (en) 2006-03-09 2012-03-20 Tela Innovations, Inc. Integrated circuit including at least three linear-shaped conductive structures of different length each forming gate of different transistor
US20090014811A1 (en) * 2006-03-09 2009-01-15 Tela Innovations, Inc. Dynamic Array Architecture
US9425145B2 (en) 2006-03-09 2016-08-23 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US8198656B2 (en) 2006-03-09 2012-06-12 Tela Innovations, Inc. Integrated circuit including gate electrode level region including at least four linear-shaped conductive structures of equal length having aligned ends and positioned at equal pitch and forming multiple gate electrodes of transistors of different type
US8207053B2 (en) 2006-03-09 2012-06-26 Tela Innovations, Inc. Electrodes of transistors with at least two linear-shaped conductive structures of different length
US9425272B2 (en) 2006-03-09 2016-08-23 Tela Innovations, Inc. Semiconductor chip including integrated circuit including four transistors of first transistor type and four transistors of second transistor type with electrical connections between various transistors and methods for manufacturing the same
US8217428B2 (en) 2006-03-09 2012-07-10 Tela Innovations, Inc. Integrated circuit including gate electrode level region including at least three linear-shaped conductive structures of equal length having aligned ends and positioned at equal pitch and forming multiple gate electrodes of transistors of different type
US8225261B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
US8225239B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US8245180B2 (en) 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
US8247846B2 (en) 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US8253172B2 (en) 2006-03-09 2012-08-28 Tela Innovations, Inc. Semiconductor device with linearly restricted gate level region including four serially connected transistors of first type and four serially connected transistors of second type separated by non-diffusion region
US8253173B2 (en) 2006-03-09 2012-08-28 Tela Innovations, Inc. Semiconductor device with gate level including four transistors of first type and four transistors of second type separated by non-diffusion region and having at least two gate contacts positioned outside separating non-diffusion region
US8258547B2 (en) 2006-03-09 2012-09-04 Tela Innovations, Inc. Semiconductor device with linearly restricted gate level region including two transistors of first type and two transistors of second type with offset gate contacts
US8258548B2 (en) 2006-03-09 2012-09-04 Tela Innovations, Inc. Semiconductor device with gate level including four transistors of first type and four transistors of second type separated by non-diffusion region with restricted gate contact placement over separating non-diffusion region
US8258549B2 (en) 2006-03-09 2012-09-04 Tela Innovations, Inc. Semiconductor device including two transistors of first type having gates formed by conductors of different length respectively aligned with two transistors of second type having gates formed by conductors of different length
US8258552B2 (en) 2006-03-09 2012-09-04 Tela Innovations, Inc. Semiconductor device including at least six transistor forming linear shapes with at least two transistor forming linear shapes having offset ends
US8258550B2 (en) 2006-03-09 2012-09-04 Tela Innovations, Inc. Semiconductor device including at least six transistor forming linear shapes including at least two transistor forming linear shapes having different extension distances beyond gate contact
US8258551B2 (en) 2006-03-09 2012-09-04 Tela Innovations, Inc. Semiconductor device with gate level including transistors of first type and transistors of second type with corresponding gate contact placement restriction
US9336344B2 (en) 2006-03-09 2016-05-10 Tela Innovations, Inc. Coarse grid design methods and structures
US9240413B2 (en) 2006-03-09 2016-01-19 Tela Innovations, Inc. Methods, structures, and designs for self-aligning local interconnects used in integrated circuits
US8264008B2 (en) 2006-03-09 2012-09-11 Tela Innovations, Inc. Semiconductor device including transistor forming linear shapes including gate portions and extending portions of different size
US8264009B2 (en) 2006-03-09 2012-09-11 Tela Innovations, Inc. Semiconductor device with linearly restricted gate level region including four transistors of first type and four transistors of second type with gate defining shapes of different length
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US8952425B2 (en) 2006-03-09 2015-02-10 Tela Innovations, Inc. Integrated circuit including at least four linear-shaped conductive structures having extending portions of different length
US8946781B2 (en) 2006-03-09 2015-02-03 Tela Innovations, Inc. Integrated circuit including gate electrode conductive structures with different extension distances beyond contact
US8921897B2 (en) 2006-03-09 2014-12-30 Tela Innovations, Inc. Integrated circuit with gate electrode conductive structures having offset ends
US8921896B2 (en) 2006-03-09 2014-12-30 Tela Innovations, Inc. Integrated circuit including linear gate electrode structures having different extension distances beyond contact
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US8436400B2 (en) 2006-03-09 2013-05-07 Tela Innovations, Inc. Semiconductor device with gate level including gate electrode conductors for transistors of first type and transistors of second type with some gate electrode conductors of different length
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US8823062B2 (en) 2006-03-09 2014-09-02 Tela Innovations, Inc. Integrated circuit with offset line end spacings in linear gate electrode level
US20080072205A1 (en) * 2006-09-18 2008-03-20 Harris Edward B Method and apparatus for designing a logic circuit using one or more circuit elements having a substantially continuous range of values
US8286107B2 (en) 2007-02-20 2012-10-09 Tela Innovations, Inc. Methods and systems for process compensation technique acceleration
US7979829B2 (en) 2007-02-20 2011-07-12 Tela Innovations, Inc. Integrated circuit cell library with cell-level process compensation technique (PCT) application and associated methods
US10074640B2 (en) 2007-03-05 2018-09-11 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US9633987B2 (en) 2007-03-05 2017-04-25 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US9424387B2 (en) 2007-03-07 2016-08-23 Tela Innovations, Inc. Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US8966424B2 (en) 2007-03-07 2015-02-24 Tela Innovations, Inc. Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US9595515B2 (en) 2007-03-07 2017-03-14 Tela Innovations, Inc. Semiconductor chip including integrated circuit defined within dynamic array section
US9910950B2 (en) 2007-03-07 2018-03-06 Tela Innovations, Inc. Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US8283701B2 (en) 2007-08-02 2012-10-09 Tela Innovations, Inc. Semiconductor device with dynamic array sections defined and placed according to manufacturing assurance halos
US8756551B2 (en) 2007-08-02 2014-06-17 Tela Innovations, Inc. Methods for designing semiconductor device with dynamic array section
US8549455B2 (en) 2007-08-02 2013-10-01 Tela Innovations, Inc. Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US8356268B2 (en) 2007-08-02 2013-01-15 Tela Innovations, Inc. Integrated circuit device including dynamic array section with gate level having linear conductive features on at least three side-by-side lines and uniform line end spacings
US7888705B2 (en) 2007-08-02 2011-02-15 Tela Innovations, Inc. Methods for defining dynamic array section with manufacturing assurance halo and apparatus implementing the same
US8214778B2 (en) 2007-08-02 2012-07-03 Tela Innovations, Inc. Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US20090032898A1 (en) * 2007-08-02 2009-02-05 Tela Innovations, Inc. Methods for Defining Dynamic Array Section with Manufacturing Assurance Halo and Apparatus Implementing the Same
US7917879B2 (en) 2007-08-02 2011-03-29 Tela Innovations, Inc. Semiconductor device with dynamic array section
US7908578B2 (en) 2007-08-02 2011-03-15 Tela Innovations, Inc. Methods for designing semiconductor device with dynamic array section
US8759882B2 (en) 2007-08-02 2014-06-24 Tela Innovations, Inc. Semiconductor device with dynamic array sections defined and placed according to manufacturing assurance halos
US8680626B2 (en) 2007-10-26 2014-03-25 Tela Innovations, Inc. Methods, structures, and designs for self-aligning local interconnects used in integrated circuits
US10734383B2 (en) 2007-10-26 2020-08-04 Tela Innovations, Inc. Methods, structures, and designs for self-aligning local interconnects used in integrated circuits
US20100252896A1 (en) * 2007-10-26 2010-10-07 Tela Innovations, Inc. Methods, Structures, and Designs for Self-Aligning Local Interconnects used in Integrated Circuits
US7994545B2 (en) 2007-10-26 2011-08-09 Tela Innovations, Inc. Methods, structures, and designs for self-aligning local interconnects used in integrated circuits
US9818747B2 (en) 2007-12-13 2017-11-14 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US9281371B2 (en) 2007-12-13 2016-03-08 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US8951916B2 (en) 2007-12-13 2015-02-10 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US10461081B2 (en) 2007-12-13 2019-10-29 Tel Innovations, Inc. Super-self-aligned contacts and method for making the same
US20090172608A1 (en) * 2007-12-28 2009-07-02 Hopkins Jeremy T Techniques for Selecting Spares to Implement a Design Change in an Integrated Circuit
US8166439B2 (en) * 2007-12-28 2012-04-24 International Business Machines Corporation Techniques for selecting spares to implement a design change in an integrated circuit
US9202779B2 (en) 2008-01-31 2015-12-01 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US8701071B2 (en) 2008-01-31 2014-04-15 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US9530734B2 (en) 2008-01-31 2016-12-27 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US8742462B2 (en) 2008-03-13 2014-06-03 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate contact position specifications
US9081931B2 (en) 2008-03-13 2015-07-14 Tela Innovations, Inc. Cross-coupled transistor circuit having diffusion regions of common node on opposing sides of same gate electrode track and gate node connection through single interconnect layer
US8405163B2 (en) 2008-03-13 2013-03-26 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with shared diffusion regions on opposite sides of two-transistor-forming gate level feature
US8836045B2 (en) 2008-03-13 2014-09-16 Tela Innovations, Inc. Cross-coupled transistor circuit having diffusion regions of common node on opposing sides of same gate electrode track
US20090224317A1 (en) * 2008-03-13 2009-09-10 Tela Innovations, Inc. Cross-Coupled Transistor Layouts in Restricted Gate Level Layout Architecture
US8847331B2 (en) 2008-03-13 2014-09-30 Tela Innovations, Inc. Semiconductor chip including region having cross-coupled transistor configuration with offset electrical connection areas on gate electrode forming conductive structures and at least two different inner extension distances of gate electrode forming conductive structures
US8847329B2 (en) 2008-03-13 2014-09-30 Tela Innovations, Inc. Cross-coupled transistor circuit defined having diffusion regions of common node on opposing sides of same gate electrode track with at least two non-inner positioned gate contacts
US8274099B2 (en) 2008-03-13 2012-09-25 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate contact position and offset specifications
US8853794B2 (en) 2008-03-13 2014-10-07 Tela Innovations, Inc. Integrated circuit within semiconductor chip including cross-coupled transistor configuration
US10727252B2 (en) 2008-03-13 2020-07-28 Tela Innovations, Inc. Semiconductor chip including integrated circuit having cross-coupled transistor configuration and method for manufacturing the same
US8866197B2 (en) 2008-03-13 2014-10-21 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least two gate electrodes electrically connected to each other through another transistor forming gate level feature
US8872283B2 (en) 2008-03-13 2014-10-28 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with shared diffusion regions on opposite sides of two-transistor-forming gate level feature
US8395224B2 (en) 2008-03-13 2013-03-12 Tela Innovations, Inc. Linear gate level cross-coupled transistor device with non-overlapping PMOS transistors and non-overlapping NMOS transistors relative to directions of gate electrodes
US8816402B2 (en) 2008-03-13 2014-08-26 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate level feature layout channel including single transistor
US8785979B2 (en) 2008-03-13 2014-07-22 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with two inside positioned gate contacts and two outside positioned gate contacts and electrical connection of cross-coupled transistors through same interconnect layer
US8785978B2 (en) 2008-03-13 2014-07-22 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with electrical connection of cross-coupled transistors through same interconnect layer
US8772839B2 (en) 2008-03-13 2014-07-08 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with four inside positioned gate contacts having offset and aligned relationships and electrical connection of transistor gates through linear interconnect conductors in single interconnect layer
US10658385B2 (en) 2008-03-13 2020-05-19 Tela Innovations, Inc. Cross-coupled transistor circuit defined on four gate electrode tracks
US8853793B2 (en) 2008-03-13 2014-10-07 Tela Innovations, Inc. Integrated circuit including gate electrode level region including cross-coupled transistors having gate contacts located over inner portion of gate electrode level region and offset gate level feature line ends
US10651200B2 (en) 2008-03-13 2020-05-12 Tela Innovations, Inc. Cross-coupled transistor circuit defined on three gate electrode tracks
US8742463B2 (en) 2008-03-13 2014-06-03 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with outer positioned gate contacts
US9117050B2 (en) 2008-03-13 2015-08-25 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate contact position and offset specifications
US8552509B2 (en) 2008-03-13 2013-10-08 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with other transistors positioned between cross-coupled transistors
US8552508B2 (en) 2008-03-13 2013-10-08 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with shared diffusion regions on opposite sides of two-transistor-forming gate level feature and electrical connection of transistor gates through linear interconnect conductors in single interconnect layer
US8581303B2 (en) 2008-03-13 2013-11-12 Tela Innovations, Inc. Integrated circuit including cross-coupled trasistors having gate electrodes formed within gate level feature layout channels with four inside positioned gate contacts having offset relationships and electrical connection of cross-coupled transistors through same interconnect layer
US9208279B2 (en) 2008-03-13 2015-12-08 Tela Innovations, Inc. Semiconductor chip including digital logic circuit including linear-shaped conductive structures having electrical connection areas located within inner region between transistors of different type and associated methods
US9213792B2 (en) 2008-03-13 2015-12-15 Tela Innovations, Inc. Semiconductor chip including digital logic circuit including at least six transistors with some transistors forming cross-coupled transistor configuration and associated methods
US8264049B2 (en) 2008-03-13 2012-09-11 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors with two transistors of different type having gate electrodes formed by common gate level feature with shared diffusion regions on opposite sides of common gate level feature
US8264044B2 (en) 2008-03-13 2012-09-11 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having two complementary pairs of co-aligned gate electrodes with offset contacting structures positioned between transistors of different type
US9245081B2 (en) 2008-03-13 2016-01-26 Tela Innovations, Inc. Semiconductor chip including digital logic circuit including at least nine linear-shaped conductive structures collectively forming gate electrodes of at least six transistors with some transistors forming cross-coupled transistor configuration and associated methods
US8558322B2 (en) 2008-03-13 2013-10-15 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least two gate electrodes electrically connected to each other through gate level feature
US8564071B2 (en) 2008-03-13 2013-10-22 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least two different gate level feature extensions beyond contact
US8735995B2 (en) 2008-03-13 2014-05-27 Tela Innovations, Inc. Cross-coupled transistor circuit defined on three gate electrode tracks with diffusion regions of common node on opposing sides of same gate electrode track
US8258581B2 (en) 2008-03-13 2012-09-04 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors with two transistors of different type formed by same gate level structure and two transistors of different type formed by separate gate level structures
US8569841B2 (en) 2008-03-13 2013-10-29 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least one gate level feature extending into adjacent gate level feature layout channel
US8735944B2 (en) 2008-03-13 2014-05-27 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with serially connected transistors
US8729643B2 (en) 2008-03-13 2014-05-20 Tela Innovations, Inc. Cross-coupled transistor circuit including offset inner gate contacts
US8835989B2 (en) 2008-03-13 2014-09-16 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate electrode placement specifications
US8729606B2 (en) 2008-03-13 2014-05-20 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels
US8058691B2 (en) 2008-03-13 2011-11-15 Tela Innovations, Inc. Semiconductor device including cross-coupled transistors formed from linear-shaped gate level features
US8575706B2 (en) 2008-03-13 2013-11-05 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with at least two different gate level features inner extensions beyond gate electrode
US8680583B2 (en) 2008-03-13 2014-03-25 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within at least nine gate level feature layout channels
US9536899B2 (en) 2008-03-13 2017-01-03 Tela Innovations, Inc. Semiconductor chip including integrated circuit having cross-coupled transistor configuration and method for manufacturing the same
US10020321B2 (en) 2008-03-13 2018-07-10 Tela Innovations, Inc. Cross-coupled transistor circuit defined on two gate electrode tracks
US8581304B2 (en) 2008-03-13 2013-11-12 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with four inside positioned gate contacts having offset and aligned relationships
US8669595B2 (en) 2008-03-13 2014-03-11 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with gate contact position, alignment, and offset specifications
US8669594B2 (en) 2008-03-13 2014-03-11 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within at least twelve gate level feature layout channels
US8587034B2 (en) 2008-03-13 2013-11-19 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors having gate electrodes formed within gate level feature layout channels with four inside positioned gate contacts and electrical connection of transistor gates through linear interconnect conductors in single interconnect layer
US9871056B2 (en) 2008-03-13 2018-01-16 Tela Innovations, Inc. Semiconductor chip including integrated circuit having cross-coupled transistor configuration and method for manufacturing the same
US8592872B2 (en) 2008-03-13 2013-11-26 Tela Innovations, Inc. Integrated circuit including cross-coupled transistors with two transistors of different type having gate electrodes formed by common gate level feature with shared diffusion regions on opposite sides of common gate level feature
US8405162B2 (en) 2008-03-13 2013-03-26 Tela Innovations, Inc. Integrated circuit including gate electrode level region including cross-coupled transistors having at least one gate contact located over outer portion of gate electrode level region
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US8759985B2 (en) 2008-03-27 2014-06-24 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
US20090224408A1 (en) * 2008-03-27 2009-09-10 Tela Innovations, Inc. Methods for Multi-Wire Routing and Apparatus Implementing Same
US9390215B2 (en) 2008-03-27 2016-07-12 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
US9779200B2 (en) 2008-03-27 2017-10-03 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
US8471391B2 (en) 2008-03-27 2013-06-25 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
US8615726B1 (en) 2008-06-06 2013-12-24 Nangate Inc. Sizing a cell library
US8015517B1 (en) * 2008-06-06 2011-09-06 Nangate A/S Library sizing
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US10446536B2 (en) 2009-05-06 2019-10-15 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US8863063B2 (en) 2009-05-06 2014-10-14 Tela Innovations, Inc. Finfet transistor circuit
US9672315B2 (en) * 2009-08-05 2017-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Optimization for circuit migration
US20110035717A1 (en) * 2009-08-05 2011-02-10 Taiwan Semiconductor Manufacturing Company, Ltd. Design Optimization for Circuit Migration
US9275186B2 (en) 2009-08-05 2016-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Optimization for circuit migration
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US9269702B2 (en) 2009-10-13 2016-02-23 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the same
US9530795B2 (en) 2009-10-13 2016-12-27 Tela Innovations, Inc. Methods for cell boundary encroachment and semiconductor devices implementing the same
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US9704845B2 (en) 2010-11-12 2017-07-11 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US8843873B1 (en) * 2013-12-08 2014-09-23 Pramod Sharma Capacitive cell load estimation using electromigration analysis

Also Published As

Publication number Publication date
US6523156B2 (en) 2003-02-18
US20020188918A1 (en) 2002-12-12

Similar Documents

Publication Publication Date Title
US6523156B2 (en) Apparatus and methods for wire load independent logic synthesis and timing closure with constant replacement delay cell libraries
KR100530022B1 (en) Timing closure methodology
US7434187B2 (en) Method and apparatus to estimate delay for logic circuit optimization
US6080201A (en) Integrated placement and synthesis for timing closure of microprocessors
KR101471237B1 (en) System and method for designing integrated circuits that employ adaptive voltage scaling optimization
US7725853B1 (en) Optimizing long-path and short-path timing and accounting for manufacturing and operating condition variability
US20100153897A1 (en) System and method for employing signoff-quality timing analysis information concurrently in multiple scenarios to reduce leakage power in an electronic circuit and electronic design automation tool incorporating the same
US8713506B2 (en) System and method for employing signoff-quality timing analysis information concurrently in multiple scenarios to reduce dynamic power in an electronic circuit and an apparatus incorporating the same
US5799170A (en) Simplified buffer manipulation using standard repowering function
US7149991B2 (en) Calibrating a wire load model for an integrated circuit
Ozdal et al. Algorithms for gate sizing and device parameter selection for high-performance designs
US20080295057A1 (en) Method for determining a standard cell for ic design
Rohfleisch et al. Reducing power dissipation after technology mapping by structural transformations
US20100050144A1 (en) System and method for employing signoff-quality timing analysis information to reduce leakage power in an electronic circuit and electronic design automation tool incorporating the same
US7840923B2 (en) Methods and apparatuses for designing integrated circuits using virtual cells
US8776003B2 (en) System and method for employing side transition times from signoff-quality timing analysis information to reduce leakage power in an electronic circuit and an electronic design automation tool incorporating the same
US6886147B2 (en) Method, system, and product for achieving optimal timing in a data path that includes variable delay lines and coupled endpoints
US6766499B1 (en) Buffer cell insertion and electronic design automation
US7254789B1 (en) Optimizing long-path and short-path timing and accounting for manufacturing and operating condition variability
Bommu et al. Retiming-based factorization for sequential logic optimization
Ganguly et al. Clock distribution design and verification for PowerPC microprocessors
Meinel et al. A new partitioning scheme for improvement of image computation
A. Papa et al. State of the art in physical synthesis
Yang et al. Interconnect power optimization based on timing analysis
Mukherjee et al. A practical CAD technique for reducing power/ground noise in DSM circuits

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION