US20040013982A1 - Fabrication of finely featured devices by liquid embossing - Google Patents

Fabrication of finely featured devices by liquid embossing Download PDF

Info

Publication number
US20040013982A1
US20040013982A1 US10/320,869 US32086902A US2004013982A1 US 20040013982 A1 US20040013982 A1 US 20040013982A1 US 32086902 A US32086902 A US 32086902A US 2004013982 A1 US2004013982 A1 US 2004013982A1
Authority
US
United States
Prior art keywords
stamp
liquid
pattern
substrate
patterned
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/320,869
Inventor
Joseph Jacobson
Colin Bulthaup
Eric Wilhelm
Brian Hubert
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Massachusetts Institute of Technology
Original Assignee
Massachusetts Institute of Technology
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Massachusetts Institute of Technology filed Critical Massachusetts Institute of Technology
Priority to US10/320,869 priority Critical patent/US20040013982A1/en
Assigned to MASSACHUSETTS INSTITUTE OF TECHNOLOGY reassignment MASSACHUSETTS INSTITUTE OF TECHNOLOGY ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BULTHAUP, COLIN A., HUBERT, BRIAN N., JACOBSEN, JOSEPH M., WILHELM, ERIC J.
Assigned to MASSACHUSETTS INSTITUTE OF TECHNOLOGY reassignment MASSACHUSETTS INSTITUTE OF TECHNOLOGY CORRECTS THE SPELLING OF ASIGNOR NAME PREVIOUSLY RECORDED ON APRIL 8, 2003 REEL 013928 FRAME 0660. Assignors: BULTHAUP, COLIN A., JACOBSON, JOSEPH M., WILHELM, ERIC J., HUBERT, BRIAN N.
Publication of US20040013982A1 publication Critical patent/US20040013982A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/0046Sequential or parallel reactions, e.g. for the synthesis of polypeptides or polynucleotides; Apparatus and devices for combinatorial chemistry or for making molecular arrays
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0017Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor for the production of embossing, cutting or similar devices; for the production of casting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76817Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics using printing or stamping techniques
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K19/00Integrated devices, or assemblies of multiple devices, comprising at least one organic element specially adapted for rectifying, amplifying, oscillating or switching, covered by group H10K10/00
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/10Deposition of organic active material
    • H10K71/12Deposition of organic active material using liquid deposition, e.g. spin coating
    • H10K71/13Deposition of organic active material using liquid deposition, e.g. spin coating using printing techniques, e.g. ink-jet printing or screen printing
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/20Changing the shape of the active layer in the devices, e.g. patterning
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/20Changing the shape of the active layer in the devices, e.g. patterning
    • H10K71/231Changing the shape of the active layer in the devices, e.g. patterning by etching of existing layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00277Apparatus
    • B01J2219/00351Means for dispensing and evacuation of reagents
    • B01J2219/00382Stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00583Features relative to the processes being carried out
    • B01J2219/00585Parallel processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00583Features relative to the processes being carried out
    • B01J2219/00596Solid-phase processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00583Features relative to the processes being carried out
    • B01J2219/00603Making arrays on substantially continuous surfaces
    • B01J2219/00605Making arrays on substantially continuous surfaces the compounds being directly bound or immobilised to solid supports
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00583Features relative to the processes being carried out
    • B01J2219/00603Making arrays on substantially continuous surfaces
    • B01J2219/00605Making arrays on substantially continuous surfaces the compounds being directly bound or immobilised to solid supports
    • B01J2219/00608DNA chips
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00583Features relative to the processes being carried out
    • B01J2219/00603Making arrays on substantially continuous surfaces
    • B01J2219/00605Making arrays on substantially continuous surfaces the compounds being directly bound or immobilised to solid supports
    • B01J2219/0061The surface being organic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00583Features relative to the processes being carried out
    • B01J2219/00603Making arrays on substantially continuous surfaces
    • B01J2219/00605Making arrays on substantially continuous surfaces the compounds being directly bound or immobilised to solid supports
    • B01J2219/00614Delimitation of the attachment areas
    • B01J2219/00621Delimitation of the attachment areas by physical means, e.g. trenches, raised areas
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00583Features relative to the processes being carried out
    • B01J2219/00603Making arrays on substantially continuous surfaces
    • B01J2219/00605Making arrays on substantially continuous surfaces the compounds being directly bound or immobilised to solid supports
    • B01J2219/00623Immobilisation or binding
    • B01J2219/0063Other, e.g. van der Waals forces, hydrogen bonding
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00583Features relative to the processes being carried out
    • B01J2219/00603Making arrays on substantially continuous surfaces
    • B01J2219/00605Making arrays on substantially continuous surfaces the compounds being directly bound or immobilised to solid supports
    • B01J2219/00632Introduction of reactive groups to the surface
    • B01J2219/00635Introduction of reactive groups to the surface by reactive plasma treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00583Features relative to the processes being carried out
    • B01J2219/00603Making arrays on substantially continuous surfaces
    • B01J2219/00659Two-dimensional arrays
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00718Type of compounds synthesised
    • B01J2219/0072Organic compounds
    • B01J2219/00722Nucleotides
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00718Type of compounds synthesised
    • B01J2219/0072Organic compounds
    • B01J2219/00725Peptides
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/00274Sequential or parallel reactions; Apparatus and devices for combinatorial chemistry or for making arrays; Chemical library technology
    • B01J2219/00718Type of compounds synthesised
    • B01J2219/0072Organic compounds
    • B01J2219/00731Saccharides
    • CCHEMISTRY; METALLURGY
    • C40COMBINATORIAL TECHNOLOGY
    • C40BCOMBINATORIAL CHEMISTRY; LIBRARIES, e.g. CHEMICAL LIBRARIES
    • C40B40/00Libraries per se, e.g. arrays, mixtures
    • C40B40/04Libraries containing only organic compounds
    • C40B40/06Libraries containing nucleotides or polynucleotides, or derivatives thereof
    • CCHEMISTRY; METALLURGY
    • C40COMBINATORIAL TECHNOLOGY
    • C40BCOMBINATORIAL CHEMISTRY; LIBRARIES, e.g. CHEMICAL LIBRARIES
    • C40B40/00Libraries per se, e.g. arrays, mixtures
    • C40B40/04Libraries containing only organic compounds
    • C40B40/10Libraries containing peptides or polypeptides, or derivatives thereof
    • CCHEMISTRY; METALLURGY
    • C40COMBINATORIAL TECHNOLOGY
    • C40BCOMBINATORIAL CHEMISTRY; LIBRARIES, e.g. CHEMICAL LIBRARIES
    • C40B40/00Libraries per se, e.g. arrays, mixtures
    • C40B40/04Libraries containing only organic compounds
    • C40B40/12Libraries containing saccharides or polysaccharides, or derivatives thereof
    • CCHEMISTRY; METALLURGY
    • C40COMBINATORIAL TECHNOLOGY
    • C40BCOMBINATORIAL CHEMISTRY; LIBRARIES, e.g. CHEMICAL LIBRARIES
    • C40B60/00Apparatus specially adapted for use in combinatorial chemistry or with libraries
    • C40B60/14Apparatus specially adapted for use in combinatorial chemistry or with libraries for creating libraries
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/821Patterning of a layer by embossing, e.g. stamping to form trenches in an insulating layer

Definitions

  • the present invention relates to fabrication of finely featured electronic, chemical, and mechanical devices.
  • the fabrication processes ordinarily employed to create electronic and electromechanical components involve harsh conditions such as high temperatures and/or caustic chemicals, limiting the ability to integrate their manufacture with that of functionally related but environmentally sensitive elements.
  • the high temperatures used in silicon processing may prevent three-dimensional fabrication and large-area fabrication; these temperatures are also incompatible with heat-sensitive materials such as organic and biological molecules.
  • High temperatures also preclude fabrication on substrates such as conventional flexible plastics, which offer widespread availability and low cost.
  • U.S. Pat. No. 5,772,905 describes a process called “nanoimprint lithography” that utilizes a silicon mold, which is pressed under high pressure and temperature into a thin film of material. Following cooling with the mold in place, the material accurately retains the features of the mold. The thin film may then be treated to remove the small amount of material remaining in the embossed areas. Thus patterned, the film may be used as a mask for selectively etching underlying layers of functional materials. This process is capable of producing patterns with very fine resolutions at costs significantly below those associated with conventional processes. But it is quite complicated, requiring numerous time-consuming steps to create a single layer of patterned functional material.
  • the technique requires high application pressures and temperatures at very low ambient pressures, thereby imposing significant complexity with attendant restriction on the types of materials that can be patterned. Perhaps most importantly, this technique is limited to producing single-layer features, thereby significantly limiting its applicability to device fabrication.
  • U.S. Pat. No. 5,900,160 describes the use of an elastomeric stamp to mold functional materials.
  • the stamp is deformed so as to print a self-assembled molecular monolayer on a surface.
  • MIMIC Magnetic Micromolding Against Elastomeric Masters
  • MIMIC Magnetic Micromolding against Elastomeric Masters
  • the technique is generally limited to low-resolution features (in excess of 10 ⁇ m), and more importantly, the types of geometries amenable to molding by this technique are limited.
  • Another object of the invention is to increase the speed with which layers of functional materials can be patterned.
  • Still another object of the invention is to provide a fabrication process that requires no unusual temperature, pressure, or ambient conditions, thereby increasing the range of materials amenable to patterning.
  • a further object of the invention is to facilitate convenient nanoscale patterning of multiple adjacent layers.
  • Yet another object of the invention is to planarize deposited materials as part of the application process, eliminating the need for additional planarizing processes (such as chemical mechanical polishing), thereby facilitating fabrication of complex three-dimensional devices employing many (e.g., in excess of 100) layers.
  • the present invention utilizes an elastomeric stamp to facilitate direct patterning of electrical, biological, chemical, and mechanical materials.
  • a thin film of material is deposited on a substrate.
  • the deposited material either originally present as a liquid or subsequently liquefied, is patterned by embossing at low pressure using an elastomeric stamp having a raised pattern.
  • the patterned liquid is then cured to form a functional layer.
  • the deposition, embossing, and curing steps may be repeated numerous times with the same or different liquids, and in two or three dimensions.
  • the various deposited layers may, for example, have varying electrical characteristics, interacting so as to produce an integrated electronic component.
  • FIGS. 1 A- 1 D are greatly enlarged sectional views illustrating fabrication of an elastomeric stamp in accordance with the present invention
  • FIGS. 2A and 2B are side elevations illustrating application of a thin, uniform film of liquid onto a substrate
  • FIGS. 3 A- 3 C and 3 D- 3 F are sectional views illustrating, respectively, the embossing process of the present invention as applied to planar surfaces and non-planar surfaces;
  • FIGS. 3 G- 3 I are sectional views illustrating planarization and the creation of vias using the present invention.
  • FIGS. 4 A- 4 F are sectional views illustrating fabrication of an electronic inverter in accordance with the present invention.
  • FIGS. 5 A- 5 F are plan views of the structures shown sectionally in FIGS. 4 A- 4 F;
  • FIGS. 6 A- 6 G are sectional views illustrating fabrication of a microelectromechanical device in accordance with the present invention.
  • FIGS. 7 A- 7 G are plan views of the structures shown sectionally in FIGS. 6 A- 6 G;
  • FIGS. 8 A- 8 F are sectional views illustrating fabrication of a biochip in accordance with the present invention.
  • FIGS. 9 A- 9 C schematically illustrate, respectively, a single SRAM circuit, a two-dimensional array of such circuits, and a three-dimensional array of such circuits;
  • FIGS. 10A and 10B are sectional views illustrating fabrication of a field-emission display device in accordance with the present invention.
  • FIG. 11 is a block diagram of a preferred nano-embossing system implementing the present invention.
  • FIGS. 12A and 12B schematically illustrate alternative configurations for synthesizing nanoparticles.
  • FIGS. 1 A- 1 D illustrate an exemplary approach to fabricating an elastomeric stamp useful in the practice of the present invention.
  • a substrate 100 is patterned with a series of recessed features 105 and projecting features 110 . These features correspond in size and arrangement (but not in depth) to the pattern ultimately desired for a component layer. Accordingly, the features 105 , 110 may be inscribed using conventional techniques such as photolithography, e-beam, focused ion-beam, micromachining, or other lithographic approaches. Feature sizes as small as 150 nm have been accurately obtained and utilized, although even smaller features are of course possible.
  • Substrate 100 may, for example, be any surface of sufficient smoothness that may be conveniently patterned, and which will not bond to the material from which the stamp is to be formed. Suitable materials include, for example, silicon, metal wafers, and exposed photoresist.
  • a raised enclosure 115 is applied to substrate 100 so as to surround the pattern of features 105 , 110 .
  • Enclosure 115 may be, for example, a metal or plastic wall, the outer contour of which is designed to fit within the device that will apply the stamp as hereinbelow described.
  • An uncured elastomer 120 in liquid form is poured into the well 125 formed by enclosure 115 and features 105 , 110 .
  • elastomer 120 is a curable rubber or silicone material such as polydimethylsiloxane (PDMS), e.g., the SYLGARD-184 material supplied by Dow Corning Co.
  • PDMS polydimethylsiloxane
  • enclosure 115 is desirably held against the surface of substrate 100 with a modest pressure or set within a conforming groove in substrate 100 .
  • a sufficient amount of elastomer 120 is poured into well 125 to completely fill features 105 and to provide a stable body mass for stamping operations.
  • the elastomer 120 is then cured into a solid plug 130 .
  • the PDMS material mentioned above may be cured by heating in an oven at 80° C. for 2 h.
  • Other silicone elastomers may be cured by exposure to moisture, e-beam or actinic (e.g., ultraviolet) radiation, or by addition of a cross-linking agent.
  • the solid plug 130 is separated, with or without enclosure 115 , from substrate 100 as shown in FIG. 1D to form a finished stamp 132 .
  • the underside of plug 130 has a series of projecting and recessed features 135 , 140 complementary to the features 105 , 110 of substrate 100 , which are left undamaged by the foregoing process steps; moreover, little if any elastomer is desirably left on the substrate 100 when plug 130 is removed.
  • Enclosure 115 may be removed along with plug 130 as shown in FIG. 1D, or it may instead be left in place on substrate 100 . If it is removed and its association with plug 130 retained, it may serve several purposes: facilitating mechanical attachment to the stamping device, assisting with alignment of the stamp (for example, enclosure 115 may have an alignment tab that mates with a complementary recess in the stamping device), and limiting lateral deformation of plug 130 . To further limit lateral deformation, plug 130 may be made relatively thin (by pouring the liquid elastomer 120 to a level not substantially above the surface of substrate 100 ) and capped by a solid support structure. A fenestrated film or other rigidity-conferring filler material may be added to liquid elastomer 120 prior to curing, thereby integrating within the resulting polymer matrix to further enhance the rigidity of plug 130 .
  • stamp 132 may be patterned by selectively curing a thin film of the elastomer by exposure to actinic radiation through a mask followed by photochemical development (to remove the exposed or the unexposed areas), or by selective thermal curing with an atomic force microscope (AFM) thermal tip.
  • the stamp 132 may also be fabricated from non-elastomeric stiff materials for better control of deformation.
  • the procedures described above can be carried out with a polyacrylate rather than an elastomer. Suitable polyacrylates include polyfunctional acrylates or mixtures of monofunctional and polyfunctional acrylate that may be cured by e-beam or ultraviolet (UV) radiation.
  • the stamp 132 may be cleaned by coating the patterned surface with a liquid polyimide such as Japanese Synthetic Rubber, curing the polyimide in place, and then peeling it off the stamp. This process will remove dust and debris without harming the patterned stamp surface.
  • a liquid polyimide such as Japanese Synthetic Rubber
  • the stamp is applied to a liquid which, when cured, provides a desired electrical, chemical, biological, and/or mechanical functionality.
  • the liquid may be a colloidal dispersion of nanoparticles or carbon nanotubes; an uncured polyimide; a solution of biological material; or a solution of a suitable sacrificial or release layer which may later be dry- or wet-etched (e.g., PMMA).
  • the liquid is present on a substrate (or on a previously deposited and cured layer) as a thin, uniform film.
  • a deposited liquid can be drawn into such a film by various techniques, one of which is illustrated in FIGS. 2A and 2B.
  • a substrate 200 which may be a glass slide, a silicon wafer, a sheet of plastic, or other smooth material—receives a bead 210 of liquid.
  • a smooth rod 220 (which may be glass or a flexible material) is dragged across substrate 200 in the direction of the arrow, drawing the bead 210 into a uniform film 230 .
  • the pressure between rod 220 and substrate 200 can vary without affecting the resultant thickness of film 230 ; indeed, rod 220 can even be held slightly above substrate 200 (so that no contact is actually made).
  • the speed with which rod 220 is drawn across substrate 200 does affect the thickness of film 230 , however, with faster travel resulting in a thinner film. Accordingly, for a film of uniform thickness, rod 220 should be drawn at a constant speed, and should not be allowed to rotate as it is drawn.
  • the film thickness is also affected by the size (diameter) of rod 220 .
  • the film 230 will typically still be in a liquid state. Depending on the liquid, substantial loss of volume may occur by evaporation; indeed, a loss of 90% of the initial height of the film is not unusual. Thus, a thin film of liquid initially 100 ⁇ 10 nm in height may dry down to a film 10 ⁇ 1 nm in height. We have routinely obtained dry films with heights less than 40 nm using this technique.
  • a rod to produce a thin film is not an option.
  • the material may not wet to the surface of substrate 200 , or the solvent may evaporate almost instantly.
  • An alternative application technique useful in such cases utilizes a stamp having a patterned surface as described above. A small line of the liquid material to be drawn into a film is deposited onto substrate 200 . One edge of the stamp is brought into contact with substrate 200 immediately next to the line of liquid. The stamp is then lowered into contact with the substrate, displacing the liquid in front of it and producing a thin, patterned layer of material under the stamp.
  • Another alternative involves application of the material to be patterned as a droplet, either to the surface of the receiver substrate or to the raised-pattern surface of the stamp.
  • the stamp is then brought into contact with the substrate surface, thereby molding the applied material in the pattern of the stamp.
  • the material may be cured (e.g., thermally) with the stamp in contact with the substrate.
  • this approach has been applied to liquid-phase polyimide, vinyl, and nanoparticle metal inks, which are cured by activating a hotplate underlying the substrate following patterning. It is found, however, that this approach is most useful for insulators (such as polymers) because the resulting patterned film is contiguous.
  • the process also works best with viscous materials that exhibit limited outgassing during cure (although PDMS stamps are to some degree porous to may outgassing components).
  • FIGS. 3 A- 3 C illustrate the embossing technique of the present invention as applied to a planar surface.
  • a substrate 300 is coated with a thin, uniform film 305 of liquid as described above.
  • An elastomeric stamp 310 having a pattern of projecting and recessed features 315 , 320 is lowered until the projecting features 315 make contact with substrate 300 , thereby displacing liquid 305 at the regions of contact.
  • the height (or heights) of the recessed features 320 exceeds that of the liquid that will be displaced therein.
  • the area dimensions of projecting features 315 are constrained by the need for these features to push aside the liquid 305 and either make contact with substrate 300 or at least displace enough liquid to facilitate its convenient subsequent removal.
  • the maximum areas of features 315 depend greatly on the viscosity of the liquid, the thickness of the film 305 , and the nature of the stamp elastomer.
  • metallic nanoparticles in suspension 15%) with a wet film thickness of 500 nm, it has been found that an elastomeric stamp formed from PDMS can completely transport the nanoparticle-containing liquid over a distance greater than 5 ⁇ m.
  • these may have convex, rather than flat, surfaces; for example, the features may be domed, peaked, or otherwise shaped to make contact with substrate 300 at a small region, progressively moving more liquid as stamp 310 is pressed against substrate 300 and the features 315 flatten.
  • Stamp 310 is preferably lowered onto substrate 300 using a slight rocking motion. Since the stamp is elastomeric, it may be slightly flexed so that one edge first makes contact with the substrate before the rest of the stamp rolls into place. This approach prevents or reduces the formation of air bubbles. No unusual pressure, temperature, or ambient conditions are necessary for the embossing process. Very light or no pressure is applied to the stamp 310 so the projecting features 315 penetrate the liquid film 305 . Any attractive force between projecting features 315 and substrate 300 will assist with the transport of liquid into recesses 320 , and may also allow pressure to be removed—so that features 315 merely rest against substrate 300 —without sacrificing contact.
  • the film 305 may be partially or completely cured.
  • the curing mode is dictated by the nature of the liquid, and may include one or more process steps such as heating, evaporating a solvent (to which the elastomer of stamp 310 is permeable), UV exposure, laser annealing, etc.
  • Stamp 310 is removed from substrate 300 as shown in FIG. 3C, leaving a pattern of fully or partially cured film traces 325 that correspond to the pattern of recesses 320 .
  • stamp 310 is removed using a rocking motion. Smooth, uniform motion improves the quality of the pattern 325 and prevents damage thereto from minuscule bursts of air.
  • stamp 310 may be immediately reused without cleaning.
  • the patterned liquid 325 may at this point be cured into full solidity.
  • the absence of the stamp 310 facilitates such additional mechanisms as vacuum evaporation and chemical modification (e.g., by addition of a cross-linker).
  • the film patterned by the stamp 310 may begin as a solid rather than a liquid.
  • the film may be heated to decrease viscosity before stamp 310 is brought into contact therewith.
  • stamp 310 may itself be heated to a temperature sufficient to melt the solid film upon contact.
  • FIG. 3D shows a substrate 300 having a previously patterned layer of a first material 330 .
  • a thin film 335 of liquid is drawn over material 330 and, where exposed, substrate 300 ; the liquid 335 is generally conformal, resulting in an uneven liquid surface. Maintaining precise alignment among patterned layers is obviously vital to proper functioning of the finished device.
  • stamp 340 is well-suited to patterning such an uneven surface while maintaining precise rendition of the stamp pattern. As shown in FIG. 3E, stamp 340 is lowered as discussed previously. Because of its elastic character, stamp 340 deforms to allow different projecting features 345 to reach solid surfaces of different heights without substantial lateral deflection. As a result, the pattern 350 of material 335 that remains upon removal of stamp 340 is substantially complementary to the pattern of projecting features 345 , notwithstanding the different heights of the embossed regions. Naturally, the degree of fidelity to the stamp pattern depends on the degree of elasticity inherent in the stamp and the differences in height that must be accommodated.
  • the embossed pattern of material 350 is cured.
  • the curing mode chosen must not damage the previously cured layer 330 .
  • a thin film of deposited may be conformal, resulting in a surface of varying heights (rather than filling recesses to create a planar surface).
  • the embossing technique of the present invention can be used not only to planarize such deposited layers, but also to create “vias” that interconnect layers not directly in contact with each other.
  • a substrate 300 is patterned with a previously deposited and embossed layer of a first material 360 .
  • a thin film 365 of liquid is drawn over material 360 and, where exposed, substrate 300 ; once again the liquid 365 is generally conformal, resulting in an uneven liquid surface.
  • it is desirable for the component layer formed from liquid 365 to be planar rather than conformal.
  • planarization is essential for microelectromechanical (MEM) structures and many-layer three-dimensional circuits.
  • the present invention can accomplish both planarization and the creation of vias among non-adjacent stratified layers.
  • the projecting features of a stamp 370 (representatively indicated at 375 ) have elevations chosen such that, with the surfaces 377 of the projections in contact with substrate 373 , the recessed portions of stamp 370 (representatively indicated at 380 ) make contact with the surface of liquid 365 .
  • the result is planarization of the liquid layer 365 where it is in contact with stamp surfaces 380 .
  • stamp 370 is removed (FIG. 3I)
  • that layer is substantially planar with the exception of edge ridges shown at 385 .
  • a via 390 is established between the surface of substrate 300 and the top surface of layer 365 .
  • a layer subsequently deposited on layer 365 therefore, can make contact with substrate 300 , and this subsequently deposited layer can also be planarized in the manner just described.
  • the via 390 can be made to persist through multiple layers by embossing with a similar projecting feature as each such layer is applied. In this way, contact between distant layers may be effectuated.
  • Liquid 365 may or may not be cured (totally or partially) before stamp 370 is withdrawn in the manner hereinabove described. Following curing, the liquid 365 may decrease in height, jeopardizing planarity. This problem can be overcome by applying additional layers of the same material and embossing with the same pattern of features 377 , 380 . The ability to planarize and pattern in the same step represents a significant fabrication capability and improvement over the prior art.
  • An alternative arrangement utilizes a device which, under computer control, is capable of changing its surface topology in accordance with a desired pattern and then acting as a stamp.
  • a device may be built, for example, using an array of MEM elements that are actuated electrostatically, thermally, magnetically, piezoelectrically or by other computer-controllable means, actuation of an element causing it to alter the degree or manner in which it projects from the surface of the array.
  • micro-mirror array in which an array of elements is caused to tilt either out of plane or lie flat depending on an electrical signal (see Kim et al., Society for Information Display 99 Digest , p. 982 (1999)).
  • FIGS. 4 A- 4 F and 5 A- 5 F illustrate fabrication of a two-transistor electronic inverter.
  • FIGS. 4 A- 4 F are a section taken from the corresponding one of FIGS. 5 A- 5 F along the line labeled with the figure number.
  • Functional layers are built up on a substrate 400 (FIGS. 4A, 5A), which may be, for example, a glass slide, a plastic sheet, a silicon wafer, or any other material having a sufficiently smooth surface 400 s .
  • a substrate 400 FIGGS. 4A, 5A
  • Each added layer is patterned by a different stamp.
  • a patterned conductive metal layer 410 is established on surface 400 s of substrate 400 .
  • This is accomplished by first applying a thin film of a metal-containing liquid, such as a suspension of gold or silver nanoparticles in a suitable carrier liquid (see, e.g., U.S. Pat. No. 5,756,197, the entire disclosure of which is hereby incorporated by reference).
  • the applied liquid is patterned with a stamp as described above so as to create a series of channels that reveal the surface 400 s of substrate 400 .
  • the liquid is then cured (e.g., in the case of a metal nanoparticle suspension, the carrier is evaporated so that the metal particles coalesce into a substantially continuous, conductive patterned film).
  • the pattern formed includes a pair of transistor gaps 412 , a ground rail 414 , and a V cc rail 416 .
  • a semiconductive layer 420 is deposited onto the conductive layer 410 .
  • Layer 420 completely fills and is planarized over the channels 412 , so that in these locations, layer 420 is in contact with substrate 400 . Otherwise, the pattern of layer 420 substantially matches that of layer 410 so that the semiconductor 420 does not bridge between metal lines.
  • layer 420 is removed by the embossing process to reveal the underlying layer 410 , while in other areas 424 overlying channels previously defined through layer 410 , substrate 400 is revealed.
  • Semiconductive layer 420 may be applied as a liquid suspension of semiconductor (e.g., silicon, germanium, CdSe, etc.) nanoparticles as described, for example, in U.S. Pat. No. 5,534,056 (the entire disclosure of which is hereby incorporated by reference). Again, following patterning, the layer may be cured by evaporating the carrier so as to coalesce the particles into a continuous patterned film.
  • An insulating layer 430 is applied over semiconductive layer 420 as shown in FIGS. 4D, 5D.
  • Layer 430 completely fills the vias 424 , and is planarized thereover.
  • a via 432 slightly smaller in diameter than the via 422 (see FIG. 4C) created earlier, is formed through that via 422 to reveal layer 410 .
  • the insulating layer may be applied as an uncross-linked liquid polymer precursor, such as a radiation-cure coating (polyacrylates and polymethacrylates, for example, are suitable for this purpose). Following patterning and removal of the stamp, the polymer precursor may be cured (i.e., cross-linked) into solidity by exposure to UV or e-beam radiation.
  • a second metal layer 435 is applied to insulating layer 430 and patterned by stamping.
  • a plug of the metal layer 435 completely fills the via 432 created previously and connects to metal layer 410 ; because via 432 has a smaller diameter than via 424 , a layer of insulating material separates the plug of metal from semiconductor layer 420 within the via 432 .
  • the second metal layer 430 forms the gates 440 of the two transistors.
  • An encapsulant 450 such as a UV-cured polymer, epoxy or spin-on glass is applied as a coating over layer 435 to protect all underlying functional layers from contamination or physical damage.
  • the encapsulant which is applied at a sufficient thickness to fill all exposed channels, also adds structural rigidity to the finished device.
  • FIGS. 6 A- 6 G and 7 A- 7 G illustrate fabrication of a freely rotating MEM wheel.
  • Each of FIGS. 6 A- 6 G is a section taken from the corresponding one of FIGS. 7 A- 7 G along the line labeled with the figure number.
  • the structure includes a first sacrificial or release layer, a second sacrificial or release layer, a first metal layer, a third sacrificial or release layer, and a second metal layer. After all layers are applied, a final release step etches away the release layers to liberate a purely metallic structure. Each layer is patterned using an elastomeric stamp as described above.
  • a substrate 600 (FIGS. 6A, 7A), which may be a glass slide, a plastic sheet, a silcion wafer, or any other appropriately smooth surface (for MEM applications a relatively stiff substrate may be desirable), receives a first release layer 610 as shown in FIGS. 6B, 6C.
  • Release layer 610 may be, for example, a polymer (such as PMMA) soluble or wet-etchable in a solvent (such as acetone), or etchable by dry-etch techniques (such as plasma etching); or may be a spin-on glass etchable in hydrofluoric acid.
  • Release layer 610 completely covers substrate 600 with the exception of a hole 612 patterned in the release layer by means of the elastomeric stamp. This hole 612 will receive material for the axle of the wheel.
  • the second release layer 620 is patterned as shown in FIGS. 6C, 7C.
  • the pattern includes a series of depressions 622 . These will be filled with metal to create dimples on the rotating wheel.
  • the hole 612 is patterned in the center of layer 620 .
  • the first metal layer 630 fills the holes 612 , 622 (see FIG. 6C) patterned in the first two release layers 610 , 620 .
  • Layer 630 is planarized over these holes. Stamping eliminates metal from a pair of concentric circular regions 632 , 635 . Region 632 defines the edge of the wheel, and region 635 faciliatates separation of the wheel from the axle. The bottom of the wheel fills the depressions 622 (FIGS. 6C, 7C), forming dimples that will reduce stiction between the wheel and substrate 600 . Not shown are small holes patterned in the wheel to allow etchant to reach the underlying release layers 610 , 620 .
  • the third release layer 640 is added and patterned as shown in FIGS. 6E, 7E.
  • This layer uses a stamp identical to that employed to pattern the first release layer 610 , forming a hole 645 in the center for the axle of the wheel.
  • the second metal layer 650 (FIGS. 6F, 7F) is patterned to create a cap 652 on the axle of the wheel. This cap prevents the wheel from leaving the axle after all release layers are etched away. Metal layer 650 is also crosshatched to create small islands 655 of metal. These islands represent excess material and will be removed when the release layers are etched away, but are included to facilitate separation of the release layers. During the release step it may be necessary to use a supercritical CO 2 release to avoid suckdown problems between the wheel and the substrate.
  • the device assumes the configuration shown in FIGS. 6G, 7G.
  • the finished device is a wheel 660 with dimples 662 on its bottom surface, an axle 665 about which the wheel 660 is free to rotate, and a cap 650 that holds the wheel 660 in place on the axle 665 .
  • MEM structures amenable to production using the present invention include, for example, so-called heatuators, linear comb drives, and combustion engines.
  • FIGS. 8 A- 8 F illustrate use of the present invention to create a so-called “biochip,” i.e., an electronically active or readable substrate having a dense array of different biological materials (e.g., DNA probes, protein probes, carbohydrates).
  • a chip can be used, for example, to identify samples of interest or to test for the presence of various molecular sequences. See, e.g., U.S. Pat. Nos. 5,605,662, 5,874,219, 5,744,305 and 5,837,832.
  • an elastomeric stamp 810 has a series of projecting features 815 .
  • a substrate 820 has deposited thereon a thin film of biological material 822 .
  • Stamp 810 is lowered until projecting features 815 penetrate and displace the liquid film 812 to make contact with the underlying substrate 820 (FIG. 8B). The stamp 810 is then removed from contact with the substrate 810 , leaving a pattern 825 of biological material and a complementary pattern of regions 827 from which biological material has been removed (FIG. 8C).
  • FIG. 8D shows a second substrate 830 having an array of projecting features 832 each with a biological receptor 835 bonded thereto.
  • This biological receptor uniquely bonds to constituents of the biological material 822 ; for example, biological material 822 may be a protein solution, and the receptor 835 an antibody specific for the protein.
  • the second substrate 830 is aligned above the original substrate 820 .
  • the second substrate 830 is brought into contact with substrate 820 (FIG. 8E); some of the projecting features 832 overlie biological material 825 , while others overlie voids 827 .
  • Biological material binds to receptors attached to projecting features that penetrate the liquid, while projecting features brought into contact with (or proximity to) void areas 827 remain unmodified.
  • FIG. 8F shows the second substrate 830 removed from contact with substrate 820 .
  • Biological material on the original substrate was selectively transferred to certain projecting features 832 of the second substrate 830 and not to others; the second substrate 830 , thus selectively patterned (with features 832 on the order of 10 nm-100 ⁇ m) and chemically reacted, may serve as a biochip.
  • the liquid material remaining on the original substrate 820 may be used to produce additional biochips.
  • the biochip may be brought into contact with a third substrate having a different biological material, and which has been patterned with the original stamp 810 or with a different stamp. In this way, a second layer of biological material can be selectively added to various of of the projecting features 832 .
  • a biological resist layer is patterned by an elastomeric stamp in accordance with the invention, and is then brought into contact with a substrate having projecting features.
  • the resist material binds selected projecting features based on the respective patterns of the features and the resist.
  • the entire structure is then immersed in a functional biological material, which binds only to projecting features that have not received resist.
  • the structure is immersed in an etch bath that removes the resist material (and any biological material that may have bound to it), but leaving undisturbed biological material bound to features that did not receive resist.
  • biological material may be directly transferred from the projecting features of the elastomeric stamp onto selected sites (e.g., raised features) on the substrate. Areas of the stamp corresponding to recessed features do not transfer material. In this fashion the substrate may be patterned without the need for an intermediate transfer step. Spreading of the transferred material is avoided by maintaining only a very thin film of material in the plate from which the stamp is “inked.” It is important, of course, that the receiver surface exhibit a higher affinity for the biological material than the stamp. PDMS has a very low surface energy, making it ideal for transferring a wide range of materials.
  • a metal nanoparticle dispersion may be applied as a thin film to a flat surface such as glass or plastic.
  • a patterned elastomeric stamp is brought into contact with the film of material and withdrawn, and the material adhering to the stamp transferred to a second surface.
  • conducting structures with edge resolutions on the order of 300 nm.
  • Each new nucleotide in the sequence is first blocked by reaction with 4′,4′dimethoxytrityl (DMT) and then combined with a highly reactive methylated diisopropyl phosphoramidite group, which links the nucleotide with the one previously added.
  • the blocking group is removed by detritylation, which renders the newly linked nucleotide available for linkage to a further nucleotide.
  • all methyl groups are removed by exposure to alkaline pH.
  • biochips may be fabricated.
  • biochips it may be desirable to have good separation between biological domains (such as between oligonucleotides of different sequence). This may be accomplished by stamping such sequences onto a non-planar or porous surface.
  • porous refers to non-planar features that physically separate unique nucleotide sequences (or other chemically distinct biomolecules).
  • each sequence may be patterned on the top surface of a raised pillar, each of which is physically separated from its neighbors. This design allows for convenient removal unwanted chemistries, since these can be continuously withdrawn as they accumulate at the bases of the pillars.
  • each nucleotide sequence may be deposited into a separate recessed well.
  • FIG. 9A schematically illustrates a single SRAM 900 circuit with a power rail V cc 910 and a ground rail 915 .
  • the SRAM 900 is addressed using a horizontal control line 920 and a vertical control line 925 which, when both high, activate the split-gate transistor structure 930 and connect the read/write line 935 to the memory cell.
  • the volatile memory is stored in a pair of cross-coupled inverters 940 .
  • This circuit can be fabricated using the embossing technique with five different elastomeric stamps: two metal layers, a semiconducting layer, a thin insulating layer, and a planarizing layer with vias.
  • FIG. 9B shows the manner in which the basic circuit 900 can be utilized as a “tile” in a two-dimensional array of such circuits.
  • the circuit 900 is replicated 16 times in a contiguous, 4 ⁇ 4 two-dimensional array 950 .
  • This memory array 950 has power and ground rails, the horizontal control lines running along the left and right edges 955 , and the vertical control lines and read/write lines running along the top and bottom edges.
  • the array 950 is produced by applying, in the pattern of the array, the same five stamps over each applied layer. The stamped regions interact to form the continuous circuit 950 .
  • the array can be extended into three dimensions by replicating the two-dimensional array 950 in a vertical stack 970 .
  • a memory address is divided so that the first bits of the address decode into a set of horizontal control lines that all lie in the same two-dimensional position but are stacked vertically, and the last bits of the address decode the vertical control lines in the same way.
  • a word of memory is stored in the same two-dimensional position of different arrays in the vertical stack (so that the number of bits in a word of data corresponds to the number of vertically stacked memory arrays).
  • the decoding circuitry on the edges of the memory may also be produced using the same five masks repeated for each layer with vias interconnecting the layers.
  • cellular automata are interconnected processing cells that interact with neighbors to compute in parallel.
  • Cellular automata are often used to simulate three-dimensional environments, but conventional approaches are inherently two-dimensional and therefore limited in processing capacity. By creating circuits in three dimensions with many layers, it is possible to overcome this scaling limitation.
  • a cellular-automata device would include many two-dimensional arrays of cells stacked vertically to create an interconnected three-dimensional array.
  • FIG. 1 Another example of three-dimensional devices amenable to fabrication in accordance with the present invention is a neuronal structure consisting of many individual electronic “neurons” (each represented by a processor) arranged in three-dimensions with many “dendritic” interconnects between neighboring devices. Each neuron is affected by all of its surrounding neurons and in turns affects the neurons to which it is connected. Neural networks created in three-dimensions avoid many of the scaling problems that plague today's two-dimensional circuits.
  • Another application of the stamping process of the present invention involves creation of electron-emission structures for use in field-emission displays (FEDs).
  • FEDs field-emission displays
  • Today, these devices are typically fabricated in silicon and are quite expensive and complicated to produce; the most common structure used is a Spindt-tip.
  • Recently, research has shown that by using materials with a lower work function (e.g., single-wall carbon nanotubes), much simpler structures can be fabricated with equal or better efficiency than typical silicon emitters (Choi et.al., Society for Information Display 99 Digest , p. 1134 (1999)).
  • the growth temperatures for producing nanotubes are well above the melting point for glass or plastic substractes (exceeding 800° C.) and have thus not been integrated with processes employing such substrates.
  • a slurry of metallic (preferably gold) nanoparticles and chopped up nanotubes (nanopipes) is dissolved in a solvent.
  • this slurry is then patterned, by stamping, onto a substrate 1010 (e.g., a glass sheet) as sets 1020 , 1025 of interdigitated lines; some carbon nanotubes 1030 will protrude from the surfaces of the lines 1020 , 1025 .
  • a substrate 1010 e.g., a glass sheet
  • sets 1020 , 1025 of interdigitated lines some carbon nanotubes 1030 will protrude from the surfaces of the lines 1020 , 1025 .
  • these nanotubes may be positioned to all point in the same directions. Lines 1020 , 1025 are then cured at temperatures below 300° C.
  • another layer 1040 of the nanoparticle slurry is applied so as to completely cover one set of lines 1020 , thereby fully enclosing the carbon nanotubes.
  • This set of lines 1020 represents the gate of the FED, whereas the set of lines 1025 represents the cathode.
  • a phosphored anode 1050 is disposed proximately and in opposition to lines 1020 , 1025 , and a high vacuum established between anode 1050 and substrate 1010 .
  • V ac the voltage between the anode 1050 and the cathode lines 1025
  • V gc the voltage between the gate lines 1020 and the cathode lines 1025
  • V ac remains at about 20V but V gc is set to 5V; the electrons from cathode lines 1025 will then stream to the gate lines 1020 and no electrons will stream to the anode 1050 .
  • a visual display is caused by selective, line-by-line activation of the cathode lines 1025 to cause electron streaming therefrom.
  • the stamping process of the present invention may be combined with existing chip-fabrication processes.
  • the current high-end microprocessor production process can be divided into two major steps: the “front-end” processing, which consists of all steps necessary to produce a working transistor (e.g., silicon growth, gate oxide, doping, transistor fabrication); and the “back-end” processing of the wafer that creates the metal interconnects and vias which establish connections among the transistors.
  • the front-end processing which consists of all steps necessary to produce a working transistor (e.g., silicon growth, gate oxide, doping, transistor fabrication); and the “back-end” processing of the wafer that creates the metal interconnects and vias which establish connections among the transistors.
  • the complexity and cost of a chip is generally determined by the number of mask sets employed in its fabrication.
  • stamping is used to produce the metal back end for an otherwise typically fabricated silicon-wafer front end.
  • a wafer is produced using standard silicon front-end processes up until the point when metal would first be deposited.
  • layers of metallic nanoparticles are patterned by nanoscale embossing to form the interconnect layers; in particular, a thin film of a metal nanoparticle solution is applied (e.g., by a spin-on technique) onto the wafer, and the film is patterned by embossing as described above to form metal interconnects and to fill the vias to underlying layers.
  • the conducting traces thus formed are cured, and a layer of a dielectric nanoparticle material is deposited thereon. This layer is then embossed to pattern vias between metal layers, and then cured. The steps of depositing, patterning, and curing conductive and insulating layers are repeated until the desired number of layers is attained.
  • each layer of dialectric can be planarized through the stamping process, so that it is possible to create many more layers than can be obtained using current, conventional processes.
  • the stamping process is conformal to underlying layers, the quality of the planarization is not critical (as is the case, for example, in photolithography, where each layer must be planar to within a few hunder nanometers).
  • the stamping process of the present invention is fabrication of organic light emitters, organic logic, and organic transistors.
  • Organic light emitters and logic materials such as PPV (poly(p-phenylene vinylene) and thiophene are difficult to pattern using standard lithographic processes because the etch process can degrade the organic material.
  • One alternative approach is to use ink jet (Shimoda et al., Society for Information Display 99 Digest , p. 376 (1999)), but the resolution of this process is limited to above 10 ⁇ m.
  • the stamping process described herein facilitates patterning of significantly finer features.
  • An optical waveguide is a structure in which a first region possesses a first index of refraction and a second region possesses a second index of refraction.
  • a very simple optical waveguide may be made by simply embossing a rectangular ridge in an optically transparent material (such as spin-on glass or UV optical polymer) surrounded by air. Light directed into one end of the ridge will emerge at the other end.
  • printed optical waveguides By combining such printed optical waveguides with printed light emitters such as organic electroluminescent materials, inorganic electroluminescent materials or hybrid electroluminescent materials and with printed detectors (such as phototransistors or photodiodes) and switches (such as electro-optical switches), it is possible to construct an “all-printed” or partially printed switching fabric for control of incoming optical signals and transmission of output optical signals for various optical-telecommunications applications.
  • printed light emitters such as organic electroluminescent materials, inorganic electroluminescent materials or hybrid electroluminescent materials and with printed detectors (such as phototransistors or photodiodes) and switches (such as electro-optical switches)
  • detectors such as phototransistors or photodiodes
  • switches such as electro-optical switches
  • FIG. 11 shows a block diagram of a preferred nano-embossing system, indicated generally at 1100 .
  • the system operates on a substrate 1110 , which is secured to a Z-translation stage 1115 .
  • the Z-translation stage is secured to a 360° theta stage 1120 , which rotates in the XY plane.
  • Theta stage 1120 is itself secured to a carrier 1125 on a gantry system 1130 adapted for two-dimensional movement in the XY plane.
  • These components can transport substrate 1110 to any spatial position within the limit of movement, and with arbitrary XY rotation.
  • a series of functional modules are suspended above substrate 1120 , each module performing a different step in the embossing process: depositing thin films of material on the substrate, patterning the thin film, and curing the film following embossing.
  • thin films of liquid are produced on substrate 1110 by a metal rod 1135 1 and an ejection device 1140 1 (e.g., an ink jet head or pipet) that deposits a small amount of liquid as described above in connection with FIGS. 2A, 2B.
  • a metal rod 1135 1 and an ejection device 1140 1 e.g., an ink jet head or pipet
  • Additional sets of metal rods and ejection devices (representatively indicated at 1135 2 , 1140 2 ) are available for deposition of different liquids.
  • the deposited liquid films are patterned by an elastomeric stamp, which may be selected from a plurality of available stamps representatively shown at 1150 1 , 1150 2 .
  • the stamps are each retained within a suitable stamping press (not shown), the outer contours of the stamps fitting within complementary recess within the stamping equipment.
  • the patterned films are cured by a device 1160 (e.g., a thermal lamp, a UV lamp, a laser, etc.) as appropriate to the film.
  • the substrate 1110 travels back and forth between these different modules and an aribtrary number of layers may be patterned thereon. Alignment of these different modules with respect to substrate 1110 can be accomplished, for example, using optical fiduciary marks as commonly used for silicon mask alignment.
  • fine-grained alignment of the stamps 1150 may be performed using physical self-alignment of the stamp.
  • each stamp 1150 may contain deeply recessed triangular features that merge with raised alignment features on the substrate 1110 .
  • the stamp itself is preferably capable of translation and rotation during alignment.
  • a nano-embossing system in accordance with the present invention may comprise a “roll-to-roll” process facilitating continuous production of functional devices.
  • a roll-to-roll process resembles conventional letterpress printing processes, with the stamps of the present invention configured as elastomeric letterpress plates.
  • a plate is rotated on a drum, making gentle contact with a moving substrate onto which the curable liquid has been deposited.
  • Nanoparticles in solution for use with the present invention may be fabricated using a process similar to chemical vapor deposition (CVD), alternative configurations for which are illustrated in FIGS. 12A and 12B.
  • CVD chemical vapor deposition
  • FIGS. 12A and 12B controlled flows of a CVD precursor gas and an inert carrier gas are introduced into a heated vacuum chamber 1200 through respective mass-flow controllers 1210 , 1215 .
  • the chamber 1200 is generally tubular in shape and is heated by a surrounding resistive coil.
  • the wall of chamber 1200 is substantially transparent to radiation from a pair of orthogonally oriented lasers 1225 1 , 1225 2 .
  • the organic capping material is introduced in vapor form into chamber 1200 , downstream of lasers 1225 1 , 1225 2 , by means of a flow controller 1230 .
  • a collecting table 1232 is disposed within chamber 1200 still further downstream, and is chilled by recirculation of a cooling fluid through a pair of valves 1235 1 , 1235 2 . Gaseous material is drawn through chamber 1200 in the direction of the arrow by a vacuum source (not shown).
  • the CVD precursor travels through chamber 1200 , it is dissociated by a combination of the elevated temperature in the chamber and energy imparted by lasers 1225 1 , 1225 2 .
  • the respective concentrations of CVD precursor and inert carrier are chosen such that mean free path of the chemically pure, dissociated elements or molecules permits, on a probabilistic basis, only hundreds of collisions with other like species before the organic vapor introduced through flow controller 1230 is encountered. With each collision, more and more of the dissociated species come together, thereby forming larger particles. Capping this growing particle with an organic shell prevents it from further increasing in size.
  • the inert gas carries the growing particles from the dissociation region to the capping region at a known rate, and once capped, the particles are collected on chilled collecting table 1232 .
  • the carrier gas and unreacted precursor exit the chamber 1200 .
  • the resulting nanoparticles 1240 in the form of a paste on the plate 1232 , are then removed from the vacuum chamber and put into solution. The solution is subjected to gravity or centrifuging, and the nanoparticles of the smallest size are skimmed off the top.
  • Suitable CVD precursors include silane, TIBA (tri-isobutyl-Al), WF 6 , and Cu(hfac) 2 (i.e., copper hexafluoroacetylacetonate) with helium and argon as inert carrier gasses.
  • Suitable organic capping groups include straight-chain alkyl groups that chemically bond to the particle, or groups that interact with the particle surface through a heteroatom such as sulfur, oxygen, nitrogen, or silicon. Other suitable organics, as disclosed in U.S. Pat. No.
  • 5,750,194 include alpha-terpineol, methyl oleate, butyl acetate, glyceride linoleate, glyceride linolenate, glyceride oleate, citronellol, geraniol, phenethyl alcohol, and nerol.
  • the use of more reactive species justifies a simpler configuration that may include a vacuum chamber 1250 , which is evacuated by a vacuum pump 1260 operating through a valve 1260 .
  • a CVD precursor gas and an organic capping group in vapor form are introduced into vacuum chamber 1250 through respective mass-flow controllers 1260 , 1265 .
  • the CVD precursor quickly agglomerates into particles, and is capped by the organic vapor.
  • the particles 1270 collect on a chilled table 1275 , and are collected as described above.

Abstract

Elastomeric stamps facilitate direct patterning of electrical, biological, chemical, and mechanical materials. A thin film of material is deposited on a substrate. The deposited material, either originally present as a liquid or subsequently liquefied, is patterned by embossing at low pressure using an elastomeric stamp having a raised pattern. The patterned liquid is then cured to form a functional layer. The deposition, embossing, and curing steps may be repeated numerous times with the same or different liquids, and in two or three dimensions. The various deposited layers may, for example, have varying electrical characteristics, interacting so as to produce an integrated electronic component.

Description

    PRIOR APPLICATION
  • This application stems from U.S. Provisional Application Serial No. 60/153,776, filed on Sep. 14, 1999, and No. 60/167,847, filed on Nov. 29, 1999.[0001]
  • FIELD OF THE INVENTION
  • The present invention relates to fabrication of finely featured electronic, chemical, and mechanical devices. [0002]
  • BACKGROUND OF THE INVENTION
  • Electronic and electromechanical components are presently fabricated in large, immobile manufacturing facilities that are tremendously expensive to build and operate. For example, semiconductor device fabrication generally requires specialized microlithography and chemical etching equipment, as well as extensive measures to avoid process contamination. The total amount of time required for processing of a single chip may be measured in days, and typically requires repeated transfer of the chip into and out of vacuum conditions. [0003]
  • In addition to their expense, the fabrication processes ordinarily employed to create electronic and electromechanical components involve harsh conditions such as high temperatures and/or caustic chemicals, limiting the ability to integrate their manufacture with that of functionally related but environmentally sensitive elements. For example, the high temperatures used in silicon processing may prevent three-dimensional fabrication and large-area fabrication; these temperatures are also incompatible with heat-sensitive materials such as organic and biological molecules. High temperatures also preclude fabrication on substrates such as conventional flexible plastics, which offer widespread availability and low cost. [0004]
  • Despite intensive effort to develop alternatives to these processes, no truly feasible techniques have yet emerged. U.S. Pat. No. 5,817,550, for example, describes a low-temperature roll-to-roll process for creating thin-film transistors on plastic substrates. This approach faces numerous technical hurdles, and does not substantially reduce the large cost and complexity associated with conventional photolithography and etching processes. [0005]
  • U.S. Pat. No. 5,772,905 describes a process called “nanoimprint lithography” that utilizes a silicon mold, which is pressed under high pressure and temperature into a thin film of material. Following cooling with the mold in place, the material accurately retains the features of the mold. The thin film may then be treated to remove the small amount of material remaining in the embossed areas. Thus patterned, the film may be used as a mask for selectively etching underlying layers of functional materials. This process is capable of producing patterns with very fine resolutions at costs significantly below those associated with conventional processes. But it is quite complicated, requiring numerous time-consuming steps to create a single layer of patterned functional material. The technique requires high application pressures and temperatures at very low ambient pressures, thereby imposing significant complexity with attendant restriction on the types of materials that can be patterned. Perhaps most importantly, this technique is limited to producing single-layer features, thereby significantly limiting its applicability to device fabrication. [0006]
  • U.S. Pat. No. 5,900,160 describes the use of an elastomeric stamp to mold functional materials. In particular, the stamp is deformed so as to print a self-assembled molecular monolayer on a surface. This process, also called MIMIC (Micromolding Against Elastomeric Masters), is significantly simpler than nanoimprint lithography, and can be performed at ambient temperatures and pressures. But the technique is generally limited to low-resolution features (in excess of 10 μm), and more importantly, the types of geometries amenable to molding by this technique are limited. [0007]
  • DESCRIPTION OF THE INVENTION OBJECTS OF THE INVENTION
  • It is, accordingly, an object of the present invention to provide an easily practiced, low-cost process for directly patterning functional materials without the need for multistage etching procedures. [0008]
  • Another object of the invention is to increase the speed with which layers of functional materials can be patterned. [0009]
  • Still another object of the invention is to provide a fabrication process that requires no unusual temperature, pressure, or ambient conditions, thereby increasing the range of materials amenable to patterning. [0010]
  • A further object of the invention is to facilitate convenient nanoscale patterning of multiple adjacent layers. [0011]
  • Yet another object of the invention is to planarize deposited materials as part of the application process, eliminating the need for additional planarizing processes (such as chemical mechanical polishing), thereby facilitating fabrication of complex three-dimensional devices employing many (e.g., in excess of 100) layers. [0012]
  • BRIEF SUMMARY OF THE INVENTION
  • To achieve the foregoing and other objects, the present invention utilizes an elastomeric stamp to facilitate direct patterning of electrical, biological, chemical, and mechanical materials. In accordance with the invention, a thin film of material is deposited on a substrate. The deposited material, either originally present as a liquid or subsequently liquefied, is patterned by embossing at low pressure using an elastomeric stamp having a raised pattern. The patterned liquid is then cured to form a functional layer. The deposition, embossing, and curing steps may be repeated numerous times with the same or different liquids, and in two or three dimensions. The various deposited layers may, for example, have varying electrical characteristics, interacting so as to produce an integrated electronic component.[0013]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The foregoing discussion will be understood more readily from the following detailed description of the invention, when taken in conjunction with the accompanying drawings, in which: [0014]
  • FIGS. [0015] 1A-1D are greatly enlarged sectional views illustrating fabrication of an elastomeric stamp in accordance with the present invention;
  • FIGS. 2A and 2B are side elevations illustrating application of a thin, uniform film of liquid onto a substrate; [0016]
  • FIGS. [0017] 3A-3C and 3D-3F are sectional views illustrating, respectively, the embossing process of the present invention as applied to planar surfaces and non-planar surfaces;
  • FIGS. [0018] 3G-3I are sectional views illustrating planarization and the creation of vias using the present invention;
  • FIGS. [0019] 4A-4F are sectional views illustrating fabrication of an electronic inverter in accordance with the present invention;
  • FIGS. [0020] 5A-5F are plan views of the structures shown sectionally in FIGS. 4A-4F;
  • FIGS. [0021] 6A-6G are sectional views illustrating fabrication of a microelectromechanical device in accordance with the present invention;
  • FIGS. [0022] 7A-7G are plan views of the structures shown sectionally in FIGS. 6A-6G;
  • FIGS. [0023] 8A-8F are sectional views illustrating fabrication of a biochip in accordance with the present invention;
  • FIGS. [0024] 9A-9C schematically illustrate, respectively, a single SRAM circuit, a two-dimensional array of such circuits, and a three-dimensional array of such circuits;
  • FIGS. 10A and 10B are sectional views illustrating fabrication of a field-emission display device in accordance with the present invention; [0025]
  • FIG. 11 is a block diagram of a preferred nano-embossing system implementing the present invention; and [0026]
  • FIGS. 12A and 12B schematically illustrate alternative configurations for synthesizing nanoparticles.[0027]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • FIGS. [0028] 1A-1D illustrate an exemplary approach to fabricating an elastomeric stamp useful in the practice of the present invention. As shown in FIG. 1A, a substrate 100 is patterned with a series of recessed features 105 and projecting features 110. These features correspond in size and arrangement (but not in depth) to the pattern ultimately desired for a component layer. Accordingly, the features 105, 110 may be inscribed using conventional techniques such as photolithography, e-beam, focused ion-beam, micromachining, or other lithographic approaches. Feature sizes as small as 150 nm have been accurately obtained and utilized, although even smaller features are of course possible. Substrate 100 may, for example, be any surface of sufficient smoothness that may be conveniently patterned, and which will not bond to the material from which the stamp is to be formed. Suitable materials include, for example, silicon, metal wafers, and exposed photoresist.
  • As shown in FIG. 1B, a raised [0029] enclosure 115 is applied to substrate 100 so as to surround the pattern of features 105, 110. Enclosure 115 may be, for example, a metal or plastic wall, the outer contour of which is designed to fit within the device that will apply the stamp as hereinbelow described. An uncured elastomer 120 in liquid form is poured into the well 125 formed by enclosure 115 and features 105, 110. Preferably, elastomer 120 is a curable rubber or silicone material such as polydimethylsiloxane (PDMS), e.g., the SYLGARD-184 material supplied by Dow Corning Co. To prevent seepage, enclosure 115 is desirably held against the surface of substrate 100 with a modest pressure or set within a conforming groove in substrate 100.
  • A sufficient amount of [0030] elastomer 120 is poured into well 125 to completely fill features 105 and to provide a stable body mass for stamping operations. The elastomer 120 is then cured into a solid plug 130. For example, the PDMS material mentioned above may be cured by heating in an oven at 80° C. for 2 h. Other silicone elastomers may be cured by exposure to moisture, e-beam or actinic (e.g., ultraviolet) radiation, or by addition of a cross-linking agent.
  • The [0031] solid plug 130 is separated, with or without enclosure 115, from substrate 100 as shown in FIG. 1D to form a finished stamp 132. The underside of plug 130 has a series of projecting and recessed features 135, 140 complementary to the features 105, 110 of substrate 100, which are left undamaged by the foregoing process steps; moreover, little if any elastomer is desirably left on the substrate 100 when plug 130 is removed.
  • [0032] Enclosure 115 may be removed along with plug 130 as shown in FIG. 1D, or it may instead be left in place on substrate 100. If it is removed and its association with plug 130 retained, it may serve several purposes: facilitating mechanical attachment to the stamping device, assisting with alignment of the stamp (for example, enclosure 115 may have an alignment tab that mates with a complementary recess in the stamping device), and limiting lateral deformation of plug 130. To further limit lateral deformation, plug 130 may be made relatively thin (by pouring the liquid elastomer 120 to a level not substantially above the surface of substrate 100) and capped by a solid support structure. A fenestrated film or other rigidity-conferring filler material may be added to liquid elastomer 120 prior to curing, thereby integrating within the resulting polymer matrix to further enhance the rigidity of plug 130.
  • Other techniques may also be used to fabricate the [0033] stamp 132. For example, a stamp may be patterned by selectively curing a thin film of the elastomer by exposure to actinic radiation through a mask followed by photochemical development (to remove the exposed or the unexposed areas), or by selective thermal curing with an atomic force microscope (AFM) thermal tip. The stamp 132 may also be fabricated from non-elastomeric stiff materials for better control of deformation. For example, the procedures described above can be carried out with a polyacrylate rather than an elastomer. Suitable polyacrylates include polyfunctional acrylates or mixtures of monofunctional and polyfunctional acrylate that may be cured by e-beam or ultraviolet (UV) radiation.
  • If the [0034] stamp 132 becomes soiled, it may be cleaned by coating the patterned surface with a liquid polyimide such as Japanese Synthetic Rubber, curing the polyimide in place, and then peeling it off the stamp. This process will remove dust and debris without harming the patterned stamp surface.
  • The stamp is applied to a liquid which, when cured, provides a desired electrical, chemical, biological, and/or mechanical functionality. For example, the liquid may be a colloidal dispersion of nanoparticles or carbon nanotubes; an uncured polyimide; a solution of biological material; or a solution of a suitable sacrificial or release layer which may later be dry- or wet-etched (e.g., PMMA). In general, the liquid is present on a substrate (or on a previously deposited and cured layer) as a thin, uniform film. A deposited liquid can be drawn into such a film by various techniques, one of which is illustrated in FIGS. 2A and 2B. A [0035] substrate 200—which may be a glass slide, a silicon wafer, a sheet of plastic, or other smooth material—receives a bead 210 of liquid. A smooth rod 220 (which may be glass or a flexible material) is dragged across substrate 200 in the direction of the arrow, drawing the bead 210 into a uniform film 230. In general, the pressure between rod 220 and substrate 200 can vary without affecting the resultant thickness of film 230; indeed, rod 220 can even be held slightly above substrate 200 (so that no contact is actually made). The speed with which rod 220 is drawn across substrate 200 does affect the thickness of film 230, however, with faster travel resulting in a thinner film. Accordingly, for a film of uniform thickness, rod 220 should be drawn at a constant speed, and should not be allowed to rotate as it is drawn. The film thickness is also affected by the size (diameter) of rod 220.
  • After [0036] rod 220 has been fully drawn across substrate 200, the film 230 will typically still be in a liquid state. Depending on the liquid, substantial loss of volume may occur by evaporation; indeed, a loss of 90% of the initial height of the film is not unusual. Thus, a thin film of liquid initially 100±10 nm in height may dry down to a film 10±1 nm in height. We have routinely obtained dry films with heights less than 40 nm using this technique.
  • For some materials, the use of a rod to produce a thin film is not an option. For example, the material may not wet to the surface of [0037] substrate 200, or the solvent may evaporate almost instantly. An alternative application technique useful in such cases utilizes a stamp having a patterned surface as described above. A small line of the liquid material to be drawn into a film is deposited onto substrate 200. One edge of the stamp is brought into contact with substrate 200 immediately next to the line of liquid. The stamp is then lowered into contact with the substrate, displacing the liquid in front of it and producing a thin, patterned layer of material under the stamp.
  • Another alternative involves application of the material to be patterned as a droplet, either to the surface of the receiver substrate or to the raised-pattern surface of the stamp. The stamp is then brought into contact with the substrate surface, thereby molding the applied material in the pattern of the stamp. The material may be cured (e.g., thermally) with the stamp in contact with the substrate. For example, this approach has been applied to liquid-phase polyimide, vinyl, and nanoparticle metal inks, which are cured by activating a hotplate underlying the substrate following patterning. It is found, however, that this approach is most useful for insulators (such as polymers) because the resulting patterned film is contiguous. The process also works best with viscous materials that exhibit limited outgassing during cure (although PDMS stamps are to some degree porous to may outgassing components). [0038]
  • FIGS. [0039] 3A-3C illustrate the embossing technique of the present invention as applied to a planar surface. A substrate 300 is coated with a thin, uniform film 305 of liquid as described above. An elastomeric stamp 310 having a pattern of projecting and recessed features 315, 320 is lowered until the projecting features 315 make contact with substrate 300, thereby displacing liquid 305 at the regions of contact. The height (or heights) of the recessed features 320 exceeds that of the liquid that will be displaced therein. The area dimensions of projecting features 315 are constrained by the need for these features to push aside the liquid 305 and either make contact with substrate 300 or at least displace enough liquid to facilitate its convenient subsequent removal. The maximum areas of features 315 depend greatly on the viscosity of the liquid, the thickness of the film 305, and the nature of the stamp elastomer. For metallic nanoparticles in suspension (15%) with a wet film thickness of 500 nm, it has been found that an elastomeric stamp formed from PDMS can completely transport the nanoparticle-containing liquid over a distance greater than 5 μm. In order to enhance the transport capability of features 315, these may have convex, rather than flat, surfaces; for example, the features may be domed, peaked, or otherwise shaped to make contact with substrate 300 at a small region, progressively moving more liquid as stamp 310 is pressed against substrate 300 and the features 315 flatten.
  • [0040] Stamp 310 is preferably lowered onto substrate 300 using a slight rocking motion. Since the stamp is elastomeric, it may be slightly flexed so that one edge first makes contact with the substrate before the rest of the stamp rolls into place. This approach prevents or reduces the formation of air bubbles. No unusual pressure, temperature, or ambient conditions are necessary for the embossing process. Very light or no pressure is applied to the stamp 310 so the projecting features 315 penetrate the liquid film 305. Any attractive force between projecting features 315 and substrate 300 will assist with the transport of liquid into recesses 320, and may also allow pressure to be removed—so that features 315 merely rest against substrate 300—without sacrificing contact.
  • With the [0041] stamp 310 against substrate 300 as shown in FIG. 3B, the film 305 may be partially or completely cured. The curing mode is dictated by the nature of the liquid, and may include one or more process steps such as heating, evaporating a solvent (to which the elastomer of stamp 310 is permeable), UV exposure, laser annealing, etc. Stamp 310 is removed from substrate 300 as shown in FIG. 3C, leaving a pattern of fully or partially cured film traces 325 that correspond to the pattern of recesses 320. Preferably, stamp 310 is removed using a rocking motion. Smooth, uniform motion improves the quality of the pattern 325 and prevents damage thereto from minuscule bursts of air.
  • It is found that even if the liquid [0042] 305 is not cured while stamp 310 is in contact with substrate 300, it will tend nonetheless to retain the pattern 325 when stamp 310 is removed so long as the thickness of the liquid is sufficiently small. That is, there will be no detectable flow of liquid back into areas displaced by the projecting regions of stamp 310, probably due both to the absolute height of liquid 305 and the small contact angle between the liquid and substrate 300. Moreover, so long as the surface energies of the substrate 300 and the stamp 310 are sufficiently mismatched, there will be no withdrawal of substrate material by stamp 310. As a result, stamp 310 may be immediately reused without cleaning.
  • If uncured or partially cured, the patterned [0043] liquid 325 may at this point be cured into full solidity. In addition to the curing techniques discussed above, the absence of the stamp 310 facilitates such additional mechanisms as vacuum evaporation and chemical modification (e.g., by addition of a cross-linker). In this regard, it should be noted that the film patterned by the stamp 310 may begin as a solid rather than a liquid. For example, the film may be heated to decrease viscosity before stamp 310 is brought into contact therewith. Alternatively, stamp 310 may itself be heated to a temperature sufficient to melt the solid film upon contact.
  • The film patterned by [0044] stamp 310 need not be planar; indeed, in constructions involving multiple deposited and patterned layers, coplanarity among layers may frequently be disrupted to achieve desired three-dimensional configurations. FIG. 3D shows a substrate 300 having a previously patterned layer of a first material 330. A thin film 335 of liquid is drawn over material 330 and, where exposed, substrate 300; the liquid 335 is generally conformal, resulting in an uneven liquid surface. Maintaining precise alignment among patterned layers is obviously vital to proper functioning of the finished device.
  • An [0045] elastomeric stamp 340 is well-suited to patterning such an uneven surface while maintaining precise rendition of the stamp pattern. As shown in FIG. 3E, stamp 340 is lowered as discussed previously. Because of its elastic character, stamp 340 deforms to allow different projecting features 345 to reach solid surfaces of different heights without substantial lateral deflection. As a result, the pattern 350 of material 335 that remains upon removal of stamp 340 is substantially complementary to the pattern of projecting features 345, notwithstanding the different heights of the embossed regions. Naturally, the degree of fidelity to the stamp pattern depends on the degree of elasticity inherent in the stamp and the differences in height that must be accommodated.
  • Following removal of [0046] stamp 340, the embossed pattern of material 350 is cured. Of course, the curing mode chosen must not damage the previously cured layer 330.
  • As explained above, a thin film of deposited may be conformal, resulting in a surface of varying heights (rather than filling recesses to create a planar surface). The embossing technique of the present invention can be used not only to planarize such deposited layers, but also to create “vias” that interconnect layers not directly in contact with each other. With reference to FIG. 3G, a [0047] substrate 300 is patterned with a previously deposited and embossed layer of a first material 360. A thin film 365 of liquid is drawn over material 360 and, where exposed, substrate 300; once again the liquid 365 is generally conformal, resulting in an uneven liquid surface. In many applications, it is desirable for the component layer formed from liquid 365 to be planar rather than conformal. For example, planarization is essential for microelectromechanical (MEM) structures and many-layer three-dimensional circuits. The present invention can accomplish both planarization and the creation of vias among non-adjacent stratified layers.
  • As shown in FIG. 3G, the projecting features of a stamp [0048] 370 (representatively indicated at 375) have elevations chosen such that, with the surfaces 377 of the projections in contact with substrate 373, the recessed portions of stamp 370 (representatively indicated at 380) make contact with the surface of liquid 365. As shown in FIG. 3H, the result is planarization of the liquid layer 365 where it is in contact with stamp surfaces 380. When stamp 370 is removed (FIG. 3I), that layer is substantially planar with the exception of edge ridges shown at 385. Moreover, a via 390 is established between the surface of substrate 300 and the top surface of layer 365. A layer subsequently deposited on layer 365, therefore, can make contact with substrate 300, and this subsequently deposited layer can also be planarized in the manner just described. Alternatively, the via 390 can be made to persist through multiple layers by embossing with a similar projecting feature as each such layer is applied. In this way, contact between distant layers may be effectuated.
  • If the elevation of projecting [0049] features 377 is insufficient, there will be no contact with substrate 300 and via 390 will not form. If the elevation of projecting features 377 is excessive, then liquid 365 will not fully planarize; via 390 will effectively be stepped, with an intervening ridge or shoulder. Nonetheless, the latter sizing error is preferable, since the via 390 will be functional and, moreover, the configuration shown in FIG. 3I can still be achieved by compression of features 375 (if substrate 300 can tolerate some applied pressure).
  • [0050] Liquid 365 may or may not be cured (totally or partially) before stamp 370 is withdrawn in the manner hereinabove described. Following curing, the liquid 365 may decrease in height, jeopardizing planarity. This problem can be overcome by applying additional layers of the same material and embossing with the same pattern of features 377, 380. The ability to planarize and pattern in the same step represents a significant fabrication capability and improvement over the prior art.
  • The foregoing approach, in which a stamp is made from a master and then used repeatedly, may not be suitable for all applications. An alternative arrangement utilizes a device which, under computer control, is capable of changing its surface topology in accordance with a desired pattern and then acting as a stamp. Such a device may be built, for example, using an array of MEM elements that are actuated electrostatically, thermally, magnetically, piezoelectrically or by other computer-controllable means, actuation of an element causing it to alter the degree or manner in which it projects from the surface of the array. One such device useful in the present application is a micro-mirror array in which an array of elements is caused to tilt either out of plane or lie flat depending on an electrical signal (see Kim et al., [0051] Society for Information Display 99 Digest, p. 982 (1999)).
  • The approach of the present invention can be used to create arbitrary functional devices. The technique is negative-working, in the sense that the pattern of projecting features corresponds to the material that will be removed rather than deposited. This design methodology is apparent from FIGS. [0052] 4A-4F and 5A-5F, which illustrate fabrication of a two-transistor electronic inverter. Each of FIGS. 4A-4F is a section taken from the corresponding one of FIGS. 5A-5F along the line labeled with the figure number. Functional layers are built up on a substrate 400 (FIGS. 4A, 5A), which may be, for example, a glass slide, a plastic sheet, a silicon wafer, or any other material having a sufficiently smooth surface 400 s. Each added layer is patterned by a different stamp.
  • As shown in FIGS. 4B, 5B, a patterned [0053] conductive metal layer 410 is established on surface 400 s of substrate 400. This is accomplished by first applying a thin film of a metal-containing liquid, such as a suspension of gold or silver nanoparticles in a suitable carrier liquid (see, e.g., U.S. Pat. No. 5,756,197, the entire disclosure of which is hereby incorporated by reference). The applied liquid is patterned with a stamp as described above so as to create a series of channels that reveal the surface 400 s of substrate 400. The liquid is then cured (e.g., in the case of a metal nanoparticle suspension, the carrier is evaporated so that the metal particles coalesce into a substantially continuous, conductive patterned film). The pattern formed includes a pair of transistor gaps 412, a ground rail 414, and a Vcc rail 416.
  • A [0054] semiconductive layer 420 is deposited onto the conductive layer 410. Layer 420 completely fills and is planarized over the channels 412, so that in these locations, layer 420 is in contact with substrate 400. Otherwise, the pattern of layer 420 substantially matches that of layer 410 so that the semiconductor 420 does not bridge between metal lines. In some areas 422, layer 420 is removed by the embossing process to reveal the underlying layer 410, while in other areas 424 overlying channels previously defined through layer 410, substrate 400 is revealed. Semiconductive layer 420 may be applied as a liquid suspension of semiconductor (e.g., silicon, germanium, CdSe, etc.) nanoparticles as described, for example, in U.S. Pat. No. 5,534,056 (the entire disclosure of which is hereby incorporated by reference). Again, following patterning, the layer may be cured by evaporating the carrier so as to coalesce the particles into a continuous patterned film.
  • An insulating [0055] layer 430 is applied over semiconductive layer 420 as shown in FIGS. 4D, 5D. Layer 430 completely fills the vias 424, and is planarized thereover. A via 432, slightly smaller in diameter than the via 422 (see FIG. 4C) created earlier, is formed through that via 422 to reveal layer 410. The insulating layer may be applied as an uncross-linked liquid polymer precursor, such as a radiation-cure coating (polyacrylates and polymethacrylates, for example, are suitable for this purpose). Following patterning and removal of the stamp, the polymer precursor may be cured (i.e., cross-linked) into solidity by exposure to UV or e-beam radiation.
  • With reference to FIGS. 4E, 5E, a [0056] second metal layer 435 is applied to insulating layer 430 and patterned by stamping. A plug of the metal layer 435 completely fills the via 432 created previously and connects to metal layer 410; because via 432 has a smaller diameter than via 424, a layer of insulating material separates the plug of metal from semiconductor layer 420 within the via 432. The second metal layer 430 forms the gates 440 of the two transistors.
  • An [0057] encapsulant 450, such as a UV-cured polymer, epoxy or spin-on glass is applied as a coating over layer 435 to protect all underlying functional layers from contamination or physical damage. The encapsulant, which is applied at a sufficient thickness to fill all exposed channels, also adds structural rigidity to the finished device.
  • FIGS. [0058] 6A-6G and 7A-7G illustrate fabrication of a freely rotating MEM wheel. Each of FIGS. 6A-6G is a section taken from the corresponding one of FIGS. 7A-7G along the line labeled with the figure number. The structure includes a first sacrificial or release layer, a second sacrificial or release layer, a first metal layer, a third sacrificial or release layer, and a second metal layer. After all layers are applied, a final release step etches away the release layers to liberate a purely metallic structure. Each layer is patterned using an elastomeric stamp as described above.
  • A substrate [0059] 600 (FIGS. 6A, 7A), which may be a glass slide, a plastic sheet, a silcion wafer, or any other appropriately smooth surface (for MEM applications a relatively stiff substrate may be desirable), receives a first release layer 610 as shown in FIGS. 6B, 6C. Release layer 610 may be, for example, a polymer (such as PMMA) soluble or wet-etchable in a solvent (such as acetone), or etchable by dry-etch techniques (such as plasma etching); or may be a spin-on glass etchable in hydrofluoric acid. Release layer 610 completely covers substrate 600 with the exception of a hole 612 patterned in the release layer by means of the elastomeric stamp. This hole 612 will receive material for the axle of the wheel.
  • The [0060] second release layer 620 is patterned as shown in FIGS. 6C, 7C. The pattern includes a series of depressions 622. These will be filled with metal to create dimples on the rotating wheel. The hole 612 is patterned in the center of layer 620.
  • With reference to FIGS. 6D, 7D, the [0061] first metal layer 630 fills the holes 612, 622 (see FIG. 6C) patterned in the first two release layers 610, 620. Layer 630 is planarized over these holes. Stamping eliminates metal from a pair of concentric circular regions 632, 635. Region 632 defines the edge of the wheel, and region 635 faciliatates separation of the wheel from the axle. The bottom of the wheel fills the depressions 622 (FIGS. 6C, 7C), forming dimples that will reduce stiction between the wheel and substrate 600. Not shown are small holes patterned in the wheel to allow etchant to reach the underlying release layers 610, 620.
  • The [0062] third release layer 640 is added and patterned as shown in FIGS. 6E, 7E. This layer uses a stamp identical to that employed to pattern the first release layer 610, forming a hole 645 in the center for the axle of the wheel.
  • The second metal layer [0063] 650 (FIGS. 6F, 7F) is patterned to create a cap 652 on the axle of the wheel. This cap prevents the wheel from leaving the axle after all release layers are etched away. Metal layer 650 is also crosshatched to create small islands 655 of metal. These islands represent excess material and will be removed when the release layers are etched away, but are included to facilitate separation of the release layers. During the release step it may be necessary to use a supercritical CO2 release to avoid suckdown problems between the wheel and the substrate.
  • After the release layers [0064] 610, 620, 640 are etched away by exposure to a suitable solvent, the device assumes the configuration shown in FIGS. 6G, 7G. The finished device is a wheel 660 with dimples 662 on its bottom surface, an axle 665 about which the wheel 660 is free to rotate, and a cap 650 that holds the wheel 660 in place on the axle 665.
  • Other MEM structures amenable to production using the present invention include, for example, so-called heatuators, linear comb drives, and combustion engines. [0065]
  • FIGS. [0066] 8A-8F illustrate use of the present invention to create a so-called “biochip,” i.e., an electronically active or readable substrate having a dense array of different biological materials (e.g., DNA probes, protein probes, carbohydrates). Such a chip can be used, for example, to identify samples of interest or to test for the presence of various molecular sequences. See, e.g., U.S. Pat. Nos. 5,605,662, 5,874,219, 5,744,305 and 5,837,832. If a sufficiently large array of different oligonucleotides can be deposited onto a surface, then one may in principle obtain full genome sequence information via the method of sequencing by hybridization (Skiena et al., Proc. 36th Ann. Symp. on Foundations of Comp. Sci., pp.613-20 (1995)). As shown in FIG. 8A, an elastomeric stamp 810 has a series of projecting features 815. A substrate 820 has deposited thereon a thin film of biological material 822.
  • [0067] Stamp 810 is lowered until projecting features 815 penetrate and displace the liquid film 812 to make contact with the underlying substrate 820 (FIG. 8B). The stamp 810 is then removed from contact with the substrate 810, leaving a pattern 825 of biological material and a complementary pattern of regions 827 from which biological material has been removed (FIG. 8C).
  • FIG. 8D shows a [0068] second substrate 830 having an array of projecting features 832 each with a biological receptor 835 bonded thereto. This biological receptor uniquely bonds to constituents of the biological material 822; for example, biological material 822 may be a protein solution, and the receptor 835 an antibody specific for the protein. The second substrate 830 is aligned above the original substrate 820.
  • The [0069] second substrate 830 is brought into contact with substrate 820 (FIG. 8E); some of the projecting features 832 overlie biological material 825, while others overlie voids 827. Biological material binds to receptors attached to projecting features that penetrate the liquid, while projecting features brought into contact with (or proximity to) void areas 827 remain unmodified. FIG. 8F shows the second substrate 830 removed from contact with substrate 820. Biological material on the original substrate was selectively transferred to certain projecting features 832 of the second substrate 830 and not to others; the second substrate 830, thus selectively patterned (with features 832 on the order of 10 nm-100 μm) and chemically reacted, may serve as a biochip. The liquid material remaining on the original substrate 820 may be used to produce additional biochips.
  • If desired, the biochip may be brought into contact with a third substrate having a different biological material, and which has been patterned with the [0070] original stamp 810 or with a different stamp. In this way, a second layer of biological material can be selectively added to various of of the projecting features 832.
  • In an alternative approach, a biological resist layer is patterned by an elastomeric stamp in accordance with the invention, and is then brought into contact with a substrate having projecting features. The resist material binds selected projecting features based on the respective patterns of the features and the resist. The entire structure is then immersed in a functional biological material, which binds only to projecting features that have not received resist. Finally, the structure is immersed in an etch bath that removes the resist material (and any biological material that may have bound to it), but leaving undisturbed biological material bound to features that did not receive resist. [0071]
  • In a second alternative, biological material may be directly transferred from the projecting features of the elastomeric stamp onto selected sites (e.g., raised features) on the substrate. Areas of the stamp corresponding to recessed features do not transfer material. In this fashion the substrate may be patterned without the need for an intermediate transfer step. Spreading of the transferred material is avoided by maintaining only a very thin film of material in the plate from which the stamp is “inked.” It is important, of course, that the receiver surface exhibit a higher affinity for the biological material than the stamp. PDMS has a very low surface energy, making it ideal for transferring a wide range of materials. [0072]
  • It should be stressed that this “direct pattern transfer” approach to patterning can be employed in connection with materials other than biological liquids. For example, a metal nanoparticle dispersion may be applied as a thin film to a flat surface such as glass or plastic. A patterned elastomeric stamp is brought into contact with the film of material and withdrawn, and the material adhering to the stamp transferred to a second surface. Using this technique, we have obtained conducting structures with edge resolutions on the order of 300 nm. [0073]
  • Existing methods for making DNA chips, such as described in U.S. Pat. No. 5,744,305, are limited in resolution and in requiring DNA arrays to be constrained to planar and non-porous surfaces. Using the stamping methods of the present invention and standard nucleotide chemistry (such as that used in gene-assembly machines), a DNA biochip may be fabricated in which nucleotides are added one base unit at a time to build up an array of spatially separated oligonucleotides that differ in their sequences as a function of location. For example, chemical synthesis of DNA can be accomplished by sequential addition of reactive nucleotide derivatives. Each new nucleotide in the sequence is first blocked by reaction with 4′,4′dimethoxytrityl (DMT) and then combined with a highly reactive methylated diisopropyl phosphoramidite group, which links the nucleotide with the one previously added. The blocking group is removed by detritylation, which renders the newly linked nucleotide available for linkage to a further nucleotide. When synthesis is complete, all methyl groups are removed by exposure to alkaline pH. [0074]
  • Similarly, by employing the standard chemistries used in protein-assembly machines (e.g., repeated sequences of chemically blocking an amino acid, activation, linkage to the most recently added amino acid, followed by unblocking), carbohydrate-assembly machines, protein or carbohydrate biochips may be fabricated. In such biochips it may be desirable to have good separation between biological domains (such as between oligonucleotides of different sequence). This may be accomplished by stamping such sequences onto a non-planar or porous surface. In this context, the term “porous” refers to non-planar features that physically separate unique nucleotide sequences (or other chemically distinct biomolecules). For example, each sequence may be patterned on the top surface of a raised pillar, each of which is physically separated from its neighbors. This design allows for convenient removal unwanted chemistries, since these can be continuously withdrawn as they accumulate at the bases of the pillars. Alternatively, each nucleotide sequence may be deposited into a separate recessed well. [0075]
  • The stamping process of the present invention can be efficiently deployed to produce repetitive circuit patterns in two or three dimensions using a single set of stamps. FIG. 9A schematically illustrates a [0076] single SRAM 900 circuit with a power rail V cc 910 and a ground rail 915. The SRAM 900 is addressed using a horizontal control line 920 and a vertical control line 925 which, when both high, activate the split-gate transistor structure 930 and connect the read/write line 935 to the memory cell. The volatile memory is stored in a pair of cross-coupled inverters 940. This circuit can be fabricated using the embossing technique with five different elastomeric stamps: two metal layers, a semiconducting layer, a thin insulating layer, and a planarizing layer with vias.
  • FIG. 9B shows the manner in which the [0077] basic circuit 900 can be utilized as a “tile” in a two-dimensional array of such circuits. In the figure, the circuit 900 is replicated 16 times in a contiguous, 4×4 two-dimensional array 950. This memory array 950 has power and ground rails, the horizontal control lines running along the left and right edges 955, and the vertical control lines and read/write lines running along the top and bottom edges. The array 950 is produced by applying, in the pattern of the array, the same five stamps over each applied layer. The stamped regions interact to form the continuous circuit 950.
  • As shown in FIG. 9C, the array can be extended into three dimensions by replicating the two-[0078] dimensional array 950 in a vertical stack 970. A memory address is divided so that the first bits of the address decode into a set of horizontal control lines that all lie in the same two-dimensional position but are stacked vertically, and the last bits of the address decode the vertical control lines in the same way. In this fashion a word of memory is stored in the same two-dimensional position of different arrays in the vertical stack (so that the number of bits in a word of data corresponds to the number of vertically stacked memory arrays). The decoding circuitry on the edges of the memory may also be produced using the same five masks repeated for each layer with vias interconnecting the layers.
  • This approach is well-suited to construction of so-called “cellular automata,” which are interconnected processing cells that interact with neighbors to compute in parallel. Cellular automata are often used to simulate three-dimensional environments, but conventional approaches are inherently two-dimensional and therefore limited in processing capacity. By creating circuits in three dimensions with many layers, it is possible to overcome this scaling limitation. A cellular-automata device would include many two-dimensional arrays of cells stacked vertically to create an interconnected three-dimensional array. [0079]
  • Another example of three-dimensional devices amenable to fabrication in accordance with the present invention is a neuronal structure consisting of many individual electronic “neurons” (each represented by a processor) arranged in three-dimensions with many “dendritic” interconnects between neighboring devices. Each neuron is affected by all of its surrounding neurons and in turns affects the neurons to which it is connected. Neural networks created in three-dimensions avoid many of the scaling problems that plague today's two-dimensional circuits. [0080]
  • Another application of the stamping process of the present invention involves creation of electron-emission structures for use in field-emission displays (FEDs). Today, these devices are typically fabricated in silicon and are quite expensive and complicated to produce; the most common structure used is a Spindt-tip. Recently, research has shown that by using materials with a lower work function (e.g., single-wall carbon nanotubes), much simpler structures can be fabricated with equal or better efficiency than typical silicon emitters (Choi et.al., [0081] Society for Information Display 99 Digest, p. 1134 (1999)). Unfortunately, the growth temperatures for producing nanotubes are well above the melting point for glass or plastic substractes (exceeding 800° C.) and have thus not been integrated with processes employing such substrates.
  • In accordance with the present application, a slurry of metallic (preferably gold) nanoparticles and chopped up nanotubes (nanopipes) is dissolved in a solvent. As shown in FIG. 10A, this slurry is then patterned, by stamping, onto a substrate [0082] 1010 (e.g., a glass sheet) as sets 1020, 1025 of interdigitated lines; some carbon nanotubes 1030 will protrude from the surfaces of the lines 1020, 1025. Through any of various available techniques (e.g., application of an electric field, or exploiting the flow of the liquid as the stamp is released), these nanotubes may be positioned to all point in the same directions. Lines 1020, 1025 are then cured at temperatures below 300° C.
  • With reference to FIG. 10B, another [0083] layer 1040 of the nanoparticle slurry is applied so as to completely cover one set of lines 1020, thereby fully enclosing the carbon nanotubes. This set of lines 1020 represents the gate of the FED, whereas the set of lines 1025 represents the cathode. In operation, a phosphored anode 1050 is disposed proximately and in opposition to lines 1020, 1025, and a high vacuum established between anode 1050 and substrate 1010. Two parameters govern the operation of the FED: the voltage between the anode 1050 and the cathode lines 1025 (Vac), and the voltage between the gate lines 1020 and the cathode lines 1025 (Vgc). The FED is either on or off. To set the FED to the “on” state, Vac is set to about 20V and Vgc is set to 0V; electrons will stream from the cathode lines 1025 to the anode 1050 due to the low work function of the carbon nanotubes, but electrons will not stream from the gate lines 1020 to the anode 1050. To set the FED to the “off” state, Vac remains at about 20V but Vgc is set to 5V; the electrons from cathode lines 1025 will then stream to the gate lines 1020 and no electrons will stream to the anode 1050. A visual display is caused by selective, line-by-line activation of the cathode lines 1025 to cause electron streaming therefrom.
  • In another application, the stamping process of the present invention may be combined with existing chip-fabrication processes. For example, the current high-end microprocessor production process can be divided into two major steps: the “front-end” processing, which consists of all steps necessary to produce a working transistor (e.g., silicon growth, gate oxide, doping, transistor fabrication); and the “back-end” processing of the wafer that creates the metal interconnects and vias which establish connections among the transistors. For high-end chips there may be a total of 30 mask sets, 18 for front-end processing and 12 for back-end processing; the complexity and cost of a chip is generally determined by the number of mask sets employed in its fabrication. [0084]
  • In accordance with the present invention, stamping is used to produce the metal back end for an otherwise typically fabricated silicon-wafer front end. A wafer is produced using standard silicon front-end processes up until the point when metal would first be deposited. Then, instead of evaporating aluminum and applying it using plasma etching, CPVD, CMP, Damascene planarization, and/or the other traditional processes (which tend to be expensive, lengthy, difficult, and wasteful), layers of metallic nanoparticles are patterned by nanoscale embossing to form the interconnect layers; in particular, a thin film of a metal nanoparticle solution is applied (e.g., by a spin-on technique) onto the wafer, and the film is patterned by embossing as described above to form metal interconnects and to fill the vias to underlying layers. The conducting traces thus formed are cured, and a layer of a dielectric nanoparticle material is deposited thereon. This layer is then embossed to pattern vias between metal layers, and then cured. The steps of depositing, patterning, and curing conductive and insulating layers are repeated until the desired number of layers is attained. [0085]
  • This approach offers advantages in terms of cost, time, waste, and difficulty of production; but, in addition, it also has the advantage of being self-planarizing. As a result, each layer of dialectric can be planarized through the stamping process, so that it is possible to create many more layers than can be obtained using current, conventional processes. In addition, since the stamping process is conformal to underlying layers, the quality of the planarization is not critical (as is the case, for example, in photolithography, where each layer must be planar to within a few hunder nanometers). [0086]
  • Still another application the stamping process of the present invention is fabrication of organic light emitters, organic logic, and organic transistors. Organic light emitters and logic materials such as PPV (poly(p-phenylene vinylene) and thiophene are difficult to pattern using standard lithographic processes because the etch process can degrade the organic material. One alternative approach is to use ink jet (Shimoda et al., [0087] Society for Information Display 99 Digest, p. 376 (1999)), but the resolution of this process is limited to above 10 μm. The stamping process described herein facilitates patterning of significantly finer features.
  • Yet another application of the stamping process of the present invention is patterning of optical waveguides. An optical waveguide is a structure in which a first region possesses a first index of refraction and a second region possesses a second index of refraction. A very simple optical waveguide may be made by simply embossing a rectangular ridge in an optically transparent material (such as spin-on glass or UV optical polymer) surrounded by air. Light directed into one end of the ridge will emerge at the other end. By combining such printed optical waveguides with printed light emitters such as organic electroluminescent materials, inorganic electroluminescent materials or hybrid electroluminescent materials and with printed detectors (such as phototransistors or photodiodes) and switches (such as electro-optical switches), it is possible to construct an “all-printed” or partially printed switching fabric for control of incoming optical signals and transmission of output optical signals for various optical-telecommunications applications. [0088]
  • FIG. 11 shows a block diagram of a preferred nano-embossing system, indicated generally at [0089] 1100. The system operates on a substrate 1110, which is secured to a Z-translation stage 1115. The Z-translation stage is secured to a 360° theta stage 1120, which rotates in the XY plane. Theta stage 1120 is itself secured to a carrier 1125 on a gantry system 1130 adapted for two-dimensional movement in the XY plane. These components can transport substrate 1110 to any spatial position within the limit of movement, and with arbitrary XY rotation. A series of functional modules are suspended above substrate 1120, each module performing a different step in the embossing process: depositing thin films of material on the substrate, patterning the thin film, and curing the film following embossing.
  • In particular, thin films of liquid are produced on [0090] substrate 1110 by a metal rod 1135 1 and an ejection device 1140 1 (e.g., an ink jet head or pipet) that deposits a small amount of liquid as described above in connection with FIGS. 2A, 2B. Additional sets of metal rods and ejection devices (representatively indicated at 1135 2, 1140 2) are available for deposition of different liquids. The deposited liquid films are patterned by an elastomeric stamp, which may be selected from a plurality of available stamps representatively shown at 1150 1, 1150 2. The stamps are each retained within a suitable stamping press (not shown), the outer contours of the stamps fitting within complementary recess within the stamping equipment.
  • The patterned films are cured by a device [0091] 1160 (e.g., a thermal lamp, a UV lamp, a laser, etc.) as appropriate to the film. The substrate 1110 travels back and forth between these different modules and an aribtrary number of layers may be patterned thereon. Alignment of these different modules with respect to substrate 1110 can be accomplished, for example, using optical fiduciary marks as commonly used for silicon mask alignment. In addition, fine-grained alignment of the stamps 1150 may be performed using physical self-alignment of the stamp. For example, each stamp 1150 may contain deeply recessed triangular features that merge with raised alignment features on the substrate 1110. The stamp itself is preferably capable of translation and rotation during alignment.
  • Alternatively, a nano-embossing system in accordance with the present invention may comprise a “roll-to-roll” process facilitating continuous production of functional devices. A roll-to-roll process resembles conventional letterpress printing processes, with the stamps of the present invention configured as elastomeric letterpress plates. A plate is rotated on a drum, making gentle contact with a moving substrate onto which the curable liquid has been deposited. [0092]
  • Nanoparticles in solution for use with the present invention may be fabricated using a process similar to chemical vapor deposition (CVD), alternative configurations for which are illustrated in FIGS. 12A and 12B. With reference to FIG. 12A, controlled flows of a CVD precursor gas and an inert carrier gas are introduced into a [0093] heated vacuum chamber 1200 through respective mass- flow controllers 1210, 1215. The chamber 1200 is generally tubular in shape and is heated by a surrounding resistive coil. The wall of chamber 1200 is substantially transparent to radiation from a pair of orthogonally oriented lasers 1225 1, 1225 2. The organic capping material is introduced in vapor form into chamber 1200, downstream of lasers 1225 1, 1225 2, by means of a flow controller 1230. A collecting table 1232 is disposed within chamber 1200 still further downstream, and is chilled by recirculation of a cooling fluid through a pair of valves 1235 1, 1235 2. Gaseous material is drawn through chamber 1200 in the direction of the arrow by a vacuum source (not shown).
  • As the CVD precursor travels through [0094] chamber 1200, it is dissociated by a combination of the elevated temperature in the chamber and energy imparted by lasers 1225 1, 1225 2. The respective concentrations of CVD precursor and inert carrier are chosen such that mean free path of the chemically pure, dissociated elements or molecules permits, on a probabilistic basis, only hundreds of collisions with other like species before the organic vapor introduced through flow controller 1230 is encountered. With each collision, more and more of the dissociated species come together, thereby forming larger particles. Capping this growing particle with an organic shell prevents it from further increasing in size. The inert gas carries the growing particles from the dissociation region to the capping region at a known rate, and once capped, the particles are collected on chilled collecting table 1232. The carrier gas and unreacted precursor exit the chamber 1200. The resulting nanoparticles 1240, in the form of a paste on the plate 1232, are then removed from the vacuum chamber and put into solution. The solution is subjected to gravity or centrifuging, and the nanoparticles of the smallest size are skimmed off the top.
  • Suitable CVD precursors include silane, TIBA (tri-isobutyl-Al), WF[0095] 6, and Cu(hfac)2 (i.e., copper hexafluoroacetylacetonate) with helium and argon as inert carrier gasses. Suitable organic capping groups include straight-chain alkyl groups that chemically bond to the particle, or groups that interact with the particle surface through a heteroatom such as sulfur, oxygen, nitrogen, or silicon. Other suitable organics, as disclosed in U.S. Pat. No. 5,750,194, include alpha-terpineol, methyl oleate, butyl acetate, glyceride linoleate, glyceride linolenate, glyceride oleate, citronellol, geraniol, phenethyl alcohol, and nerol.
  • As shown in FIG. 12B, the use of more reactive species justifies a simpler configuration that may include a [0096] vacuum chamber 1250, which is evacuated by a vacuum pump 1260 operating through a valve 1260. A CVD precursor gas and an organic capping group in vapor form are introduced into vacuum chamber 1250 through respective mass- flow controllers 1260, 1265. The CVD precursor quickly agglomerates into particles, and is capped by the organic vapor. The particles 1270 collect on a chilled table 1275, and are collected as described above.
  • Although the present invention has been described with reference to specific details, it is not intended that such details should be regarded as limitations upon the scope of the invention, except as and to the extent that they are included in the accompanying claims.[0097]

Claims (44)

What is claimed is:
1. A method of fabricating a functional component, the method comprising the steps of:
a. providing a thin film of a curable material in liquid form;
b. patterning the curable material by embossing the material at low pressure with an elastomeric stamp having a raised pattern thereon;
c. curing the patterned material; and
d. repeating steps (a) to (c) a plurality of times with materials which, when cured, have varying functional characteristics, the cured layers interacting so as to produce a functional component.
2. The method of claim 1 wherein the stamp comprises an elastomeric polymeric matrix with a rigidity-conferring material entrained therein.
3. The method of claim 1 further comprising the step of forming the elastomeric stamp by:
a. creating a negative impression of the pattern in a substrate;
b. enclosing the pattern;
c. pouring a liquid elastomeric precursor into the enclosure, the precursor flowing into the negative impression of the pattern
d. curing the elastomeric precursor into an elastomer; and
e. removing the elastomer from the substrate.
4. The method of claim 1 further comprising the step of forming the elastomeric stamp by:
a. providing a photosensitive elastomer;
b. exposing the elastomer to actinic radiation so as to render the pattern; and
c. photochemically developing the exposed elastomer to produce the pattern.
5. The method of claim 1 further comprising the step of cleaning the stamp by applying a liquid polyimide thereto, curing the polyimide, and removing the cured polyimide from the stamp.
6. The method of claim 1 wherein the curable material is applied as a liquid.
7. The method of claim 6 wherein the liquid is applied onto a smooth, flat support as a bead and drawn into a uniform film.
8. The method of claim 1 wherein the curable material is applied as a non-liquid and subsequently liquefied.
9. The method of claim 8 wherein the material is applied to a support and liquefied by heating the support.
10. The method of claim 8 wherein the material is liquefied by heating the stamp.
11. The method of claim 1 wherein the raised pattern comprises convex surfaces.
12. The method of claim 1 wherein the stamp is applied to the patterned material and removed therefrom with a rocking motion.
13. The method of claim 1 wherein the material is present on a support and is at least partially cured with the stamp held against the support.
14. The method of claim 1 wherein the stamp is removed from the material prior to curing the material, the material retaining the pattern despite removal of the stamp.
15. The method of claim 1 wherein the material is present on an uneven surface, the stamp patterning the material without substantial lateral deflection.
16. The method of claim 1 wherein the material is present on an uneven surface, the stamp having unraised portions which, with the raised features in contact with the surface, planarize the material in contact with the unraised portions.
17. The method of claim 1 wherein a plurality of contiguous layers is patterned with elastomeric stamps at least some of which have different patterns, at least some of the stamps having raised features in common locations to create vias between non-adjacent layers.
18. The method of claim 17 wherein at least some of the vias extend through a plurality of layers.
19. The method of claim 17 wherein the vias are filled by deposited material forming one of the layers, said material being planarized as said layer is patterned.
20. The method of claim 1 wherein the material of at least one of the layers is a suspension of nanoparticles in a carrier liquid.
21. The method of claim 20 wherein the material is cured by evaporating the carrier liquid, the nanoparticles coalescing into a substantially continuous patterned film.
22. The method of claim 21 wherein the nanoparticles are metal, the film being conductive.
23. The method of claim 21 wherein the nanoparticles are semiconductive, the film being semiconductive.
24. The method of claim 1 wherein at least one of the layers is soluble in a solvent, and further comprising the step of removing the at least one layer by exposure of the component to the solvent.
25. The method of claim 1 wherein, for each layer, the stamp is applied at a plurality of locations to produce a two-dimensional repetitive pattern.
26. The method of claim 1 wherein steps (a) to (d) are repeated a plurality of times so that the cured layers are formed repetitively.
27. The method of claim 1 wherein application of the stamp to the thin film results in adherence of material to the raised stamp pattern, the embossing step comprising transferring the adhered material to a substrate for curing.
28. The method of claim 27 wherein transfer is accomplished by application of low pressure to the stamp.
29. The method of claim 1 wherein the thin film is formed by deposition of the curable material in droplet form followed by application of the stamp thereto so as to form a thin film having a pattern complementary to the stamp pattern.
30. The method of claim 1 wherein the functional component is a micromechanical structure.
31. The method of claim 1 wherein the functional component is an integrated circuit, the cured layers comprising conducting, dielectric, and semiconducting layers.
32. The method of claim 1 wherein the functional component is a biochip.
33. The method of claim 1 wherein the functional component is a field-emission display.
34. The method of claim 33 wherein the curable material is a suspension of metal nanoparticles and carbon nanotubes and the pattern comprises first and second sets of interdigitated lines having nanotubes protruding therefrom, the repeating step comprising applying a suspension of metal nanoparticles so as to cover the first set of interdigitated lines and curing the metal-nanoparticle suspension thereover.
35. The method of claim 1 wherein the functional component is an optical waveguide.
36. An integrated circuit fabricated in accordance with claim 31.
37. A biochip fabricated in accordance with claim 32.
38. A field-emission display fabricated in accordance with claim 38.
39. An optical waveguide fabricated in accordance with claim 35.
40. A method of fabricating a functional component, the method comprising the steps of:
a. providing a thin film of a liquid on a support;
b. patterning the liquid by embossing it at low pressure with an elastomeric stamp having a first raised pattern thereon, the raised pattern displacing the liquid when brought into contact with the support; and
c. bringing into contact with the support a substrate having thereon a second raised pattern, the liquid, where present on the support, adhering to the second raised pattern.
41. The method of claim 40 wherein the liquid comprises a biological material.
42. The method of claim 40 wherein the liquid comprises a biological resist, and further comprising the step of exposing the substrate to a biological material, the biological material not adhering to raised portions of the substrate that have received the resist.
43. A method of fabricating a functional component, the method comprising the steps of:
a. providing a thin film of a liquid on a support;
b. patterning the liquid by embossing it at low pressure with an elastomeric stamp having a raised pattern thereon, the raised pattern having at least some features with submicron dimensions and displacing the liquid when brought into contact with the support; and
c. curing the patterned material.
44. Apparatus for fabricating a functional component, the apparatus comprising:
a. means for applying a thin film of a curable material in liquid form;
b. an elastomeric stamp having a raised pattern thereon, the raised pattern having at least some features with submicron dimensions;
c. means for applying the elastomeric stamp to the curable material so that the raised pattern displaces the material; and
d. means for curing the patterned material.
US10/320,869 1999-09-14 2002-12-17 Fabrication of finely featured devices by liquid embossing Abandoned US20040013982A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/320,869 US20040013982A1 (en) 1999-09-14 2002-12-17 Fabrication of finely featured devices by liquid embossing

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US15377699P 1999-09-14 1999-09-14
US16784799P 1999-11-29 1999-11-29
US09/525,734 US6517995B1 (en) 1999-09-14 2000-03-14 Fabrication of finely featured devices by liquid embossing
US10/320,869 US20040013982A1 (en) 1999-09-14 2002-12-17 Fabrication of finely featured devices by liquid embossing

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/525,734 Division US6517995B1 (en) 1999-09-14 2000-03-14 Fabrication of finely featured devices by liquid embossing

Publications (1)

Publication Number Publication Date
US20040013982A1 true US20040013982A1 (en) 2004-01-22

Family

ID=27387490

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/525,734 Expired - Lifetime US6517995B1 (en) 1999-09-14 2000-03-14 Fabrication of finely featured devices by liquid embossing
US10/320,869 Abandoned US20040013982A1 (en) 1999-09-14 2002-12-17 Fabrication of finely featured devices by liquid embossing

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/525,734 Expired - Lifetime US6517995B1 (en) 1999-09-14 2000-03-14 Fabrication of finely featured devices by liquid embossing

Country Status (6)

Country Link
US (2) US6517995B1 (en)
EP (1) EP1232419A1 (en)
JP (1) JP2003509228A (en)
KR (1) KR20020073329A (en)
AU (1) AU7372800A (en)
WO (1) WO2001020402A1 (en)

Cited By (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030178620A1 (en) * 2000-09-11 2003-09-25 Adolf Bernds Organic rectifier, circuit, rfid tag and use of an organic rectifier
US20040026689A1 (en) * 2000-08-18 2004-02-12 Adolf Bernds Encapsulated organic-electronic component, method for producing the same and use thereof
US20040029310A1 (en) * 2000-08-18 2004-02-12 Adoft Bernds Organic field-effect transistor (ofet), a production method therefor, an integrated circut constructed from the same and their uses
US20040063267A1 (en) * 2000-12-08 2004-04-01 Adolf Bernds Organic field-effect transistor, method for structuring and ofet and integrated circuit
US20040062294A1 (en) * 2000-12-08 2004-04-01 Wolfgang Clemens Device for detecting and/or transmitting at least one environmental influence, method for producing said device and use thereof
US20040092690A1 (en) * 2000-12-20 2004-05-13 Mark Giles Organic semiconductor, production method therefor and the use thereof
US20040094771A1 (en) * 2001-03-26 2004-05-20 Adolf Bernds Device with at least two organic electronic components and method for producing the same
US20040209191A1 (en) * 2001-06-01 2004-10-21 Adolf Bernds Method for producing conductive structures by means of printing technique, and active components produced therefrom for integrated circuits
US20040256467A1 (en) * 2001-10-18 2004-12-23 Wolfgang Clemens Electronic unit, circuit design for the same, and production method
US20040262599A1 (en) * 2001-06-01 2004-12-30 Adolf Bernds Organic field effect transistor, method for production and use thereof in the assembly of integrated circuits
US20050048803A1 (en) * 2001-10-16 2005-03-03 Erwann Guillet Insulator for an organic electronic component
US20050185891A1 (en) * 2002-10-24 2005-08-25 Electronics And Telecommunications Research Institute Optical coupling device and method for fabricating the same, and master used in fabricating optical coupling device and method for fabricating the same
WO2005078521A2 (en) * 2004-02-17 2005-08-25 Consejo Superior De Investigaciones Cientificas Method for providing a thin film having a chemical composition that is spatially structured on a micrometric or nanometric scale on a substrate
US20050211972A1 (en) * 2001-12-11 2005-09-29 Siemens Aktiengesellschaft Organic field effect transistor with off-set threshold voltage and the use thereof
US20050224787A1 (en) * 2002-06-13 2005-10-13 Wolfgang Clemens Substrate for an organic field effect transistor, use of said substrate, method for increasing the charge carrier mobility, and organic field effect transistor (ofet)
WO2005109535A2 (en) * 2004-05-06 2005-11-17 Koninklijke Philips Electronics N.V. A method of manufacturing a thermoelectric device
US20050277240A1 (en) * 2002-03-21 2005-12-15 Walter Fix Logic components from organic field effect transistors
US20050276911A1 (en) * 2004-06-15 2005-12-15 Qiong Chen Printing of organometallic compounds to form conductive traces
US20050276933A1 (en) * 2004-06-14 2005-12-15 Ravi Prasad Method to form a conductive structure
US20060035423A1 (en) * 2002-11-19 2006-02-16 Walter Fix Organic electronic component comprising the same organic material for at least two functional layers
US20060057769A1 (en) * 2003-01-21 2006-03-16 Adolf Bernds Use of conductive carbon black/graphite mixtures for the production of low-cost electronics
US20060079327A1 (en) * 2002-08-08 2006-04-13 Wolfgang Clemens Electronic device
US20060076717A1 (en) * 2002-07-11 2006-04-13 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US20060121625A1 (en) * 2002-11-14 2006-06-08 Wolfgang Clemens Measuring apparatus used for determining an analyte in a liquid sample, comprising polymer electronic components
US20060118779A1 (en) * 2002-11-19 2006-06-08 Wolfgang Clemens Organic Electronic Component Comprising A Patterned, Semi-Conducting Functional Layer And A Method For Producing Said Component
US20060118780A1 (en) * 2003-01-09 2006-06-08 Axel Gerlt Organo-resistive memory unit
US20060118778A1 (en) * 2002-11-05 2006-06-08 Wolfgang Clemens Organic electronic component with high-resolution structuring and method for the production thereof
US20060138701A1 (en) * 2003-07-03 2006-06-29 Jurgen Ficker Method and device for structuring organic layers
US20060160266A1 (en) * 2003-01-21 2006-07-20 Adolf Bernds Organic electronic component and method for producing organic electronic devices
US20060220005A1 (en) * 2003-07-03 2006-10-05 Walter Fix Logic gate with a potential-free gate electrode for organic integrated circuits
US20060284553A1 (en) * 2005-06-16 2006-12-21 Eastman Kodak Company Applying a discontinuous thin layer on a substrate
US20070008019A1 (en) * 2003-09-03 2007-01-11 Wolfgang Clemens Mechanical control elements for organic polymer electronic devices
US20070017401A1 (en) * 2003-09-03 2007-01-25 Polyic Gmbh & Co. Kg Polymer mixtures for printed polymer electronic circuits
EP1748502A1 (en) * 2005-07-28 2007-01-31 Sony Corporation Semiconductor device and process for producing same
US20070030623A1 (en) * 2003-08-20 2007-02-08 Polyic Gmbh & Co. Kg Organic capacitor having a voltage-controlled capacitance
EP1780815A2 (en) * 2005-10-31 2007-05-02 Fuji Electric Holdings Co., Ltd. Organic thin film transistor and manufacturing method thereof
US7238961B2 (en) 2001-02-09 2007-07-03 Polyic Gmbh & Co. Kg Organic field effect transistor with a photostructured gate dielectric, method for the production and use thereof in organic electronics
US20070269935A1 (en) * 2006-05-18 2007-11-22 Rajiv Pethe Fabrication of conductive micro traces using a deform and selective removal process
US20080024752A1 (en) * 2006-07-31 2008-01-31 Hou Tee Ng Contact printing oxide-based electrically active micro-features
US20080061986A1 (en) * 2004-08-23 2008-03-13 Polylc Gmbh & Co. Kg External Package Capable of Being Radio-Tagged
US20080083484A1 (en) * 2006-09-28 2008-04-10 Graciela Beatriz Blanchet Method to form a pattern of functional material on a substrate
US20080152835A1 (en) * 2006-12-05 2008-06-26 Nano Terra Inc. Method for Patterning a Surface
US7393699B2 (en) 2006-06-12 2008-07-01 Tran Bao Q NANO-electronics
US7414513B2 (en) 2002-08-23 2008-08-19 Polyic Gmbh & Co. Kg Organic component for overvoltage protection and associated circuit
US20080197343A1 (en) * 2004-12-10 2008-08-21 Robert Blache Organic Field Effect Transistor Gate
US20080196607A1 (en) * 2006-10-31 2008-08-21 Oy Modines Ltd Method and arrangement for manufacturing optical products with complex three-dimensional forms
US20080203383A1 (en) * 2005-04-15 2008-08-28 Polyic Gmbh & Co. Kg Multi-Layer Composite Body Having an Electronic Function
US20080204069A1 (en) * 2005-03-01 2008-08-28 Polyic Gmbh & Co. Kg Electronic Module With Organic Logic Circuit Elements
US20080218315A1 (en) * 2004-12-10 2008-09-11 Markus Bohm Electronic Component Comprising a Modulator
US20080237584A1 (en) * 2005-09-06 2008-10-02 Polylc Gmbh & Co. Kg Organic Component and Electric Circuit Comprising Said Component
WO2008133515A2 (en) * 2007-04-25 2008-11-06 Polymer Vision Limited Fabrication of planar electronic circuit devices
US20090108253A1 (en) * 2005-07-29 2009-04-30 Andreas Ullmann Electronic component
US20090189147A1 (en) * 2004-01-14 2009-07-30 Walter Fix Organic transistor comprising a self-aligning gate electrode, and method for the production thereof
US20090224431A1 (en) * 2005-03-15 2009-09-10 Inmold Biosystems A/S Transferring materials to polymer surfaces
US20090237248A1 (en) * 2004-12-10 2009-09-24 Wolfgang Clemens Identification System
US20090302001A1 (en) * 2006-12-05 2009-12-10 Nano Terra Inc. Method for Patterning a Surface
US7656036B2 (en) 2003-02-14 2010-02-02 Nec Corporation Line component and semiconductor circuit using line component
US7724550B2 (en) 2004-12-23 2010-05-25 Polyic Gmbh & Co. Kg Organic rectifier
US20100156006A1 (en) * 2006-07-21 2010-06-24 Hideaki Kataho Method and apparatus for imprinting energy ray-setting resin, and discs and semiconductor devices with imprinted resin layer
US20100214748A1 (en) * 2005-09-16 2010-08-26 Polyic Gmbh & Co. Kg Metal roof truss connector assembly
US7843342B2 (en) 2005-03-01 2010-11-30 Polyic Gmbh & Co. Kg Organic clock generator
US7846838B2 (en) 2005-07-29 2010-12-07 Polyic Gmbh & Co. Kg Method for producing an electronic component
US7940340B2 (en) 2005-07-04 2011-05-10 Polyic Gmbh & Co. Kg Multilayer body with electrically controllable optically active systems of layers
US8044517B2 (en) 2002-07-29 2011-10-25 Polyic Gmbh & Co. Kg Electronic component comprising predominantly organic functional materials and a method for the production thereof
US8338283B1 (en) * 2011-12-07 2012-12-25 Innovative Micro Technology Method and apparatus for applying thin liquid coatings
US20130052762A1 (en) * 2009-10-21 2013-02-28 Xiuling Li Method of forming an array of high aspect ratio semiconductor nanostructures
CN107112210A (en) * 2014-12-22 2017-08-29 富士胶片株式会社 Imprint mold
WO2018170474A1 (en) * 2017-03-17 2018-09-20 University Of Massachusetts Direct printing of 3-d microbatteries and electrodes
US11397381B2 (en) * 2019-05-17 2022-07-26 Georgia Tech Research Corporation Multiscale all-soft electronic devices and circuits based on liquid metal

Families Citing this family (359)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2045334A1 (en) 1998-06-24 2009-04-08 Illumina, Inc. Decoding of array sensors with microspheres
US20050023710A1 (en) * 1998-07-10 2005-02-03 Dmitri Brodkin Solid free-form fabrication methods for the production of dental restorations
ATE495241T1 (en) 1998-10-09 2011-01-15 Arborgen Llc MATERIALS AND METHODS FOR MODIFYING PLANT LIGNIN CONTENT
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US7432634B2 (en) 2000-10-27 2008-10-07 Board Of Regents, University Of Texas System Remote center compliant flexure device
US6696220B2 (en) 2000-10-12 2004-02-24 Board Of Regents, The University Of Texas System Template for room temperature, low pressure micro-and nano-imprint lithography
CN100504598C (en) * 2000-07-16 2009-06-24 得克萨斯州大学系统董事会 High-resolution overlay alignment methods and systems for imprint lithography
WO2002006902A2 (en) * 2000-07-17 2002-01-24 Board Of Regents, The University Of Texas System Method and system of automatic fluid dispensing for imprint lithography processes
US20050160011A1 (en) * 2004-01-20 2005-07-21 Molecular Imprints, Inc. Method for concurrently employing differing materials to form a layer on a substrate
AU2001280980A1 (en) * 2000-08-01 2002-02-13 Board Of Regents, The University Of Texas System Methods for high-precision gap and orientation sensing between a transparent template and substrate for imprint lithography
AU2001286573A1 (en) * 2000-08-21 2002-03-04 Board Of Regents, The University Of Texas System Flexure based macro motion translation stage
US7186561B2 (en) * 2000-08-25 2007-03-06 Basf Plant Science Gmbh Plant polynucleotides encoding novel Na+/H+ antiporters
US20060005657A1 (en) * 2004-06-01 2006-01-12 Molecular Imprints, Inc. Method and system to control movement of a body for nano-scale manufacturing
US20050274219A1 (en) * 2004-06-01 2005-12-15 Molecular Imprints, Inc. Method and system to control movement of a body for nano-scale manufacturing
US7294294B1 (en) 2000-10-17 2007-11-13 Seagate Technology Llc Surface modified stamper for imprint lithography
US6517977B2 (en) * 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
US6689835B2 (en) * 2001-04-27 2004-02-10 General Electric Company Conductive plastic compositions and method of manufacture thereof
KR20020084849A (en) * 2001-05-02 2002-11-13 주식회사 미뉴타텍 Method for forming a micro-pattern and micro-channel by using a material having a solvent absorbability
US6964793B2 (en) * 2002-05-16 2005-11-15 Board Of Regents, The University Of Texas System Method for fabricating nanoscale patterns in light curable compositions using an electric field
US7334326B1 (en) 2001-06-19 2008-02-26 Amkor Technology, Inc. Method for making an integrated circuit substrate having embedded passive components
US6930256B1 (en) 2002-05-01 2005-08-16 Amkor Technology, Inc. Integrated circuit substrate having laser-embedded conductive patterns and method therefor
US6982178B2 (en) 2002-06-10 2006-01-03 E Ink Corporation Components and methods for use in electro-optic displays
US20050064344A1 (en) * 2003-09-18 2005-03-24 University Of Texas System Board Of Regents Imprint lithography templates having alignment marks
GB2379415A (en) * 2001-09-10 2003-03-12 Seiko Epson Corp Monitoring the deposition of organic polymer droplets onto a substrate
US6926057B2 (en) * 2001-09-25 2005-08-09 Dainippon Screen Mfg. Co., Ltd. Thin film forming apparatus and thin film forming method
JP2003172841A (en) * 2001-09-28 2003-06-20 Omron Corp Optical waveguide and method of manufacturing the same
KR100796678B1 (en) * 2001-09-28 2008-01-21 삼성에스디아이 주식회사 Electron emission source composition for flat panel display, method of producing electron emission source for flat panel display using same, and flat panel display comprising same
US20030070569A1 (en) * 2001-10-11 2003-04-17 Colin Bulthaup Micro-stencil
US6936181B2 (en) * 2001-10-11 2005-08-30 Kovio, Inc. Methods for patterning using liquid embossing
KR100408163B1 (en) * 2001-10-29 2003-12-01 주식회사 미뉴타텍 Micro-pattern forming method for a semiconductor
WO2003065120A2 (en) * 2002-01-11 2003-08-07 Massachusetts Institute Of Technology Microcontact printing
GB2387268A (en) * 2002-01-22 2003-10-08 Darren Edward Robertson Silicon stamped circuits
US6743368B2 (en) * 2002-01-31 2004-06-01 Hewlett-Packard Development Company, L.P. Nano-size imprinting stamp using spacer technique
US6716754B2 (en) * 2002-03-12 2004-04-06 Micron Technology, Inc. Methods of forming patterns and molds for semiconductor constructions
US6730617B2 (en) 2002-04-24 2004-05-04 Ibm Method of fabricating one or more tiers of an integrated circuit
US7633765B1 (en) 2004-03-23 2009-12-15 Amkor Technology, Inc. Semiconductor package including a top-surface metal layer for implementing circuit features
US7548430B1 (en) 2002-05-01 2009-06-16 Amkor Technology, Inc. Buildup dielectric and metallization process and semiconductor package
US7670962B2 (en) 2002-05-01 2010-03-02 Amkor Technology, Inc. Substrate having stiffener fabrication method
US7399661B2 (en) * 2002-05-01 2008-07-15 Amkor Technology, Inc. Method for making an integrated circuit substrate having embedded back-side access conductors and vias
US9691635B1 (en) 2002-05-01 2017-06-27 Amkor Technology, Inc. Buildup dielectric layer having metallization pattern semiconductor package fabrication method
US20080043447A1 (en) * 2002-05-01 2008-02-21 Amkor Technology, Inc. Semiconductor package having laser-embedded terminals
US7037639B2 (en) * 2002-05-01 2006-05-02 Molecular Imprints, Inc. Methods of manufacturing a lithography template
JP4719464B2 (en) * 2002-05-08 2011-07-06 エージェンシー フォー サイエンス,テクノロジー アンド リサーチ Method for imprinting micro / nano structures on a substrate
US6897089B1 (en) * 2002-05-17 2005-05-24 Micron Technology, Inc. Method and system for fabricating semiconductor components using wafer level contact printing
US6849558B2 (en) * 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
US20030235787A1 (en) * 2002-06-24 2003-12-25 Watts Michael P.C. Low viscosity high resolution patterning material
US7179079B2 (en) 2002-07-08 2007-02-20 Molecular Imprints, Inc. Conforming template for patterning liquids disposed on substrates
US6926929B2 (en) * 2002-07-09 2005-08-09 Molecular Imprints, Inc. System and method for dispensing liquids
US7019819B2 (en) * 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7442336B2 (en) * 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US6957608B1 (en) * 2002-08-02 2005-10-25 Kovio, Inc. Contact print methods
US6911385B1 (en) * 2002-08-22 2005-06-28 Kovio, Inc. Interface layer for the fabrication of electronic devices
US7071088B2 (en) * 2002-08-23 2006-07-04 Molecular Imprints, Inc. Method for fabricating bulbous-shaped vias
EP3056941B1 (en) 2002-09-03 2019-01-09 E Ink Corporation Electro-phoretic medium
US20040132301A1 (en) * 2002-09-12 2004-07-08 Harper Bruce M. Indirect fluid pressure imprinting
AU2003264444A1 (en) * 2002-09-20 2004-04-08 Toppan Printing Co., Ltd. Optical waveguide and method for manufacturing same
US20040065252A1 (en) * 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards
US6764885B2 (en) * 2002-10-17 2004-07-20 Avery Dennison Corporation Method of fabricating transistor device
US20040250683A1 (en) * 2002-10-18 2004-12-16 Innovative Construction And Building Materials, Llc Advanced filtration devices and methods
US6935792B2 (en) * 2002-10-21 2005-08-30 General Electric Company Optoelectronic package and fabrication method
US7641840B2 (en) * 2002-11-13 2010-01-05 Molecular Imprints, Inc. Method for expelling gas positioned between a substrate and a mold
CN100473535C (en) * 2002-11-21 2009-04-01 科学和工业研究协会 Colored nanolithography on glass and plastic substrates
US20040197712A1 (en) * 2002-12-02 2004-10-07 Jacobson Joseph M. System for contact printing
US6871558B2 (en) * 2002-12-12 2005-03-29 Molecular Imprints, Inc. Method for determining characteristics of substrate employing fluid geometries
US20040112862A1 (en) * 2002-12-12 2004-06-17 Molecular Imprints, Inc. Planarization composition and method of patterning a substrate using the same
US7365103B2 (en) * 2002-12-12 2008-04-29 Board Of Regents, The University Of Texas System Compositions for dark-field polymerization and method of using the same for imprint lithography processes
CN1723519A (en) * 2002-12-13 2006-01-18 皇家飞利浦电子股份有限公司 Field emission device, and method of manufacturing such a device
JP4563181B2 (en) * 2002-12-13 2010-10-13 モレキュラー・インプリンツ・インコーポレーテッド Magnification correction using substrate surface curvature
GB0229191D0 (en) * 2002-12-14 2003-01-22 Plastic Logic Ltd Embossing of polymer devices
EP1443344A1 (en) * 2003-01-29 2004-08-04 Heptagon Oy Manufacturing micro-structured elements
JP4437544B2 (en) 2003-02-05 2010-03-24 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
DE10308328A1 (en) 2003-02-26 2004-09-09 Giesecke & Devrient Gmbh Process for producing an exposed substrate
US20040168613A1 (en) * 2003-02-27 2004-09-02 Molecular Imprints, Inc. Composition and method to form a release layer
US7186656B2 (en) * 2004-05-21 2007-03-06 Molecular Imprints, Inc. Method of forming a recessed structure employing a reverse tone process
US7179396B2 (en) * 2003-03-25 2007-02-20 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
EP1606834B1 (en) * 2003-03-27 2013-06-05 Korea Institute Of Machinery & Materials Uv nanoimprint lithography process using elementwise embossed stamp
US20040211942A1 (en) * 2003-04-28 2004-10-28 Clark Darren Cameron Electrically conductive compositions and method of manufacture thereof
US7070406B2 (en) * 2003-04-29 2006-07-04 Hewlett-Packard Development Company, L.P. Apparatus for embossing a flexible substrate with a pattern carried by an optically transparent compliant media
US6808646B1 (en) 2003-04-29 2004-10-26 Hewlett-Packard Development Company, L.P. Method of replicating a high resolution three-dimensional imprint pattern on a compliant media of arbitrary size
US6951173B1 (en) 2003-05-14 2005-10-04 Molecular Imprints, Inc. Assembly and method for transferring imprint lithography templates
US20040232389A1 (en) * 2003-05-22 2004-11-25 Elkovitch Mark D. Electrically conductive compositions and method of manufacture thereof
JP4379002B2 (en) * 2003-05-30 2009-12-09 富士ゼロックス株式会社 Carbon nanotube device manufacturing method and carbon nanotube transfer body
AU2003286423A1 (en) 2003-06-09 2005-01-04 Canon Kabushiki Kaisha Process for producing structure, structure thereof, and magnetic recording medium
US20050160934A1 (en) * 2004-01-23 2005-07-28 Molecular Imprints, Inc. Materials and methods for imprint lithography
US20060108710A1 (en) * 2004-11-24 2006-05-25 Molecular Imprints, Inc. Method to reduce adhesion between a conformable region and a mold
US7307118B2 (en) * 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US7157036B2 (en) * 2003-06-17 2007-01-02 Molecular Imprints, Inc Method to reduce adhesion between a conformable region and a pattern of a mold
ITTO20030473A1 (en) 2003-06-23 2004-12-24 Infm Istituto Naz Per La Fisi Ca Della Mater LITOGRAPHIC NANOIMPRESSION PROCEDURE WHICH PROVIDES THE USE OF A MOLD PRESENTING A REGION SUITABLE FOR
US20040262581A1 (en) * 2003-06-27 2004-12-30 Rodrigues David E. Electrically conductive compositions and method of manufacture thereof
KR100508337B1 (en) * 2003-06-27 2005-08-17 한국과학기술원 Fabrication Method of Patterned Polymer Film with Nanometer Scale
US7150622B2 (en) * 2003-07-09 2006-12-19 Molecular Imprints, Inc. Systems for magnification and distortion correction for imprint lithography processes
US7005335B2 (en) * 2003-07-15 2006-02-28 Hewlett-Packard Development, L.P. Array of nanoscopic mosfet transistors and fabrication methods
US7354988B2 (en) * 2003-08-12 2008-04-08 General Electric Company Electrically conductive compositions and method of manufacture thereof
US7026432B2 (en) * 2003-08-12 2006-04-11 General Electric Company Electrically conductive compositions and method of manufacture thereof
DE10339036A1 (en) 2003-08-25 2005-03-31 Siemens Ag Organic electronic component with high-resolution structuring and manufacturing method
US7094622B1 (en) * 2003-08-27 2006-08-22 Louisiana Tech University Foundation, Inc. Polymer based tunneling sensor
US20050257709A1 (en) * 2003-08-28 2005-11-24 Tony Mule Systems and methods for three-dimensional lithography and nano-indentation
US6989325B2 (en) * 2003-09-03 2006-01-24 Industrial Technology Research Institute Self-assembled nanometer conductive bumps and method for fabricating
US7479318B2 (en) * 2003-09-08 2009-01-20 E.I. Du Pont De Nemours And Company Fibrillar microstructure and processes for the production thereof
US7341880B2 (en) 2003-09-17 2008-03-11 Luminus Devices, Inc. Light emitting device processes
US7344903B2 (en) * 2003-09-17 2008-03-18 Luminus Devices, Inc. Light emitting device processes
JP4586021B2 (en) 2003-09-23 2010-11-24 ザ ユニバーシティ オブ ノース カロライナ アット チャペル ヒル Photocurable perfluoropolyethers for use as novel materials in microfluidic devices
US7136150B2 (en) * 2003-09-25 2006-11-14 Molecular Imprints, Inc. Imprint lithography template having opaque alignment marks
US7309727B2 (en) * 2003-09-29 2007-12-18 General Electric Company Conductive thermoplastic compositions, methods of manufacture and articles derived from such compositions
ATE451717T1 (en) * 2003-09-29 2009-12-15 Ibm PRODUCTION METHOD
US20050070658A1 (en) * 2003-09-30 2005-03-31 Soumyadeb Ghosh Electrically conductive compositions, methods of manufacture thereof and articles derived from such compositions
US8211214B2 (en) * 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US7090716B2 (en) * 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
GB0323902D0 (en) * 2003-10-11 2003-11-12 Koninkl Philips Electronics Nv Method for patterning a substrate surface
US7261830B2 (en) * 2003-10-16 2007-08-28 Molecular Imprints, Inc. Applying imprinting material to substrates employing electromagnetic fields
US7122482B2 (en) 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
US7119028B1 (en) 2003-10-29 2006-10-10 The United States Of America As Represented By The Secretary Of The Navy Surface imprinted films with carbon nanotubes
US7435310B2 (en) * 2003-10-29 2008-10-14 The United States Of America As Represented By The Secretary Of The Navy Method for surface imprinted films with carbon nanotubes
US20050106321A1 (en) * 2003-11-14 2005-05-19 Molecular Imprints, Inc. Dispense geometery to achieve high-speed filling and throughput
US20050098534A1 (en) * 2003-11-12 2005-05-12 Molecular Imprints, Inc. Formation of conductive templates employing indium tin oxide
WO2005048245A2 (en) * 2003-11-14 2005-05-26 Koninklijke Philips Electronics N.V. Method of producing a fluorescent optical information carrier and the apparatus and carrier thereof
US20050170670A1 (en) * 2003-11-17 2005-08-04 King William P. Patterning of sacrificial materials
DE60336322D1 (en) * 2003-11-21 2011-04-21 Obducat Ab Nanoimprint lithography in multilayer systems
EP1542074A1 (en) * 2003-12-11 2005-06-15 Heptagon OY Manufacturing a replication tool, sub-master or replica
US7153360B2 (en) * 2003-12-16 2006-12-26 Hewlett-Packard Development Company, Lp. Template and methods for forming photonic crystals
US9040090B2 (en) 2003-12-19 2015-05-26 The University Of North Carolina At Chapel Hill Isolated and fixed micro and nano structures and methods thereof
CA2549341C (en) 2003-12-19 2014-06-10 The University Of North Carolina At Chapel Hill Methods for fabricating isolated micro- and nano- structures using soft or imprint lithography
US7632087B2 (en) * 2003-12-19 2009-12-15 Wd Media, Inc. Composite stamper for imprint lithography
KR101074947B1 (en) * 2003-12-27 2011-10-18 엘지디스플레이 주식회사 Thin Film Transistor Array Substrate And Fabricating Method Thereof
KR101010431B1 (en) * 2003-12-27 2011-01-21 엘지디스플레이 주식회사 Method and Apparatus for Fabricating Flat Panel Display
KR101010476B1 (en) * 2003-12-27 2011-01-21 엘지디스플레이 주식회사 Method and Apparatus for Fabricating Flat Panel Display
KR101117437B1 (en) * 2003-12-27 2012-02-29 엘지디스플레이 주식회사 Method and Apparatus for Fabricating Flat Panel Display
US20050142345A1 (en) * 2003-12-30 2005-06-30 Saikumar Jayaraman Curing processes for substrate imprinting, structures made thereby, and polymers used therefor
US7255805B2 (en) * 2004-01-12 2007-08-14 Hewlett-Packard Development Company, L.P. Photonic structures, devices, and methods
US20050158419A1 (en) * 2004-01-15 2005-07-21 Watts Michael P. Thermal processing system for imprint lithography
US20050156353A1 (en) * 2004-01-15 2005-07-21 Watts Michael P. Method to improve the flow rate of imprinting material
AU2005220150A1 (en) * 2004-02-13 2005-09-15 The University Of North Carolina At Chapel Hill Functional materials and novel methods for the fabrication of microfluidic devices
US7019835B2 (en) * 2004-02-19 2006-03-28 Molecular Imprints, Inc. Method and system to measure characteristics of a film disposed on a substrate
US8076386B2 (en) * 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
US7906180B2 (en) 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US20050189676A1 (en) * 2004-02-27 2005-09-01 Molecular Imprints, Inc. Full-wafer or large area imprinting with multiple separated sub-fields for high throughput lithography
US7435074B2 (en) * 2004-03-13 2008-10-14 International Business Machines Corporation Method for fabricating dual damascence structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascence patterning
US10811277B2 (en) 2004-03-23 2020-10-20 Amkor Technology, Inc. Encapsulated semiconductor package
US11081370B2 (en) 2004-03-23 2021-08-03 Amkor Technology Singapore Holding Pte. Ltd. Methods of manufacturing an encapsulated semiconductor device
US7716823B2 (en) * 2004-04-08 2010-05-18 Hewlett-Packard Development Company, L.P. Bonding an interconnect to a circuit device and related devices
EP1742893B1 (en) * 2004-04-27 2012-10-10 The Board Of Trustees Of The University Of Illinois Composite patterning devices for soft lithography
KR20070015551A (en) * 2004-04-27 2007-02-05 코닌클리케 필립스 일렉트로닉스 엔.브이. Method of forming an organic semiconducting device by a melt technique
US7140861B2 (en) * 2004-04-27 2006-11-28 Molecular Imprints, Inc. Compliant hard template for UV imprinting
US20080055581A1 (en) * 2004-04-27 2008-03-06 Rogers John A Devices and methods for pattern generation by ink lithography
EP1747576A2 (en) * 2004-05-05 2007-01-31 California Institute of Technology Capillary lithography of nanofiber arrays
US7145238B1 (en) 2004-05-05 2006-12-05 Amkor Technology, Inc. Semiconductor package and substrate having multi-level vias
US20050253307A1 (en) * 2004-05-11 2005-11-17 Molecualr Imprints, Inc. Method of patterning a conductive layer on a substrate
WO2005119802A2 (en) * 2004-05-28 2005-12-15 Board Of Regents, The University Of Texas System Adaptive shape substrate support system and method
US20050276919A1 (en) * 2004-06-01 2005-12-15 Molecular Imprints, Inc. Method for dispensing a fluid on a substrate
US20050275311A1 (en) * 2004-06-01 2005-12-15 Molecular Imprints, Inc. Compliant device for nano-scale manufacturing
WO2005120834A2 (en) * 2004-06-03 2005-12-22 Molecular Imprints, Inc. Fluid dispensing and drop-on-demand dispensing for nano-scale manufacturing
US20050270516A1 (en) * 2004-06-03 2005-12-08 Molecular Imprints, Inc. System for magnification and distortion correction during nano-scale manufacturing
US7799699B2 (en) 2004-06-04 2010-09-21 The Board Of Trustees Of The University Of Illinois Printable semiconductor structures and related methods of making and assembling
KR101746412B1 (en) 2004-06-04 2017-06-14 더 보오드 오브 트러스티스 오브 더 유니버시티 오브 일리노이즈 Methods and devices for fabricating and assembling printable semiconductor elements
US20050274772A1 (en) * 2004-06-14 2005-12-15 Nelson Curtis L Treating an area to increase affinity for a fluid
US20070228593A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Residual Layer Thickness Measurement and Correction
KR100581221B1 (en) * 2004-06-30 2006-05-22 삼성전자주식회사 Method of manufacturing tape substarte
US7785526B2 (en) * 2004-07-20 2010-08-31 Molecular Imprints, Inc. Imprint alignment method, system, and template
US20090023239A1 (en) * 2004-07-22 2009-01-22 Luminus Devices, Inc. Light emitting device processes
US20060029548A1 (en) * 2004-07-22 2006-02-09 Amir Pelleg Methods of diagnosing, monitoring and treating pulmonary diseases
US20060017876A1 (en) * 2004-07-23 2006-01-26 Molecular Imprints, Inc. Displays and method for fabricating displays
US20060027036A1 (en) * 2004-08-05 2006-02-09 Biggs Todd L Methods and apparatuses for imprinting substrates
WO2006012915A1 (en) * 2004-08-05 2006-02-09 Pirelli & C. S.P.A. Method for manufacturing optical devices
US7105452B2 (en) * 2004-08-13 2006-09-12 Molecular Imprints, Inc. Method of planarizing a semiconductor substrate with an etching chemistry
US7309225B2 (en) * 2004-08-13 2007-12-18 Molecular Imprints, Inc. Moat system for an imprint lithography template
US7939131B2 (en) * 2004-08-16 2011-05-10 Molecular Imprints, Inc. Method to provide a layer with uniform etch characteristics
US7282550B2 (en) * 2004-08-16 2007-10-16 Molecular Imprints, Inc. Composition to provide a layer with uniform etch characteristics
US7259106B2 (en) * 2004-09-10 2007-08-21 Versatilis Llc Method of making a microelectronic and/or optoelectronic circuitry sheet
KR20060024564A (en) * 2004-09-14 2006-03-17 삼성에스디아이 주식회사 Method for aligning carbon nanotubes and method of manufacturing field emission device using the same
US7041604B2 (en) * 2004-09-21 2006-05-09 Molecular Imprints, Inc. Method of patterning surfaces while providing greater control of recess anisotropy
US7241395B2 (en) * 2004-09-21 2007-07-10 Molecular Imprints, Inc. Reverse tone patterning on surfaces having planarity perturbations
US7547504B2 (en) * 2004-09-21 2009-06-16 Molecular Imprints, Inc. Pattern reversal employing thick residual layers
US7252777B2 (en) * 2004-09-21 2007-08-07 Molecular Imprints, Inc. Method of forming an in-situ recessed structure
US7205244B2 (en) * 2004-09-21 2007-04-17 Molecular Imprints Patterning substrates employing multi-film layers defining etch-differential interfaces
US20060062922A1 (en) * 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US7244386B2 (en) 2004-09-27 2007-07-17 Molecular Imprints, Inc. Method of compensating for a volumetric shrinkage of a material disposed upon a substrate to form a substantially planar structure therefrom
CN100482579C (en) * 2004-10-06 2009-04-29 清华大学 Carbon nanoemter tube array treatment method
US20060081557A1 (en) * 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
WO2006054701A1 (en) * 2004-11-22 2006-05-26 Sumitomo Electric Industries, Ltd. Processing method, processing apparatus, and fine structure produced by the method
US7292326B2 (en) * 2004-11-30 2007-11-06 Molecular Imprints, Inc. Interferometric analysis for the manufacture of nano-scale devices
US7630067B2 (en) 2004-11-30 2009-12-08 Molecular Imprints, Inc. Interferometric analysis method for the manufacture of nano-scale devices
EP1820619A4 (en) * 2004-11-30 2010-07-07 Asahi Glass Co Ltd Mold and process for production of substrates having transferred micropatterns thereon
US20070231421A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Enhanced Multi Channel Alignment
US7357876B2 (en) * 2004-12-01 2008-04-15 Molecular Imprints, Inc. Eliminating printability of sub-resolution defects in imprint lithography
JP5198071B2 (en) * 2004-12-01 2013-05-15 モレキュラー・インプリンツ・インコーポレーテッド Exposure method for thermal management in imprint lithography process
US7281919B2 (en) 2004-12-07 2007-10-16 Molecular Imprints, Inc. System for controlling a volume of material on a mold
ATE396048T1 (en) * 2004-12-10 2008-06-15 Essilor Int STAMP FOR APPLYING A MOTIF, METHOD FOR PRODUCING STAMPS AND METHOD FOR PRODUCING AN OBJECT USING THIS STAMP
US7676088B2 (en) 2004-12-23 2010-03-09 Asml Netherlands B.V. Imprint lithography
US7686970B2 (en) * 2004-12-30 2010-03-30 Asml Netherlands B.V. Imprint lithography
US20060145398A1 (en) * 2004-12-30 2006-07-06 Board Of Regents, The University Of Texas System Release layer comprising diamond-like carbon (DLC) or doped DLC with tunable composition for imprint lithography templates and contact masks
US7636999B2 (en) * 2005-01-31 2009-12-29 Molecular Imprints, Inc. Method of retaining a substrate to a wafer chuck
US7635263B2 (en) * 2005-01-31 2009-12-22 Molecular Imprints, Inc. Chucking system comprising an array of fluid chambers
US20060177535A1 (en) * 2005-02-04 2006-08-10 Molecular Imprints, Inc. Imprint lithography template to facilitate control of liquid movement
EP1853967A4 (en) * 2005-02-03 2009-11-11 Univ North Carolina Low surface energy polymeric material for use in liquid crystal displays
US7462656B2 (en) * 2005-02-15 2008-12-09 Sabic Innovative Plastics Ip B.V. Electrically conductive compositions and method of manufacture thereof
US20090015594A1 (en) * 2005-03-18 2009-01-15 Teruo Baba Audio signal processing device and computer program for the same
CN100526053C (en) * 2005-03-29 2009-08-12 东捷科技股份有限公司 Method for manufacturing nanometer paster
US7670882B2 (en) * 2005-04-05 2010-03-02 Hewlett-Packard Development Company, L.P. Electronic device fabrication
US8826531B1 (en) 2005-04-05 2014-09-09 Amkor Technology, Inc. Method for making an integrated circuit substrate having laminated laser-embedded circuit layers
US20070228608A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Preserving Filled Features when Vacuum Wiping
US20060266916A1 (en) * 2005-05-25 2006-11-30 Molecular Imprints, Inc. Imprint lithography template having a coating to reflect and/or absorb actinic energy
US7470544B2 (en) * 2005-05-26 2008-12-30 Hewlett-Packard Development Company, L.P. Sensor array using sail
KR101117987B1 (en) * 2005-06-07 2012-03-06 엘지디스플레이 주식회사 Apparatus and Method for Fabricating Flat Panel Display Device
US7256131B2 (en) * 2005-07-19 2007-08-14 Molecular Imprints, Inc. Method of controlling the critical dimension of structures formed on a substrate
US7759407B2 (en) * 2005-07-22 2010-07-20 Molecular Imprints, Inc. Composition for adhering materials together
US8808808B2 (en) 2005-07-22 2014-08-19 Molecular Imprints, Inc. Method for imprint lithography utilizing an adhesion primer layer
US8557351B2 (en) 2005-07-22 2013-10-15 Molecular Imprints, Inc. Method for adhering materials together
WO2007133235A2 (en) * 2005-08-08 2007-11-22 Liquidia Technologies, Inc. Micro and nano-structure metrology
EP2537657A3 (en) 2005-08-09 2016-05-04 The University of North Carolina At Chapel Hill Methods and materials for fabricating microfluidic devices
US7665981B2 (en) * 2005-08-25 2010-02-23 Molecular Imprints, Inc. System to transfer a template transfer body between a motion stage and a docking plate
US20070064384A1 (en) * 2005-08-25 2007-03-22 Molecular Imprints, Inc. Method to transfer a template transfer body between a motion stage and a docking plate
US20070074635A1 (en) * 2005-08-25 2007-04-05 Molecular Imprints, Inc. System to couple a body and a docking plate
US7670534B2 (en) 2005-09-21 2010-03-02 Molecular Imprints, Inc. Method to control an atmosphere between a body and a substrate
WO2008060266A2 (en) * 2005-10-03 2008-05-22 Massachusetts Institute Of Technology Nanotemplate arbitrary-imprint lithography
US8142703B2 (en) * 2005-10-05 2012-03-27 Molecular Imprints, Inc. Imprint lithography method
US7878791B2 (en) * 2005-11-04 2011-02-01 Asml Netherlands B.V. Imprint lithography
US8011915B2 (en) 2005-11-04 2011-09-06 Asml Netherlands B.V. Imprint lithography
FR2893018B1 (en) * 2005-11-09 2008-03-14 Commissariat Energie Atomique METHOD OF FORMING MEDIA HAVING PATTERNS, SUCH AS LITHOGRAPHIC MASKS
US7803308B2 (en) * 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
US7906058B2 (en) * 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
EP1957249B1 (en) * 2005-12-08 2014-11-12 Canon Nanotechnologies, Inc. Method and system for double-sided patterning of substrates
US7670530B2 (en) * 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
US7517211B2 (en) 2005-12-21 2009-04-14 Asml Netherlands B.V. Imprint lithography
US8264137B2 (en) * 2006-01-03 2012-09-11 Samsung Electronics Co., Ltd. Curing binder material for carbon nanotube electron emission cathodes
US8142850B2 (en) 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
KR20090003153A (en) * 2006-04-03 2009-01-09 몰레큘러 임프린츠 인코퍼레이티드 Method of concurrently patterning a substrate having a plurality of fields and alignment marks
US8850980B2 (en) 2006-04-03 2014-10-07 Canon Nanotechnologies, Inc. Tessellated patterns in imprint lithography
US7802978B2 (en) 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
US7547398B2 (en) * 2006-04-18 2009-06-16 Molecular Imprints, Inc. Self-aligned process for fabricating imprint templates containing variously etched features
US8012395B2 (en) * 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
WO2007124007A2 (en) * 2006-04-21 2007-11-01 Molecular Imprints, Inc. Method for detecting a particle in a nanoimprint lithography system
EP1855511A1 (en) * 2006-05-12 2007-11-14 Nederlandse Organisatie voor Toegepast-Natuuurwetenschappelijk Onderzoek TNO A process for preparing a heatsink system and heatsink system obtainable by said process
US8215946B2 (en) 2006-05-18 2012-07-10 Molecular Imprints, Inc. Imprint lithography system and method
US20080181958A1 (en) * 2006-06-19 2008-07-31 Rothrock Ginger D Nanoparticle fabrication methods, systems, and materials
US20080012183A1 (en) * 2006-06-30 2008-01-17 Jin Wuk Kim Process of forming a planed layer
TWI316773B (en) * 2006-08-02 2009-11-01 Ind Tech Res Inst Printed electonic device and transistor device and manufacturing method thereof
US20080047930A1 (en) * 2006-08-23 2008-02-28 Graciela Beatriz Blanchet Method to form a pattern of functional material on a substrate
US7709307B2 (en) * 2006-08-24 2010-05-04 Kovio, Inc. Printed non-volatile memory
US7589398B1 (en) 2006-10-04 2009-09-15 Amkor Technology, Inc. Embedded metal features structure
KR101296377B1 (en) * 2006-11-10 2013-08-14 주식회사 디엠에스 Stamp for imprint lithography process
US20080110557A1 (en) * 2006-11-15 2008-05-15 Molecular Imprints, Inc. Methods and Compositions for Providing Preferential Adhesion and Release of Adjacent Surfaces
US7550857B1 (en) 2006-11-16 2009-06-23 Amkor Technology, Inc. Stacked redistribution layer (RDL) die assembly package
CN101547748B (en) * 2006-12-04 2012-10-31 皇家飞利浦电子股份有限公司 Method and apparatus for applying a sheet to a substrate
US7750250B1 (en) 2006-12-22 2010-07-06 Amkor Technology, Inc. Blind via capture pad structure
US7752752B1 (en) 2007-01-09 2010-07-13 Amkor Technology, Inc. Method of fabricating an embedded circuit pattern
KR100804734B1 (en) * 2007-02-22 2008-02-19 연세대학교 산학협력단 Continuous lithography apparatus and method using ultraviolet roll nanoimprinting
US20080206602A1 (en) * 2007-02-28 2008-08-28 Katine Jordan A Nanoimprinting of topography for patterned magnetic media
US8110425B2 (en) * 2007-03-20 2012-02-07 Luminus Devices, Inc. Laser liftoff structure and related methods
US20100151031A1 (en) * 2007-03-23 2010-06-17 Desimone Joseph M Discrete size and shape specific organic nanoparticles designed to elicit an immune response
US7964243B2 (en) * 2007-04-30 2011-06-21 S.D. Warren Company Materials having a textured surface and methods for producing same
US8142702B2 (en) * 2007-06-18 2012-03-27 Molecular Imprints, Inc. Solvent-assisted layer formation for imprint lithography
US8323771B1 (en) 2007-08-15 2012-12-04 Amkor Technology, Inc. Straight conductor blind via capture pad structure and fabrication method
US20100215794A1 (en) * 2007-09-06 2010-08-26 Entire Technology Co., Ltd. Diffusion film molding tool & manufacturing process thereof
DE102007044505A1 (en) * 2007-09-18 2009-03-19 Robert Bosch Gmbh Process for the lithographic production of nano- and / or microstructures, stamps and substrates
US8466068B2 (en) 2007-12-31 2013-06-18 Sandisk 3D Llc Methods and apparatus for forming memory lines and vias in three dimensional memory arrays using dual damascene process and imprint lithography
US20090191356A1 (en) * 2008-01-28 2009-07-30 Hee Hyun Lee Method for forming a thin layer of particulate on a substrate
US20090191355A1 (en) * 2008-01-28 2009-07-30 Hee Hyun Lee Methods for forming a thin layer of particulate on a substrate
AU2015210485C1 (en) * 2008-02-20 2017-06-15 Johnson & Johnson Vision Care, Inc. Energized biomedical device
TWI511869B (en) * 2008-02-20 2015-12-11 Johnson & Johnson Vision Care Energized biomedical device
US8633052B2 (en) * 2008-04-18 2014-01-21 1366 Technologies Inc. Wedge imprint patterning of irregular surface
CN101587099B (en) * 2008-05-21 2012-03-28 鸿富锦精密工业(深圳)有限公司 Method for manufacturing surface acoustic wave sensor
JP2010009729A (en) * 2008-06-30 2010-01-14 Toshiba Corp Imprint stamper, method of manufacturing imprint stamper, magnetic recording medium, method of manufacturing magnetic recording medium and magnetic disk apparatus
GB2462693B (en) * 2008-07-31 2013-06-19 Pragmatic Printing Ltd Forming electrically insulative regions
US20100084081A1 (en) * 2008-08-06 2010-04-08 Academia Sinica Method for Fabricating Organic Optoelectronic Multi-Layer Devices
US20100078837A1 (en) * 2008-09-29 2010-04-01 Pugh Randall B Apparatus and method for formation of an energized ophthalmic device
US8389862B2 (en) 2008-10-07 2013-03-05 Mc10, Inc. Extremely stretchable electronics
US9289132B2 (en) * 2008-10-07 2016-03-22 Mc10, Inc. Catheter balloon having stretchable integrated circuitry and sensor array
US8097926B2 (en) 2008-10-07 2012-01-17 Mc10, Inc. Systems, methods, and devices having stretchable integrated circuitry for sensing and delivering therapy
US8886334B2 (en) * 2008-10-07 2014-11-11 Mc10, Inc. Systems, methods, and devices using stretchable or flexible electronics for medical applications
US8372726B2 (en) * 2008-10-07 2013-02-12 Mc10, Inc. Methods and applications of non-planar imaging arrays
KR101017403B1 (en) * 2008-11-06 2011-02-28 한국기계연구원 Patterning method of nanoink using nano-imprint lithography
WO2010061035A1 (en) * 2008-11-27 2010-06-03 Upm-Kymmene Corporation Embossing of electronic thin-film components
US8872329B1 (en) 2009-01-09 2014-10-28 Amkor Technology, Inc. Extended landing pad substrate package structure and method
JP5327743B2 (en) * 2009-02-18 2013-10-30 国立大学法人信州大学 Concave and convex pattern forming method
JP5411557B2 (en) * 2009-04-03 2014-02-12 株式会社日立ハイテクノロジーズ Microstructure transfer device
US7960827B1 (en) 2009-04-09 2011-06-14 Amkor Technology, Inc. Thermal via heat spreader package and method
JP5498058B2 (en) * 2009-05-22 2014-05-21 東京エレクトロン株式会社 Conductive film manufacturing method and manufacturing apparatus, and conductive film
US8623753B1 (en) 2009-05-28 2014-01-07 Amkor Technology, Inc. Stackable protruding via package and method
US8222538B1 (en) 2009-06-12 2012-07-17 Amkor Technology, Inc. Stackable via package and method
US8227166B2 (en) * 2009-07-20 2012-07-24 Xerox Corporation Methods of making an improved photoreceptor outer layer
JP5306102B2 (en) * 2009-08-04 2013-10-02 株式会社東芝 Pattern forming method and semiconductor device manufacturing method
US8471154B1 (en) 2009-08-06 2013-06-25 Amkor Technology, Inc. Stackable variable height via package and method
US9723122B2 (en) 2009-10-01 2017-08-01 Mc10, Inc. Protective cases with integrated electronics
US20110218756A1 (en) * 2009-10-01 2011-09-08 Mc10, Inc. Methods and apparatus for conformal sensing of force and/or acceleration at a person's head
US8796561B1 (en) 2009-10-05 2014-08-05 Amkor Technology, Inc. Fan out build up substrate stackable package and method
WO2011064021A1 (en) * 2009-11-30 2011-06-03 Asml Netherlands B.V. Imprint lithography apparatus and method
US8937381B1 (en) 2009-12-03 2015-01-20 Amkor Technology, Inc. Thin stackable package and method
US9691734B1 (en) 2009-12-07 2017-06-27 Amkor Technology, Inc. Method of forming a plurality of electronic component packages
US10441185B2 (en) 2009-12-16 2019-10-15 The Board Of Trustees Of The University Of Illinois Flexible and stretchable electronic systems for epidermal electronics
EP2513953B1 (en) 2009-12-16 2017-10-18 The Board of Trustees of the University of Illionis Electrophysiology using conformal electronics
US8666471B2 (en) 2010-03-17 2014-03-04 The Board Of Trustees Of The University Of Illinois Implantable biomedical devices on bioresorbable substrates
US9936574B2 (en) 2009-12-16 2018-04-03 The Board Of Trustees Of The University Of Illinois Waterproof stretchable optoelectronics
US8536462B1 (en) 2010-01-22 2013-09-17 Amkor Technology, Inc. Flex circuit package and method
US8300423B1 (en) 2010-05-25 2012-10-30 Amkor Technology, Inc. Stackable treated via package and method
US8294276B1 (en) 2010-05-27 2012-10-23 Amkor Technology, Inc. Semiconductor device and fabricating method thereof
US20110305787A1 (en) * 2010-06-11 2011-12-15 Satoshi Ishii Stamper for transfer of microscopic structure and transfer apparatus of microscopic structure
US8338229B1 (en) 2010-07-30 2012-12-25 Amkor Technology, Inc. Stackable plasma cleaned via package and method
US8717775B1 (en) 2010-08-02 2014-05-06 Amkor Technology, Inc. Fingerprint sensor package and method
WO2012021880A2 (en) * 2010-08-13 2012-02-16 Solexel, Inc. Apparatus and method for repeatedly fabricating thin film semiconductor substrates using a template
JP2010278477A (en) * 2010-09-13 2010-12-09 Fujikura Ltd Method of manufacturing semiconductor device
JP5032642B2 (en) * 2010-09-30 2012-09-26 株式会社東芝 Imprint lithography apparatus and method
KR20120034940A (en) * 2010-10-04 2012-04-13 삼성전자주식회사 Patterning mold and manufacturing method thereof
US8337657B1 (en) 2010-10-27 2012-12-25 Amkor Technology, Inc. Mechanical tape separation package and method
US8482134B1 (en) 2010-11-01 2013-07-09 Amkor Technology, Inc. Stackable package and method
US9748154B1 (en) 2010-11-04 2017-08-29 Amkor Technology, Inc. Wafer level fan out semiconductor device and manufacturing method thereof
US8525318B1 (en) 2010-11-10 2013-09-03 Amkor Technology, Inc. Semiconductor device and fabricating method thereof
JP2012109487A (en) * 2010-11-19 2012-06-07 Hitachi High-Technologies Corp Double-sided imprint apparatus
US20120128933A1 (en) * 2010-11-22 2012-05-24 Chung-Yuan Christian University Anticorrosion layer and manufacturing method thereof
DE102010052033A1 (en) * 2010-11-23 2012-05-24 Leibniz-Institut Für Neue Materialien Gemeinnützige Gmbh Process for the production of metallic structures
US8557629B1 (en) 2010-12-03 2013-10-15 Amkor Technology, Inc. Semiconductor device having overlapped via apertures
US8535961B1 (en) 2010-12-09 2013-09-17 Amkor Technology, Inc. Light emitting diode (LED) package and method
ITMI20102295A1 (en) * 2010-12-15 2012-06-16 Consiglio Nazionale Ricerche METHOD FOR THE REALIZATION OF COMPLEX STRUCTURES ON MICROMETRIC OR NANOMETRIC STAIRS, AND COMPLEX STRUCTURE SO OBTAINED
KR20120079734A (en) 2011-01-05 2012-07-13 삼성전자주식회사 Method of fabricating stamp for nano-imprint
KR101200562B1 (en) * 2011-01-17 2012-11-13 부산대학교 산학협력단 Method for manufacturing of Optical alignment large sized one body type metal stamp and Method for manufacturing of polymer optical device using the same
US9721872B1 (en) 2011-02-18 2017-08-01 Amkor Technology, Inc. Methods and structures for increasing the allowable die size in TMV packages
US9013011B1 (en) 2011-03-11 2015-04-21 Amkor Technology, Inc. Stacked and staggered die MEMS package and method
JP5323882B2 (en) * 2011-04-08 2013-10-23 株式会社日立産機システム Pattern transfer apparatus and pattern transfer method
KR101140113B1 (en) 2011-04-26 2012-04-30 앰코 테크놀로지 코리아 주식회사 Semiconductor device
WO2012158709A1 (en) 2011-05-16 2012-11-22 The Board Of Trustees Of The University Of Illinois Thermally managed led arrays assembled by printing
KR102000302B1 (en) 2011-05-27 2019-07-15 엠씨10, 인크 Electronic, optical and/or mechanical apparatus and systems and methods for fabricating same
WO2012167096A2 (en) 2011-06-03 2012-12-06 The Board Of Trustees Of The University Of Illinois Conformable actively multiplexed high-density surface electrode array for brain interfacing
WO2012173811A2 (en) * 2011-06-17 2012-12-20 Battelle Memorial Institute Forward osmosis, reverse osmosis, and nano/micro filtration membrane structures
JP2013021189A (en) * 2011-07-12 2013-01-31 Dainippon Printing Co Ltd Manufacturing method of organic semiconductor element and organic semiconductor element
US8653674B1 (en) 2011-09-15 2014-02-18 Amkor Technology, Inc. Electronic component package fabrication method and structure
US8633598B1 (en) 2011-09-20 2014-01-21 Amkor Technology, Inc. Underfill contacting stacking balls package fabrication method and structure
JP2014533211A (en) * 2011-09-23 2014-12-11 1366 テクノロジーズ インク. Technology to improve imprinting of soft material on a substrate using a stamp, including filling the bottom with a gap and pulsating the stamp
US9029962B1 (en) 2011-10-12 2015-05-12 Amkor Technology, Inc. Molded cavity substrate MEMS package fabrication method and structure
TW201330053A (en) * 2011-11-14 2013-07-16 Orthogonal Inc Process for imprint patterning materials in thin-film devices
US9691873B2 (en) 2011-12-01 2017-06-27 The Board Of Trustees Of The University Of Illinois Transient devices designed to undergo programmable transformations
KR101764109B1 (en) 2012-02-16 2017-08-03 애플 인크. Interlocking flexible segments formed from a rigid material
US9554484B2 (en) 2012-03-30 2017-01-24 The Board Of Trustees Of The University Of Illinois Appendage mountable electronic devices conformable to surfaces
JP5982996B2 (en) * 2012-04-26 2016-08-31 大日本印刷株式会社 Foreign matter removal method
US9132510B2 (en) 2012-05-02 2015-09-15 Apple Inc. Multi-step pattern formation
US9171794B2 (en) 2012-10-09 2015-10-27 Mc10, Inc. Embedding thin chips in polymer
US10086484B2 (en) 2012-10-12 2018-10-02 Apple Inc. Manufacturing of computing devices
US9799592B2 (en) 2013-11-19 2017-10-24 Amkor Technology, Inc. Semicondutor device with through-silicon via-less deep wells
KR101366461B1 (en) 2012-11-20 2014-02-26 앰코 테크놀로지 코리아 주식회사 Semiconductor device and manufacturing method thereof
KR101488590B1 (en) 2013-03-29 2015-01-30 앰코 테크놀로지 코리아 주식회사 Semiconductor device and manufacturing method thereof
JP5951566B2 (en) * 2013-08-23 2016-07-13 株式会社東芝 Mold cleaning apparatus and mold cleaning method
KR101607981B1 (en) 2013-11-04 2016-03-31 앰코 테크놀로지 코리아 주식회사 Interposer and method for manufacturing the same, and semiconductor package using the same
US9852723B2 (en) 2014-03-27 2017-12-26 Apple Inc. Acoustic modules
US9451065B2 (en) 2014-04-03 2016-09-20 Apple Inc. Adaptive plug for edge protection
US10071539B2 (en) 2014-09-30 2018-09-11 Apple Inc. Co-sintered ceramic for electronic devices
US10335979B2 (en) 2014-09-30 2019-07-02 Apple Inc. Machining features in a ceramic component for use in an electronic device
US10207387B2 (en) 2015-03-06 2019-02-19 Apple Inc. Co-finishing surfaces
BR112017025609A2 (en) 2015-06-01 2018-08-07 The Board Of Trustees Of The University Of Illinois miniaturized wireless electronic systems with near field communication capabilities
EP3304130B1 (en) 2015-06-01 2021-10-06 The Board of Trustees of the University of Illinois Alternative approach to uv sensing
US10216233B2 (en) 2015-09-02 2019-02-26 Apple Inc. Forming features in a ceramic component for an electronic device
US10925543B2 (en) 2015-11-11 2021-02-23 The Board Of Trustees Of The University Of Illinois Bioresorbable silicon electronics for transient implants
US9960328B2 (en) 2016-09-06 2018-05-01 Amkor Technology, Inc. Semiconductor device and manufacturing method thereof
US9659249B1 (en) * 2016-09-27 2017-05-23 International Business Machines Corporation Pre-programmed resistive cross-point array for neural network
CN107032284B (en) * 2017-05-04 2018-12-28 北京航空航天大学 A kind of imitative indian cup liquid film fast removal Surface Texture
US10542628B2 (en) 2017-08-02 2020-01-21 Apple Inc. Enclosure for an electronic device having a shell and internal chassis
US10460944B2 (en) * 2017-12-13 2019-10-29 International Business Machines Corporation Fully depleted semiconductor on insulator transistor with enhanced back biasing tunability
KR102035581B1 (en) * 2019-05-28 2019-10-23 한국생산기술연구원 Stamp for forming conductive pattern, method of preparing conductive pattern substrate using the stamp, and conductive pattern substrate prepared by the method
JP7337670B2 (en) * 2019-11-15 2023-09-04 キヤノン株式会社 IMPRINT APPARATUS, IMPRINT METHOD, AND ARTICLE MANUFACTURING METHOD

Citations (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4374077A (en) * 1980-02-01 1983-02-15 Minnesota Mining And Manufacturing Company Process for making information carrying discs
US4487811A (en) * 1980-12-29 1984-12-11 General Electric Company Electrical conductor
US4775439A (en) * 1983-07-25 1988-10-04 Amoco Corporation Method of making high metal content circuit patterns on plastic boards
US4808274A (en) * 1986-09-10 1989-02-28 Engelhard Corporation Metallized substrates and process for producing
US4862799A (en) * 1987-11-13 1989-09-05 Rockwell International Corporation Copper coated anodized aluminum ink metering roller
US5135376A (en) * 1989-05-15 1992-08-04 Sony Corporation Optical-disk manufacturing device
US5259926A (en) * 1991-09-24 1993-11-09 Hitachi, Ltd. Method of manufacturing a thin-film pattern on a substrate
US5262357A (en) * 1991-11-22 1993-11-16 The Regents Of The University Of California Low temperature thin films formed from nanocrystal precursors
US5279689A (en) * 1989-06-30 1994-01-18 E. I. Du Pont De Nemours And Company Method for replicating holographic optical elements
US5491114A (en) * 1994-03-24 1996-02-13 Starfire Electronic Development & Marketing, Ltd. Method of making large-area semiconductor thin films formed at low temperature using nanocrystal presursors
US5512131A (en) * 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US5531944A (en) * 1987-11-10 1996-07-02 Congoleum Corporation Embossing composition for preparing textured polymeric materials
US5545367A (en) * 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
US5559057A (en) * 1994-03-24 1996-09-24 Starfire Electgronic Development & Marketing Ltd. Method for depositing and patterning thin films formed by fusing nanocrystalline precursors
US5575878A (en) * 1994-11-30 1996-11-19 Honeywell Inc. Method for making surface relief profilers
US5576248A (en) * 1994-03-24 1996-11-19 Starfire Electronic Development & Marketing, Ltd. Group IV semiconductor thin films formed at low temperature using nanocrystal precursors
US5670279A (en) * 1994-03-24 1997-09-23 Starfire Electronic Development & Marketing, Ltd. Lithography exposure mask derived from nanocrystal precursors and a method of manufacturing the same
US5688447A (en) * 1995-08-14 1997-11-18 Hong; Gilbert H. Mass production method for fabricating multi-layer CDs
US5746868A (en) * 1994-07-21 1998-05-05 Fujitsu Limited Method of manufacturing multilayer circuit substrate
US5876550A (en) * 1988-10-05 1999-03-02 Helisys, Inc. Laminated object manufacturing apparatus and method
US5892230A (en) * 1997-05-29 1999-04-06 Massachusetts Institute Of Technology Scintillating fiducial patterns
US5900160A (en) * 1993-10-04 1999-05-04 President And Fellows Of Harvard College Methods of etching articles via microcontact printing
US5966580A (en) * 1990-03-29 1999-10-12 Vacuum Metallurgical Co., Ltd. Process for making a thin film using a metal paste
US6033202A (en) * 1998-03-27 2000-03-07 Lucent Technologies Inc. Mold for non - photolithographic fabrication of microstructures
US6072716A (en) * 1999-04-14 2000-06-06 Massachusetts Institute Of Technology Memory structures and methods of making same
US6089853A (en) * 1997-12-24 2000-07-18 International Business Machines Corporation Patterning device for patterning a substrate with patterning cavities fed by service cavities
US6096247A (en) * 1998-07-31 2000-08-01 3M Innovative Properties Company Embossed optical polymer films
US6139626A (en) * 1998-09-04 2000-10-31 Nec Research Institute, Inc. Three-dimensionally patterned materials and methods for manufacturing same using nanocrystals
US6174156B1 (en) * 1990-03-01 2001-01-16 Dsm N.V. Solid imaging apparatus and method with coating station
US6274412B1 (en) * 1998-12-21 2001-08-14 Parelec, Inc. Material and method for printing high conductivity electrical conductors and other components on thin film transistor arrays
US6277740B1 (en) * 1998-08-14 2001-08-21 Avery N. Goldstein Integrated circuit trenched features and method of producing same
US6277448B2 (en) * 1995-11-13 2001-08-21 Rutgers The State University Of New Jersey Thermal spray method for the formation of nanostructured coatings
US6294401B1 (en) * 1998-08-19 2001-09-25 Massachusetts Institute Of Technology Nanoparticle-based electrical, chemical, and mechanical structures and methods of making same
US6303499B1 (en) * 1990-06-01 2001-10-16 Canon Kabushiki Kaisha Process for preparing semiconductor device
US6306594B1 (en) * 1988-11-14 2001-10-23 I-Stat Corporation Methods for microdispensing patterened layers
US6309798B1 (en) * 1996-05-08 2001-10-30 Studiengesellschaft Kohle Mbh Lithographical process for production of nanostructures on surfaces
US6315851B1 (en) * 1993-10-29 2001-11-13 3M Innovative Properties Company Pressure-sensitive adhesives having microstructured surface
US20010055201A1 (en) * 1998-12-18 2001-12-27 Ties Ramcke Circuit configuration having at least one nanoelectronic component and method for fabricating the component

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3145583A1 (en) * 1981-11-17 1983-05-26 Robert Bosch Gmbh, 7000 Stuttgart PASTE FOR PRINTING SUBSTATES BY MEANS OF AN ELASTICALLY DEFORMABLE STAMP
EP0405582A3 (en) * 1989-06-30 1992-07-08 E.I. Du Pont De Nemours And Company Method for making optically readable media containing embossed information
US5368789A (en) * 1990-09-28 1994-11-29 Canon Kabushiki Kaisha Method for forming substrate sheet for optical recording medium
WO1997006468A2 (en) 1995-07-28 1997-02-20 Ely Michael Rabani Pattern formation, replication, fabrication and devices thereby
US6027595A (en) * 1998-07-02 2000-02-22 Samsung Electronics Co., Ltd. Method of making optical replicas by stamping in photoresist and replicas formed thereby
ATE233662T1 (en) 1998-11-19 2003-03-15 Du Pont METHOD FOR THE DECORATIVE DESIGN OF A PAINTED SUBSTRATE SURFACE

Patent Citations (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4374077A (en) * 1980-02-01 1983-02-15 Minnesota Mining And Manufacturing Company Process for making information carrying discs
US4487811A (en) * 1980-12-29 1984-12-11 General Electric Company Electrical conductor
US4775439A (en) * 1983-07-25 1988-10-04 Amoco Corporation Method of making high metal content circuit patterns on plastic boards
US4808274A (en) * 1986-09-10 1989-02-28 Engelhard Corporation Metallized substrates and process for producing
US5712018A (en) * 1987-11-10 1998-01-27 Congoleum Corporation Embossing composition for preparing textured polymeric materials
US5531944A (en) * 1987-11-10 1996-07-02 Congoleum Corporation Embossing composition for preparing textured polymeric materials
US4862799A (en) * 1987-11-13 1989-09-05 Rockwell International Corporation Copper coated anodized aluminum ink metering roller
US5876550A (en) * 1988-10-05 1999-03-02 Helisys, Inc. Laminated object manufacturing apparatus and method
US6306594B1 (en) * 1988-11-14 2001-10-23 I-Stat Corporation Methods for microdispensing patterened layers
US5135376A (en) * 1989-05-15 1992-08-04 Sony Corporation Optical-disk manufacturing device
US5279689A (en) * 1989-06-30 1994-01-18 E. I. Du Pont De Nemours And Company Method for replicating holographic optical elements
US6174156B1 (en) * 1990-03-01 2001-01-16 Dsm N.V. Solid imaging apparatus and method with coating station
US5966580A (en) * 1990-03-29 1999-10-12 Vacuum Metallurgical Co., Ltd. Process for making a thin film using a metal paste
US6303499B1 (en) * 1990-06-01 2001-10-16 Canon Kabushiki Kaisha Process for preparing semiconductor device
US5259926A (en) * 1991-09-24 1993-11-09 Hitachi, Ltd. Method of manufacturing a thin-film pattern on a substrate
US5262357A (en) * 1991-11-22 1993-11-16 The Regents Of The University Of California Low temperature thin films formed from nanocrystal precursors
US5545367A (en) * 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
US5512131A (en) * 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US5900160A (en) * 1993-10-04 1999-05-04 President And Fellows Of Harvard College Methods of etching articles via microcontact printing
US6315851B1 (en) * 1993-10-29 2001-11-13 3M Innovative Properties Company Pressure-sensitive adhesives having microstructured surface
US5491114A (en) * 1994-03-24 1996-02-13 Starfire Electronic Development & Marketing, Ltd. Method of making large-area semiconductor thin films formed at low temperature using nanocrystal presursors
US5576248A (en) * 1994-03-24 1996-11-19 Starfire Electronic Development & Marketing, Ltd. Group IV semiconductor thin films formed at low temperature using nanocrystal precursors
US5559057A (en) * 1994-03-24 1996-09-24 Starfire Electgronic Development & Marketing Ltd. Method for depositing and patterning thin films formed by fusing nanocrystalline precursors
US5670279A (en) * 1994-03-24 1997-09-23 Starfire Electronic Development & Marketing, Ltd. Lithography exposure mask derived from nanocrystal precursors and a method of manufacturing the same
US5746868A (en) * 1994-07-21 1998-05-05 Fujitsu Limited Method of manufacturing multilayer circuit substrate
US5575878A (en) * 1994-11-30 1996-11-19 Honeywell Inc. Method for making surface relief profilers
US5688447A (en) * 1995-08-14 1997-11-18 Hong; Gilbert H. Mass production method for fabricating multi-layer CDs
US6277448B2 (en) * 1995-11-13 2001-08-21 Rutgers The State University Of New Jersey Thermal spray method for the formation of nanostructured coatings
US6309798B1 (en) * 1996-05-08 2001-10-30 Studiengesellschaft Kohle Mbh Lithographical process for production of nanostructures on surfaces
US5892230A (en) * 1997-05-29 1999-04-06 Massachusetts Institute Of Technology Scintillating fiducial patterns
US6089853A (en) * 1997-12-24 2000-07-18 International Business Machines Corporation Patterning device for patterning a substrate with patterning cavities fed by service cavities
US6033202A (en) * 1998-03-27 2000-03-07 Lucent Technologies Inc. Mold for non - photolithographic fabrication of microstructures
US6096247A (en) * 1998-07-31 2000-08-01 3M Innovative Properties Company Embossed optical polymer films
US6277740B1 (en) * 1998-08-14 2001-08-21 Avery N. Goldstein Integrated circuit trenched features and method of producing same
US6294401B1 (en) * 1998-08-19 2001-09-25 Massachusetts Institute Of Technology Nanoparticle-based electrical, chemical, and mechanical structures and methods of making same
US6139626A (en) * 1998-09-04 2000-10-31 Nec Research Institute, Inc. Three-dimensionally patterned materials and methods for manufacturing same using nanocrystals
US20010055201A1 (en) * 1998-12-18 2001-12-27 Ties Ramcke Circuit configuration having at least one nanoelectronic component and method for fabricating the component
US6274412B1 (en) * 1998-12-21 2001-08-14 Parelec, Inc. Material and method for printing high conductivity electrical conductors and other components on thin film transistor arrays
US6072716A (en) * 1999-04-14 2000-06-06 Massachusetts Institute Of Technology Memory structures and methods of making same

Cited By (111)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040026689A1 (en) * 2000-08-18 2004-02-12 Adolf Bernds Encapsulated organic-electronic component, method for producing the same and use thereof
US20040029310A1 (en) * 2000-08-18 2004-02-12 Adoft Bernds Organic field-effect transistor (ofet), a production method therefor, an integrated circut constructed from the same and their uses
US7875975B2 (en) 2000-08-18 2011-01-25 Polyic Gmbh & Co. Kg Organic integrated circuit completely encapsulated by multi-layered barrier and included in RFID tag
US20030178620A1 (en) * 2000-09-11 2003-09-25 Adolf Bernds Organic rectifier, circuit, rfid tag and use of an organic rectifier
US20040063267A1 (en) * 2000-12-08 2004-04-01 Adolf Bernds Organic field-effect transistor, method for structuring and ofet and integrated circuit
US20040062294A1 (en) * 2000-12-08 2004-04-01 Wolfgang Clemens Device for detecting and/or transmitting at least one environmental influence, method for producing said device and use thereof
US7534034B2 (en) 2000-12-08 2009-05-19 Polyic Gmbh & Co. Kg Device for detecting at least one environmental influence
US7229868B2 (en) * 2000-12-08 2007-06-12 Polyic Gmbh & Co. Kg Organic field-effect transistor, method for structuring an OFET and integrated circuit
US20040092690A1 (en) * 2000-12-20 2004-05-13 Mark Giles Organic semiconductor, production method therefor and the use thereof
US7238961B2 (en) 2001-02-09 2007-07-03 Polyic Gmbh & Co. Kg Organic field effect transistor with a photostructured gate dielectric, method for the production and use thereof in organic electronics
US20040094771A1 (en) * 2001-03-26 2004-05-20 Adolf Bernds Device with at least two organic electronic components and method for producing the same
US20040262599A1 (en) * 2001-06-01 2004-12-30 Adolf Bernds Organic field effect transistor, method for production and use thereof in the assembly of integrated circuits
US20040209191A1 (en) * 2001-06-01 2004-10-21 Adolf Bernds Method for producing conductive structures by means of printing technique, and active components produced therefrom for integrated circuits
US20050048803A1 (en) * 2001-10-16 2005-03-03 Erwann Guillet Insulator for an organic electronic component
US7298023B2 (en) 2001-10-16 2007-11-20 Polyic Gmbh & Co. Kg Electronic device with organic insulator
US20040256467A1 (en) * 2001-10-18 2004-12-23 Wolfgang Clemens Electronic unit, circuit design for the same, and production method
US7483275B2 (en) 2001-10-18 2009-01-27 Polyic Gmbh & Co. Kg Electronic unit, circuit design for the same, and production method
US7064345B2 (en) 2001-12-11 2006-06-20 Siemens Aktiengesellschaft Organic field effect transistor with off-set threshold voltage and the use thereof
US20050211972A1 (en) * 2001-12-11 2005-09-29 Siemens Aktiengesellschaft Organic field effect transistor with off-set threshold voltage and the use thereof
US20050277240A1 (en) * 2002-03-21 2005-12-15 Walter Fix Logic components from organic field effect transistors
US7223995B2 (en) 2002-03-21 2007-05-29 Polyic Gmbh & Co. Kg Logic components comprising organic field effect transistors
US7709865B2 (en) 2002-06-13 2010-05-04 Polyic Gmbh & Co. Kg Substrate for an organic field effect transistor, use of said substrate, method of increasing the charge carrier mobility, and organic field effect transistor (OFET)
US20050224787A1 (en) * 2002-06-13 2005-10-13 Wolfgang Clemens Substrate for an organic field effect transistor, use of said substrate, method for increasing the charge carrier mobility, and organic field effect transistor (ofet)
US8318066B2 (en) * 2002-07-11 2012-11-27 Molecular Imprints, Inc. Step and repeat imprint lithography process
US7727453B2 (en) * 2002-07-11 2010-06-01 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US20060076717A1 (en) * 2002-07-11 2006-04-13 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US20110221095A1 (en) * 2002-07-11 2011-09-15 Molecular Imprints, Inc. Step and Repeat Imprint Lithography Process
US8044517B2 (en) 2002-07-29 2011-10-25 Polyic Gmbh & Co. Kg Electronic component comprising predominantly organic functional materials and a method for the production thereof
US20060079327A1 (en) * 2002-08-08 2006-04-13 Wolfgang Clemens Electronic device
US7414513B2 (en) 2002-08-23 2008-08-19 Polyic Gmbh & Co. Kg Organic component for overvoltage protection and associated circuit
US7167617B2 (en) * 2002-10-24 2007-01-23 Electronics And Telecommunications Research Institute Optical coupling device and method for fabricating the same, and master used in fabricating optical coupling device and method for fabricating the same
US20050185891A1 (en) * 2002-10-24 2005-08-25 Electronics And Telecommunications Research Institute Optical coupling device and method for fabricating the same, and master used in fabricating optical coupling device and method for fabricating the same
US20060118778A1 (en) * 2002-11-05 2006-06-08 Wolfgang Clemens Organic electronic component with high-resolution structuring and method for the production thereof
US7641857B2 (en) 2002-11-14 2010-01-05 Polyic Gmbh & Co. Kg Measuring apparatus used for determining an analyte in a liquid sample, comprising polymer electronic components
US20060121625A1 (en) * 2002-11-14 2006-06-08 Wolfgang Clemens Measuring apparatus used for determining an analyte in a liquid sample, comprising polymer electronic components
US20060118779A1 (en) * 2002-11-19 2006-06-08 Wolfgang Clemens Organic Electronic Component Comprising A Patterned, Semi-Conducting Functional Layer And A Method For Producing Said Component
US20060035423A1 (en) * 2002-11-19 2006-02-16 Walter Fix Organic electronic component comprising the same organic material for at least two functional layers
US7442954B2 (en) 2002-11-19 2008-10-28 Polyic Gmbh & Co. Kg Organic electronic component comprising a patterned, semi-conducting functional layer and a method for producing said component
US20060118780A1 (en) * 2003-01-09 2006-06-08 Axel Gerlt Organo-resistive memory unit
US20060160266A1 (en) * 2003-01-21 2006-07-20 Adolf Bernds Organic electronic component and method for producing organic electronic devices
US20060057769A1 (en) * 2003-01-21 2006-03-16 Adolf Bernds Use of conductive carbon black/graphite mixtures for the production of low-cost electronics
US7329559B2 (en) 2003-01-21 2008-02-12 Polyic Gmbh & Co. Kg Use of conductive carbon black/graphite mixtures for the production of low-cost electronics
US7656036B2 (en) 2003-02-14 2010-02-02 Nec Corporation Line component and semiconductor circuit using line component
US20060220005A1 (en) * 2003-07-03 2006-10-05 Walter Fix Logic gate with a potential-free gate electrode for organic integrated circuits
US20060138701A1 (en) * 2003-07-03 2006-06-29 Jurgen Ficker Method and device for structuring organic layers
US20070030623A1 (en) * 2003-08-20 2007-02-08 Polyic Gmbh & Co. Kg Organic capacitor having a voltage-controlled capacitance
US20070017401A1 (en) * 2003-09-03 2007-01-25 Polyic Gmbh & Co. Kg Polymer mixtures for printed polymer electronic circuits
US20070008019A1 (en) * 2003-09-03 2007-01-11 Wolfgang Clemens Mechanical control elements for organic polymer electronic devices
US7678857B2 (en) 2003-09-03 2010-03-16 Polyic Gmbh & Co. Kg Polymer mixtures for printed polymer electronic circuits
US7576294B2 (en) 2003-09-03 2009-08-18 Polyic Gmbh & Co. Kg Mechanical control elements for organic polymer electronic devices
US20090189147A1 (en) * 2004-01-14 2009-07-30 Walter Fix Organic transistor comprising a self-aligning gate electrode, and method for the production thereof
WO2005078521A3 (en) * 2004-02-17 2006-05-26 Consejo Superior Investigacion Method for providing a thin film having a chemical composition that is spatially structured on a micrometric or nanometric scale on a substrate
US20070182060A1 (en) * 2004-02-17 2007-08-09 Massimiliano Cavallini Method for providing a thin film having a chemical composition that is spatially structured on a micrometric or nanometric scale on a substrate
WO2005078521A2 (en) * 2004-02-17 2005-08-25 Consejo Superior De Investigaciones Cientificas Method for providing a thin film having a chemical composition that is spatially structured on a micrometric or nanometric scale on a substrate
WO2005109535A3 (en) * 2004-05-06 2006-03-16 Koninkl Philips Electronics Nv A method of manufacturing a thermoelectric device
WO2005109535A2 (en) * 2004-05-06 2005-11-17 Koninklijke Philips Electronics N.V. A method of manufacturing a thermoelectric device
US20050276933A1 (en) * 2004-06-14 2005-12-15 Ravi Prasad Method to form a conductive structure
US20050276911A1 (en) * 2004-06-15 2005-12-15 Qiong Chen Printing of organometallic compounds to form conductive traces
US20080061986A1 (en) * 2004-08-23 2008-03-13 Polylc Gmbh & Co. Kg External Package Capable of Being Radio-Tagged
US7847695B2 (en) 2004-08-23 2010-12-07 Polyic Gmbh & Co. Kg External package capable of being radio-tagged
US20090237248A1 (en) * 2004-12-10 2009-09-24 Wolfgang Clemens Identification System
US20080197343A1 (en) * 2004-12-10 2008-08-21 Robert Blache Organic Field Effect Transistor Gate
US20080218315A1 (en) * 2004-12-10 2008-09-11 Markus Bohm Electronic Component Comprising a Modulator
US7940159B2 (en) 2004-12-10 2011-05-10 Polyic Gmbh & Co. Kg Identification system
US7724550B2 (en) 2004-12-23 2010-05-25 Polyic Gmbh & Co. Kg Organic rectifier
US7843342B2 (en) 2005-03-01 2010-11-30 Polyic Gmbh & Co. Kg Organic clock generator
US20080204069A1 (en) * 2005-03-01 2008-08-28 Polyic Gmbh & Co. Kg Electronic Module With Organic Logic Circuit Elements
US7985366B2 (en) * 2005-03-15 2011-07-26 Inmold Biosystems A/S Transferring materials to polymer surfaces
US20090224431A1 (en) * 2005-03-15 2009-09-10 Inmold Biosystems A/S Transferring materials to polymer surfaces
US7812343B2 (en) 2005-04-15 2010-10-12 Polyic Gmbh & Co. Kg Multilayer composite body having an electronic function
US20080203383A1 (en) * 2005-04-15 2008-08-28 Polyic Gmbh & Co. Kg Multi-Layer Composite Body Having an Electronic Function
US20060284553A1 (en) * 2005-06-16 2006-12-21 Eastman Kodak Company Applying a discontinuous thin layer on a substrate
FR2887160A1 (en) * 2005-06-16 2006-12-22 Eastman Kodak Co Discontinuous layer forming process for e.g. display screen, involves penetrating prominent part of embossing device into continuous layer to push same quantity of thin layer material into each part of formed discontinuous layer
US20090202797A1 (en) * 2005-06-16 2009-08-13 Industrial Technology Research Institute Applying discontinuous thin layer on a substrate
US7940340B2 (en) 2005-07-04 2011-05-10 Polyic Gmbh & Co. Kg Multilayer body with electrically controllable optically active systems of layers
US20070026554A1 (en) * 2005-07-28 2007-02-01 Sony Corporation Semiconductor device and process for producing same
EP1748502A1 (en) * 2005-07-28 2007-01-31 Sony Corporation Semiconductor device and process for producing same
US20090152540A1 (en) * 2005-07-28 2009-06-18 Sony Corporation Semiconductor device and process for producing same
US7718465B2 (en) * 2005-07-28 2010-05-18 Sony Corporation Semiconductor device and process for producing same
US7846838B2 (en) 2005-07-29 2010-12-07 Polyic Gmbh & Co. Kg Method for producing an electronic component
US20090108253A1 (en) * 2005-07-29 2009-04-30 Andreas Ullmann Electronic component
US20080237584A1 (en) * 2005-09-06 2008-10-02 Polylc Gmbh & Co. Kg Organic Component and Electric Circuit Comprising Said Component
US8315061B2 (en) 2005-09-16 2012-11-20 Polyic Gmbh & Co. Kg Electronic circuit with elongated strip layer and method for the manufacture of the same
US20100214748A1 (en) * 2005-09-16 2010-08-26 Polyic Gmbh & Co. Kg Metal roof truss connector assembly
EP1780815A3 (en) * 2005-10-31 2008-02-27 Fuji Electric Holdings Co., Ltd. Organic thin film transistor and manufacturing method thereof
EP1780815A2 (en) * 2005-10-31 2007-05-02 Fuji Electric Holdings Co., Ltd. Organic thin film transistor and manufacturing method thereof
US20070131927A1 (en) * 2005-10-31 2007-06-14 Fuji Electric Holdings Co., Ltd. Thin film transistor and manufacturing method thereof
US7498183B2 (en) * 2006-05-18 2009-03-03 Southwall Technologies, Inc. Fabrication of conductive micro traces using a deform and selective removal process
US20070269935A1 (en) * 2006-05-18 2007-11-22 Rajiv Pethe Fabrication of conductive micro traces using a deform and selective removal process
US7393699B2 (en) 2006-06-12 2008-07-01 Tran Bao Q NANO-electronics
US20100156006A1 (en) * 2006-07-21 2010-06-24 Hideaki Kataho Method and apparatus for imprinting energy ray-setting resin, and discs and semiconductor devices with imprinted resin layer
US7964135B2 (en) * 2006-07-21 2011-06-21 Hitachi High-Technologies Corporation Method and apparatus for imprinting energy ray-setting resin, and discs and semiconductor devices with imprinted resin layer
US20080024752A1 (en) * 2006-07-31 2008-01-31 Hou Tee Ng Contact printing oxide-based electrically active micro-features
US8029852B2 (en) 2006-07-31 2011-10-04 Hewlett-Packard Development Company, L.P. Contact printing oxide-based electrically active micro-features
US20080083484A1 (en) * 2006-09-28 2008-04-10 Graciela Beatriz Blanchet Method to form a pattern of functional material on a substrate
US8371215B2 (en) 2006-10-31 2013-02-12 Modilis Holdings Llc Method and arrangement for manufacturing optical products with complex three-dimensional forms
EP2079574B1 (en) * 2006-10-31 2017-03-08 Modilis Holdings LLC Method and arrangement for manufacturing optical products with complex three-dimensional forms
US20080196607A1 (en) * 2006-10-31 2008-08-21 Oy Modines Ltd Method and arrangement for manufacturing optical products with complex three-dimensional forms
US8608972B2 (en) 2006-12-05 2013-12-17 Nano Terra Inc. Method for patterning a surface
US20090302001A1 (en) * 2006-12-05 2009-12-10 Nano Terra Inc. Method for Patterning a Surface
US20080152835A1 (en) * 2006-12-05 2008-06-26 Nano Terra Inc. Method for Patterning a Surface
WO2008133515A2 (en) * 2007-04-25 2008-11-06 Polymer Vision Limited Fabrication of planar electronic circuit devices
WO2008133515A3 (en) * 2007-04-25 2009-01-15 Polymer Vision Ltd Fabrication of planar electronic circuit devices
US20130052762A1 (en) * 2009-10-21 2013-02-28 Xiuling Li Method of forming an array of high aspect ratio semiconductor nanostructures
US8980656B2 (en) * 2009-10-21 2015-03-17 The Board Of Trustees Of The University Of Illinois Method of forming an array of high aspect ratio semiconductor nanostructures
US8338283B1 (en) * 2011-12-07 2012-12-25 Innovative Micro Technology Method and apparatus for applying thin liquid coatings
CN107112210A (en) * 2014-12-22 2017-08-29 富士胶片株式会社 Imprint mold
WO2018170474A1 (en) * 2017-03-17 2018-09-20 University Of Massachusetts Direct printing of 3-d microbatteries and electrodes
EP3596764A4 (en) * 2017-03-17 2020-04-15 University Of Massachusetts Direct printing of 3-d microbatteries and electrodes
US11387438B2 (en) * 2017-03-17 2022-07-12 University Of Massachusetts Direct printing of 3-d microbatteries and electrodes
US11397381B2 (en) * 2019-05-17 2022-07-26 Georgia Tech Research Corporation Multiscale all-soft electronic devices and circuits based on liquid metal

Also Published As

Publication number Publication date
EP1232419A1 (en) 2002-08-21
JP2003509228A (en) 2003-03-11
WO2001020402A1 (en) 2001-03-22
US6517995B1 (en) 2003-02-11
KR20020073329A (en) 2002-09-23
AU7372800A (en) 2001-04-17

Similar Documents

Publication Publication Date Title
US6517995B1 (en) Fabrication of finely featured devices by liquid embossing
Guo Nanoimprint lithography: methods and material requirements
Brittain et al. Soft lithography and microfabrication
US9341944B2 (en) Imprint lithography
JP3469204B2 (en) Method for patterning a polymer film and use of the method
US7803712B2 (en) Multilevel imprint lithography
EP1580596B1 (en) Method of forming ordered patterns of nanoscale objects
US6180239B1 (en) Microcontact printing on surfaces and derivative articles
KR100870250B1 (en) A method for forming an electronic device, an electronic device formed thereby, a logic circuit, display and memory including the electronic device, and microcutting tool for use in forming the electronic device
EP0812434B1 (en) Microcontact printing on surfaces and derivative articles
US8852494B2 (en) Method and apparatus of electrical field assisted imprinting
Rogers et al. Unconventional nanopatterning techniques and applications
US8603381B2 (en) Nanotemplate arbitrary-imprint lithography
EP1688790B1 (en) Imprint lithography
US8828871B2 (en) Method for forming pattern and mask pattern, and method for manufacturing semiconductor device
CN102119363B (en) For the high-aspect-ratio template of photoetching, the method making same template and the application of this template in nanoscale substrate perforation
US9645489B2 (en) Imprint lithography
JP2005217402A (en) Memory devices of nanometer scale using rectifying device automatically aligned and preparing method of same
WO1996029629A9 (en) Microcontact printing on surfaces and derivative articles
CN102279517A (en) Nano-imprinting method
Bulthaup et al. All-additive fabrication of inorganic logic elements by liquid embossing
Qiu et al. Recent progress in non-photolithographic patterning of polymer thin films
US20060103694A1 (en) CNT print head array
Hu et al. Fabrication of arrays of Schottky diodes using microtransfer molding
US7128559B1 (en) Programmable imprint lithography template

Legal Events

Date Code Title Description
AS Assignment

Owner name: MASSACHUSETTS INSTITUTE OF TECHNOLOGY, MASSACHUSET

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:JACOBSEN, JOSEPH M.;BULTHAUP, COLIN A.;WILHELM, ERIC J.;AND OTHERS;REEL/FRAME:013928/0660

Effective date: 20000313

AS Assignment

Owner name: MASSACHUSETTS INSTITUTE OF TECHNOLOGY, MASSACHUSET

Free format text: CORRECTS THE SPELLING OF ASIGNOR NAME PREVIOUSLY RECORDED ON APRIL 8, 2003 REEL 013928 FRAME 0660.;ASSIGNORS:JACOBSON, JOSEPH M.;BULTHAUP, COLIN A.;WILHELM, ERIC J.;AND OTHERS;REEL/FRAME:014204/0538;SIGNING DATES FROM 20000312 TO 20000313

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION