US20040020781A1 - Electro-chemical deposition cell for face-up processing of single semiconductor substrates - Google Patents

Electro-chemical deposition cell for face-up processing of single semiconductor substrates Download PDF

Info

Publication number
US20040020781A1
US20040020781A1 US10/630,185 US63018503A US2004020781A1 US 20040020781 A1 US20040020781 A1 US 20040020781A1 US 63018503 A US63018503 A US 63018503A US 2004020781 A1 US2004020781 A1 US 2004020781A1
Authority
US
United States
Prior art keywords
substrate
plating surface
electroplating solution
anode
support member
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/630,185
Inventor
Yezdi Dordi
Joe Stevens
Roy Edwards
Robert Lowrance
Michael Sugarman
Mark Denome
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Neubrex Co Ltd
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US10/630,185 priority Critical patent/US20040020781A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: DORDI, YEZDI, STEVENS, JOSEPH, SUGARMAN, MICHAEL, DENOME, MARK, LOWRANCE, ROBERT B., EDWARDS, ROY
Publication of US20040020781A1 publication Critical patent/US20040020781A1/en
Priority to US11/090,919 priority patent/US20050199489A1/en
Assigned to NEUBREX CO., LTD. reassignment NEUBREX CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KISHIDA, KINZO, LI, TEKKEN, LIN, SHINBIN
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/004Sealing devices
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/005Contacting devices
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/06Suspending or supporting devices for articles to be coated
    • C25D17/08Supporting racks, i.e. not for suspending
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/16Regeneration of process solutions
    • C25D21/18Regeneration of process solutions of electrolytes
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/20Electroplating using ultrasonics, vibrations
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Definitions

  • the present invention generally relates to deposition of a metal layer onto a substrate. More particularly, the present invention relates to electroplating a metal layer onto a substrate.
  • Sub-quarter micron multi-level metallization is one of the key technologies for the next generation of ultra large scale integration (ULSI).
  • the multilevel interconnects that lie at the heart of this technology require planarization of interconnect features formed in high aspect ratio apertures, including contacts, vias, lines and other features. Reliable formation of these interconnect features is very important to the success of ULSI and to the continued effort to increase circuit density and quality on individual substrates and die.
  • Elemental aluminum (Al) and its alloys have been the traditional metals used to form lines and plugs in semiconductor processing because of aluminum's perceived low electrical resistivity, its superior adhesion to silicon dioxide (SiO2), its ease of patterning, and the ability to obtain it in a highly pure form.
  • Al has a higher electrical resistivity than other more conductive metals such as copper, and aluminum also can suffer from electromigration phenomena. Electromigration is believed to be the motion of ions of a metal conductor in response to the passage of high current through it, and it is a phenomenon that occurs in a metal circuit while the circuit is in operation, as opposed to a failure occurring during fabrication. Electromigration can lead to the formation of voids in the conductor.
  • a void may accumulate and/or grow to a size where the immediate cross-section of the conductor is insufficient to support the quantity of current passing through the conductor, leading to an open circuit.
  • the area of conductor available to conduct heat therealong likewise decreases where the void forms, increasing the risk of conductor failure.
  • This problem is sometimes overcome by doping aluminum with copper and with tight texture or crystalline structure control of the material.
  • electromigration in aluminum becomes increasingly problematic as the current density increases.
  • Copper and its alloys have lower resistivities than aluminum and significantly higher electromigration resistance as compared to aluminum. These characteristics are important for supporting the higher current densities experienced at high levels of integration and increase device speed. Copper also has good thermal conductivity and is available in a highly pure state. Therefore, copper is becoming a choice metal for filling sub-quarter micron, high aspect ratio interconnect features on semiconductor substrates.
  • FIG. 1 is a cross sectional view of a simplified fountain plater.
  • the fountain plater 10 includes an electrolyte container 12 having a top opening, a substrate holder 14 disposed above the electrolyte container 12 , an anode 16 disposed at a bottom portion of the electrolyte container 12 and a cathode 20 contacting the substrate 18 .
  • the cathode 20 comprises a plurality of contact pins distributed about the peripheral portion of the substrate 18 to provide a bias about the perimeter of the substrate.
  • the contact pins generally provide a higher current density near the contact points on the substrate surface, resulting in a non-uniform deposition on the substrate surface.
  • the semiconductor substrate 18 is positioned a fixed distance above the cylindrical electrolyte container 12 , and the electrolyte impinges perpendicularly on the substrate plating surface. Because of the dispersion effects of the electrical current at the exposed edges of the substrate 18 and the non-uniform flow of the electrolyte, the fountain plater 10 provides non-uniform current distribution, particularly at the region near the edges and at the center of the substrate 18 that results in non-uniform plating of the metal.
  • the electrolyte flow uniformity at the center of the substrate 18 can be improved by rotating the substrate 18 . However, the plating uniformity still deteriorates as the boundaries or edges of the substrate are approached.
  • the fountain plater 10 presents additional difficulties in substrate transfers because the substrate has to be flipped for face-down plating.
  • substrates are transferred by robots having robot blades with a substrate supporting surface, and the substrates are transferred with the surface to be processed face-up.
  • the robot blade does not contact the surface to be processed to eliminate risk of damaging the substrate surface.
  • additional devices are required to flip the substrate from a face-up transferring position to a face-down processing position.
  • the invention generally provides an apparatus and a method for electro-chemically depositing a uniform metal layer onto a substrate. More specifically, the invention provides an electro-chemical deposition cell for face-up processing of semiconductor substrates comprising a substrate support member, a cathode connected to the substrate plating surface, an anode disposed above the substrate support member and an electroplating solution inlet supplying an electroplating solution fluidly connecting the anode and the substrate plating surface.
  • the anode comprises a consumable metal source disposed in a liquid permeable structure, and the anode and a cavity ring define a cavity for holding and distributing the electroplating solution to the substrate plating surface.
  • the invention also provides a substrate support member for face-up electro-plating.
  • the substrate support member comprises a vacuum chuck having vacuum ports disposed on the substrate supporting surface that serves to provide suction during processing and to provide a blow-off gas flow to prevent backside contamination during substrate transfers.
  • the substrate support member also rotates and vibrates during processing to enhance the electrodeposition onto the substrate plating surface.
  • Another aspect of the invention provides a dual catch-cup system comprising an electroplating solution catch-cup and a rinse catch-cup.
  • the dual catch-cup system provides separation of the electroplating solution and the rinse solutions during processing and provides re-circulating systems for the different solutions of the electroplating system.
  • the invention also provides an apparatus for delivering electrical power to a substrate surface comprising an annular ring electrically connected to a power supply, the annular ring having a contact portion to electrically contact a peripheral portion of the substrate surface.
  • the contact portion comprises annular surface, such as a metal impregnated elastomer ring, to provide continuous or substantially continuous electrical contact with the peripheral portion of the substrate.
  • the invention provides a uniform distribution of power to a substrate deposition surface by providing a uniform current density across the substrate deposition surface through the continuous annular contact portion.
  • the invention also prevents process solution contamination of the backside of the substrate by providing a seal between the contact portion of the annular ring and the substrate deposition surface.
  • a substrate holder having a substrate support surface and an annular ring electrically connected to a power supply, the annular ring having a contact portion to electrically contact a peripheral portion of the substrate surface.
  • the substrate holder is preferably connected to one or more actuators that provide rotational movement and/or vibrational agitation to the substrate holder during processing to enhance deposition uniformity.
  • the substrate holder comprises a vacuum chuck having a substrate supporting surface, and an O-ring is disposed around a substrate supporting surface to seal the backside of the substrate from contamination by the processing solutions.
  • FIG. 1 is a cross sectional view of a simplified fountain plater.
  • FIG. 2 is a partial cut-away perspective view of an electro-chemical deposition cell showing the interior components of the electro-chemical deposition cell.
  • FIG. 3 is a cross sectional schematic view of an electro-chemical deposition cell 200 showing a robot blade transferring a substrate 202 into the electro-chemical deposition cell 200 .
  • FIG. 4 is a cross sectional schematic view of an electro-chemical deposition cell 200 having a substrate 202 disposed on a substrate support member 204 in a processing position according to the invention.
  • FIG. 5 is a cross sectional view of a substrate support member 204 in a transferring position having a substrate disposed on elevated lift pins.
  • FIG. 6 is a cross sectional view of an alternative embodiment of the substrate support member 204 showing two separate fluid conduits and dual level lip seals.
  • FIG. 7 is a bottom perspective view of a cathode clamp ring having an alternative embodiment of the contact portion comprising a plurality of contact pads.
  • FIG. 8 is a partial cross sectional schematic view of another embodiment of a cathode clamp ring.
  • FIG. 9 is a cross sectional partial view of a cathode clamp ring showing another embodiment of a contact portion of the clamp ring.
  • FIG. 10 is a see-through perspective of a section of an embodiment of a metal impregnated elastomer ring 350 .
  • FIG. 11 is a top view of an electroplating solution catch cup 246 .
  • FIG. 12 is a cross sectional schematic view of an electro-chemical deposition cell 200 showing one embodiment of the anode/cavity ring assembly for drip control where a substrate support member 204 is shown positioned in a rinsing position according to the invention.
  • FIG. 13 is a top view of a shutter plate 238 positioned above cathode clamp ring 210 , showing an alternative solution for controlling the dripping of residual electroplating solutions from the anode/cavity ring assembly.
  • FIG. 14 is a side view of an electro-chemical deposition cell having a sub-chamber for the anode/cavity ring assembly.
  • FIG. 15 is a bottom view of an electroplating solution catch cup 246 showing three rinse spouts 260 disposed on a bottom surface of the electroplating solution catch cup 246 .
  • FIG. 16 is a top view of a rinse catch cup 264 .
  • the invention generally provides an electro-chemical deposition cell wherein a substrate is positioned with a deposition surface “face up.” An electroplating solution is pumped through a top portion of the cell over the exposed substrate deposition surface and collected in a peripheral catch cup drain about the perimeter of the substrate. Additionally, the cell includes means for in situ cleaning and/or rinsing of the electro-chemically deposited substrate.
  • FIG. 2 is a partial cut-away perspective view of an electro-chemical deposition cell showing the interior components of the electro-chemical deposition cell.
  • the electro-chemical deposition cell 200 comprises a substrate support member 204 , a cathode clamp ring 210 , an anode plate 230 above the cathode clamp ring 210 and an electroplating solution inlet 240 supplying an electroplating solution into the electro-chemical deposition cell 200 above the substrate or in the flow direction of the substrate surface to be plated.
  • the electro-chemical deposition cell 200 includes a cell enclosure 100 comprising an enclosure lid 102 , an enclosure side wall 104 and an enclosure bottom 106 .
  • the enclosure 100 has a cylindrical interior and is made of an electrically insulative material.
  • the enclosure side wall 104 includes a slit opening 280 for transfer of substrates into and out of the electro-chemical deposition cell 200 , and a slit valve 282 disposed on an outer surface of the enclosure side wall 104 opens only during the substrate transfer operation and covers the slit opening 280 during processing to provide a sealed processing environment.
  • a drip awning 284 is preferably disposed above the slit opening 280 , extending inwardly from an inner surface of the enclosure side wall 104 , to guard the opening 280 from direct receipt of the electroplating solution and thus prevent a processing solution from leaking out of the cell through the slit opening 280 .
  • the anode plate 230 is disposed within a cavity ring 236 at a top portion of the electro-chemical deposition cell 200 .
  • the anode plate 230 is electrically connected to a power supply 90 .
  • the substrate support member 204 is disposed at a bottom portion of the electro-chemical deposition cell 200 .
  • the cathode clamp ring 210 preferably supported by an annular electroplating solution catch cup 246 , is disposed in a middle portion of the electro-chemical deposition cell 200 between the substrate support member 204 and the anode plate 230 .
  • the cathode clamp ring 210 is positioned in the electro-chemical deposition cell 200 such that the movement of the substrate support member 204 from the load/transfer position (FIG. 3) to the processing position (FIG. 4) lifts the cathode clamp ring 210 slightly off the annular electroplating solution catch cup 246 .
  • an electroplating solution pump 92 which is connected to the electroplating solution inlet 240 , pumps the electroplating solution from an electroplating solution reservoir 94 into the electro-chemical deposition cell 200 .
  • an electroplating solution outlet 258 is connected to an electroplating solution drain 244 on the electroplating solution catch cup 246 to return the electroplating solution back to the electroplating solution reservoir 94 to be re-circulated through the electro-chemical deposition cell 200 .
  • FIG. 3 is a cross sectional schematic view of an electro-chemical deposition cell 200 showing a robot blade 88 transferring a substrate 202 into the electro-chemical deposition cell 200
  • FIG. 5 is a cross sectional schematic view of a substrate support member 204 in a transferring position according to the invention.
  • FIGS. 2A and 3A the sequence for loading and unloading a substrate may be seen.
  • a robot blade 88 transfers a substrate 202 into the electro-chemical deposition cell 200 through the slit opening 280 and positions the substrate 202 above the substrate support member 204 .
  • the substrate support member 204 is retracted fully to a bottom portion of the electro-chemical deposition cell 200 . Then, as shown in FIG. 5, a plurality of lift pins 322 extend through vertical bores 324 in the substrate support member 204 and lift the substrate 202 above the robot blade 88 . The robot blade 88 then retracts out of the chamber, and the slit valve 282 closes the slit opening 280 .
  • the substrate support member 204 comprises a vacuum chuck 290 made of an insulating material and a conductive base plate 292 providing a cathode connection to the cathode clamp ring 210 .
  • the vacuum chuck 290 secures a substrate 202 onto a substrate supporting surface 206 on the substrate support member 204 during processing.
  • one or more vacuum ports 294 are disposed in the substrate support member 204 and are connected to one or more vacuum channels 296 disposed on the substrate supporting surface 206 to secure the substrate 202 through vacuum suction.
  • the vacuum channels 296 are generally disposed evenly across the surface of the substrate member in a web-like fashion (as shown in FIG. 2).
  • An outer seal 298 comprising an O-ring, or alternatively, a double O-ring, disposed in a recess 300 surrounding the substrate supporting surface 206 is provided to create a vacuum seal between a backside 215 of the substrate 202 and the substrate supporting surface 206 when the vacuum chuck 290 is activated.
  • the outer seal 298 also provides a seal against substrate backside contamination by the electroplating solution and other processing solutions. Eliminating the substrate backside contamination eliminates the need for a post deposition backside cleaning process, thus reducing system cost and complexity.
  • a vacuum conduit 302 within the vacuum chuck 290 connects the vacuum ports 294 and vacuum channels 296 to a central vacuum conduit 304 within a rotating shaft 306 .
  • the rotating shaft 306 extends through a shaft sleeve 308 and is connected to a rotary actuator 310 disposed on a platform 342 .
  • the shaft sleeve 308 is also disposed on the platform 342 to maintain a fixed vertical relationship with the rotating shaft 306 .
  • a set of lip seals 314 disposed between the rotating shaft 306 and the shaft sleeve 308 allows free rotational movement of the rotating shaft 306 within the shaft sleeve 308 while providing a sealed region 316 between an outer surface of the rotating shaft 306 and an inner surface of the shaft sleeve 308 .
  • the central vacuum conduit 304 includes an opening 312 fluidly connecting the central vacuum conduit 304 and the sealed region 316 .
  • a vacuum outlet 318 extends through the shaft sleeve 308 and fluidly connects to the sealed region 316 .
  • a vacuum pump 360 is connected to the vacuum outlet 318 to provide a vacuum suction through the vacuum outlet 318 , the sealed region 316 , the opening 312 , the central vacuum conduit 304 , the vacuum conduit 302 , the vacuum ports 294 and the vacuum channels 296 to hold the substrate 202 on the substrate support surface 206 .
  • a gas pump 370 connected to a gas supply 372 is selectively connected through a control valve 374 to the vacuum outlet 318 to supply a blow off gas to the vacuum ports 294 .
  • the blow off gas prevents leftover rinsing agent from contaminating the backside of the processed substrate when the substrate is lifted above the substrate support member 204 and transferred out of the electro-chemical deposition cell 200 .
  • the control valve 374 shuts the connection to the vacuum pump 360 when the gas pump 370 is activated to pump the blow-off gas to the vacuum ports 294 , and the control valve 274 shuts the connection to the gas supply 372 and the gas pump 370 when the vacuum pump 360 is activated to hold the substrate 202 on the support member 204 .
  • the vacuum ports 294 direct the blow off gas toward the backside edge of the substrate 202 to prevent any leftover rinsing agent from reaching the backside 215 of the substrate 202 .
  • FIG. 6 is a cross sectional view of an alternative embodiment of the substrate support member 204 showing two separate fluid conduits and dual level lip seals.
  • the fluid delivery system may be adapted to accommodate a number of separate fluids by increasing the number of fluid conduits and lips seals.
  • the embodiment as shown in FIG. 6 provides a substrate support member 204 capable of rotating while delivering two separate fluids through separate fluid conduits to the substrate support surface 206 .
  • the first central fluid conduit 404 A includes a first opening 412 A fluidly connecting the first central fluid conduit 404 A and a first sealed region 416 A sealed by a first set of lip seals 414 A.
  • a first fluid inlet 418 A extends through the shaft sleeve 308 and fluidly connects to the first seal region 416 A.
  • a first fluid supply 420 A is connected to the first fluid inlet 418 A through a first pump 422 A.
  • the second central fluid conduit 404 B includes a second opening 412 B fluidly connecting the second central fluid conduit 404 B and a second sealed region 416 B sealed by a second set of lip seals 414 B.
  • a second fluid inlet 418 B extends through the shaft sleeve 308 and fluidly connects to the second seal region 416 B.
  • a second fluid supply 420 B is connected to the second fluid inlet 418 B through a second pump 422 B.
  • the sets of lip seals 414 A are provided.
  • the rotating shaft 306 and the shaft sleeve 308 allows free rotational movement of the rotating shaft 306 within the shaft sleeve 308 while providing the sealed regions 416 A, 416 B between an outer surface of the rotating shaft 306 and an inner surface of the shaft sleeve 308 .
  • two separate fluids can be simultaneously delivered to the substrate supporting surface 214 while the substrate support member 204 is rotated.
  • one of the pumps 422 A and 422 B is substituted with a vacuum pump to provide separate routes of vacuum suction and gas delivery to the substrate supporting surface 214 .
  • both of the gas pumps 422 A and 422 B may be substituted with two vacuum pumps to provide differential vacuum regions at the substrate supporting surface 214 .
  • each sealed region described above preferably uses one set of lip seals (i.e., two lip seals), a subsequent sealed region (i.e., other than the first sealed region) requires only one additional lip seal.
  • a subsequent sealed region i.e., other than the first sealed region
  • three lip seals can create two sealed regions, one between the first lip seal and the second lip seal and another between the second lip seal and the third lip seal.
  • the rotating shaft 306 extends through a lift pin platform 320 having a plurality of lift pins 322 disposed thereon.
  • the lift pins 322 preferably a set of four, extend through bores 324 through the substrate support member 204 to lift a substrate 202 above the substrate support surface 206 .
  • a lift platform actuator 326 moves the lift pin platform 320 vertically to lift and lower a substrate 202 for transfer into and out of the electro-chemical deposition cell 200 .
  • the lift platform actuator 326 is disposed on an outer surface of the shaft sleeve 308 and includes a push rod 327 to actuate movement of the lift pin platform 320 .
  • the lift platform actuator 326 extends the push rod 327 to contact a bottom surface of the lift pin platform 320 and push the lift pin platform 320 upwards. To lower the lift pin platform 320 , the lift platform actuator 326 retracts the push rod 327 to disengage the lift pin platform 320 . When the push rod 327 of the lift platform actuator 326 is fully retracted, the push rod 327 does not contact the lift pin platform 320 , and the lift pin platform 320 rests on a platform ridge 329 extending from an outer surface of the rotating shaft 306 above the shaft sleeve 308 .
  • One or more vertical tabs 328 extend from an upper portion of the outer surface of the rotating shaft 306 into one or more matching vertical grooves 330 in the lift pin platform 320 so that the lift pin platform 320 rotates in unison with the rotating shaft 306 .
  • the tabs 328 also guide the lift pin platform 320 vertically when the lift pin platform is being moved by the lift platform actuator 326 .
  • a flexible bellow 332 preferably made of polyethylene, is disposed around each lift pin 322 to provide a splash seal against electroplating solutions, rinsing solutions and other process chemicals.
  • the flexible bellow 332 is attached from a top surface of the lift pin platform 320 to a bottom surface of the conductive base plate 292 of the substrate support member 204 .
  • the flexible bellow 332 compresses when the lift pin platform 320 is elevated by the lift platform actuator 326 and stretches when the lift pin platform 320 is resting on the platform ridge 329 .
  • Each flexible bellow 332 also maintains a seal when subjected to a slight side load, such as when the substrate support member rotationally accelerates or decelerates.
  • a splash guard 333 is attached to an outer portion of a lower surface of the lift pin platform 320 .
  • the splash guard 333 includes a cylindrical downward extension 334 that is disposed radially outward of an upwardly extending inner container wall 336 .
  • the inner container wall 336 is a cylindrical upward extension from the enclosure bottom 106 of the electro-chemical deposition cell 200 that holds the process solutions to be pumped out of the system through the outlet 259 .
  • the splash guard 334 and the inner container wall 336 create a sufficient overlap so that when the lift pin platform 320 is raised to it highest position during processing, there is still an overlap between the tip of the splash guard 334 and the tip of the inner container wall 336 (as shown in FIG. 4).
  • a rotary actuator 310 is disposed on a platform 342 and connected to the rotating shaft 306 .
  • the rotary actuator 310 rotates the rotating shaft 306 freely within the shaft sleeve 308 .
  • an actuator 346 extends and retracts a shaft 344 connected to the platform 342 .
  • the actuator 346 is disposed outside of the enclosure 100 on the enclosure bottom 106 , and the shaft 344 extends through the enclosure bottom 106 and is attached to a bottom surface of the platform 342 .
  • the shaft sleeve 308 is also disposed on the platform 342 .
  • the actuator 346 also provides a vibrational agitation to the substrate support member 204 to enhance deposition onto the substrate deposition surface 214 .
  • a vibrator (not shown) can be attached to the substrate support member 204 to provide the vibrational agitation.
  • the catch cup 246 is an annular structure extending inwardly from the enclosure side wall 104 of the electro-chemical deposition cell 200 to a bottom surface 220 of the cathode clamp ring 210 .
  • the cathode clamp ring 210 preferably includes an outer portion having a downwardly sloping surface 256 that overlaps an inner terminus 250 of the catch cup 246 to assist the electroplating solution flow into the catch cup 246 .
  • the inner terminus 250 includes a ridge 252 corresponding to a recess 254 on the bottom surface 220 of the cathode clamp ring 210 .
  • the ridge 252 supports the cathode clamp ring 210 when the substrate support member 204 is not engaged in a deposition position.
  • the cathode clamp ring 210 is lifted from the ridge 252 and is supported on the substrate deposition surface 214 .
  • the electrical power is delivered by the cathode clamp ring 210 to the substrate deposition surface 214 through a contact portion 208 of the cathode clamp ring 210 .
  • one or more cathode contacts 216 are fixedly secured to a bottom surface 218 of the conductive base plate 292 of the substrate support member 204 and extends radially outwardly to electrically contact a bottom surface 220 of the cathode clamp ring 210 .
  • the electrical power is conducted through the rotating shaft 306 to the conductive base plate 292 , then through one or more cathode contacts 216 secured onto the conductive base plate 292 , and then to a bottom surface 220 of the cathode clamp ring 210 .
  • the cathode contact 216 comprises a spring loaded metal strip that maintains constant electrical contact with the bottom surface 220 of the cathode clamp ring 210 during processing when the substrate support member 204 is rotated and/or vibrated.
  • the cathode clamp ring 210 is fixedly connected to the power supply through connection wires (not shown).
  • a rotating cathode connection 340 is disposed at a top portion of the shaft sleeve 308 and connected to the power source 90 .
  • the rotating shaft 306 preferably comprises an electrically conductive material, and the rotating cathode connection 340 movably contacts the outer surface of the rotating shaft 306 to maintain electrical conduction to the rotating shaft 306 while the rotating shaft 306 is rotating.
  • the rotating cathode connection 340 preferably comprises a plurality of conductive ball bearings 341 disposed between a pair of ring seals 343 .
  • the rotating cathode connection 340 is filled with mercury to enhance the electrical conductivity of the rotating cathode connection 340 while the rotating shaft 306 is rotated.
  • the cathode clamp ring 210 comprises an annular conductive member having a central opening defining the deposition area on a substrate deposition surface that is exposed to the electroplating solution during processing.
  • the cathode clamp ring 210 is electrically connected to the power source 90 through the cathode contacts 216 and the substrate support member 204 and includes a contact portion 208 to electrically contact the substrate deposition surface 214 and to provide an electrical power (voltage and current) to the substrate deposition surface 214 to enable the electro-chemical deposition process.
  • the contact portion 208 preferably extends a minimal radial distance inward above a perimeter edge 212 of the substrate 202 , but a distance sufficient to electrically contact a metal seed layer on the substrate deposition surface 214 .
  • the contact portion 208 includes an annular surface providing a continuous contact around a peripheral portion of the substrate deposition surface 214 .
  • the electrical power is uniformly distributed on the substrate deposition surface 214 .
  • the increase in the electrical interface, as compared to an individual contact finger arrangement, also minimizes the fringing effect that occurs with individual cathode contact pins that cause non-uniform deposition.
  • the contact portion 208 comprises a plurality of contact pads 217 (as shown in FIG. 7) positioned to contact substantially around the peripheral portion of the substrate deposition surface 214 .
  • cathode clamp ring 210 While the cathode clamp ring 210 is engaged with the substrate 202 , cathode clamp ring 210 rotates with the substrate support member 204 because of the frictional force between the contact portion 208 and the substrate deposition surface 214 .
  • the cathode clamp ring 210 includes a plurality of locking grooves (not shown) disposed on the bottom surface 220 to receive the cathode contacts 216 . With the cathode contacts 216 engaged in the locking grooves, the cathode clamp ring 210 rotates synchronously with the substrate support member 204 without depending on the frictional force between the contact portion 208 and the substrate deposition surface 214 .
  • FIG. 8 is a partial cross sectional schematic view of another embodiment of a cathode clamp ring.
  • the cathode clamp ring 210 includes a contact portion 208 comprising a metal impregnated elastomer ring 350 electrically contacting a peripheral portion of the substrate deposition surface 214 .
  • the metal impregnated elastomer ring 350 is disposed on a ridge 351 on a stepped surface 209 of the cathode clamp ring 210 .
  • the metal impregnated elastomer ring 350 is secured to the stepped surface 209 of the cathode clamp ring 210 by an adhesive that is unaffected by the electroplating solution and process.
  • the metal impregnated elastomer ring 350 is secured to the stepped surface 209 of the cathode clamp ring 210 by a fastener (not shown) such as a screw or a bolt.
  • a fastener such as a screw or a bolt.
  • the cathode clamp ring 210 includes an annular dove-tail groove (not shown) disposed on the stepped surface 209 that squeezes and holds the metal impregnated elastomer ring 350 .
  • the metal impregnated elastomer ring 350 provides electrical conduction through metal particles or short wires disposed in a hydrophobic elastomer matrix.
  • FIG. 9 is a cut-away perspective of a section of an embodiment of a metal impregnated elastomer ring 350 .
  • the metal impregnated elastomer ring 350 generally comprises an outer elastomer ring 352 , an inner elastomer ring 354 and a metal ring 356 sandwiched between the inner elastomer ring 352 and the outer elastomer ring 354 .
  • the metal ring 356 comprises a plurality of individual metal wires 358 extending at a slanted angle a (other than perpendicular to a top and/or a bottom surface of the elastomer ring 350 ) from a top surface of the elastomer ring 350 to a bottom surface of the elastomer ring 350 .
  • the metal wires 358 conduct electrical power from the cathode clamp ring 210 to the substrate deposition surface 214 .
  • a top end 357 of the metal wires 358 contacts the cathode clamp ring 210
  • a bottom end 359 of the metal wires 358 contacts the substrate deposition surface 214 .
  • the slanted angle a of the metal wires 358 enhances the ability of the metal impregnated elastomer ring 350 to compress and form a seal on the substrate deposition surface 214 while providing electrical contact to the substrate deposition surface 214 , i.e., by the individual metal wires sliding relative to each other and increasing the angle a as needed.
  • One exemplary metal impregnated elastomer ring is available from Shin-Etsu Handotai America, Inc., Vancouver, Wash.
  • the metal impregnated elastomer ring 350 provides a compliant contacting interface with the substrate deposition surface 214 that reduces the risk of scratching the substrate deposition surface 214 by the contact portion 208 of the cathode clamp ring 210 .
  • the metal impregnated elastomer ring 350 also seals the contact interface from the process solutions so that the metal conductors in the elastomer matrix are not exposed to the processing solutions which can change the properties of the metal conductors.
  • the invention contemplates other embodiments of metal impregnated elastomers having different arrangements of electrically conductive particles within the elastomer matrix for use as the contact portion 208 of the cathode clamp ring 210 .
  • FIG. 10 is a cross sectional partial view of a cathode clamp ring showing another embodiment of a contact portion of the clamp ring.
  • the contact portion 208 of the cathode clamp ring 210 comprises an annular downward extension of the conductive metal from a bottom surface 209 of the cathode clamp ring 210 .
  • the annular down ward extension is preferably a wedge-shaped annular ring.
  • An inner concentric O-ring 211 and an outer concentric O-ring 213 are attached to the bottom surface 209 of the cathode clamp ring 210 surrounding the contact portion 208 .
  • the O-rings 211 and 213 provide a sealed environment for the contact portion 208 during the electro-chemical deposition process while the contact portion 208 conducts electrical power to the substrate deposition surface 214 .
  • an alternative embodiment of a support for the cathode clamp ring 210 utilizes a kinematic coupling between the cathode clamp ring 210 and the inner terminus 250 of the catch cup 246 .
  • Utilizing kinematic coupling allows positive location of concentric parts such as the cathode clamp ring 210 in relation with the electroplating solution catch cup 246 .
  • the kinematic coupling generally comprises a plurality of ball bearings 361 (only one shown) disposed partially in a plurality of seats 363 on a top surface of the inner terminus 250 and a corresponding groove 362 on a bottom surface of the cathode clamp ring 210 to receive a top portion of the ball bearing 361 .
  • the kinematic coupling uses three ball bearings 361 to center the cathode clamp ring 210 .
  • One ball bearing locates the radial position while the other two ball bearings locate the angular position of the clamp ring 210 .
  • FIG. 11 where a top view of an electroplating solution catch cup 246 is shown, preferably two electroplating solution drains 244 are disposed diametrically in opposing corners of the electro-chemical deposition cell 200 .
  • the electroplating solution catch cup 246 is disposed in a middle portion of the electro-chemical deposition cell 200 to direct the electroplating solution to one or more electroplating solution drains 244 .
  • the electroplating solution is pumped through the electroplating solution inlet 240 into the cavity 242 , passes through the anode plate 230 onto the substrate deposition surface 214 (see FIG.
  • the catch cup 246 includes a downwardly sloping top surface 248 from an inner terminus 250 to the electroplating solution drain 244 to direct the electroplating solution overflowing the cathode clamp ring 210 to the electroplating solution drain 244 .
  • the size (inner diameter) of the electroplating solution drain 244 and the slope and length of the top surface 248 is adapted to accommodate a particular flow rate so that the electroplating solution does not overflow the catch cup 246 and spill over the ridge 252 .
  • the electroplating solution drain 244 is connected to an electroplating solution outlet 258 that transports the processed electroplating solution to the electroplating solution reservoir 94 .
  • the electroplating solution is then pumped to the electroplating solution inlet 240 and re-circulates through the electro-chemical deposition cell 200 .
  • a cavity ring 236 comprising a generally cylindrical structure is disposed at a top potion of the electro-chemical deposition cell 200 to hold an anode plate 230 and the electroplating solution to be distributed through the anode plate 230 .
  • the anode plate 230 is disposed at a bottom portion of the cavity ring 236 on a ridge 232 extending inwardly from an inner surface 234 of the cavity ring 236 .
  • the inner surface 234 of the cavity ring 236 and the top surface 231 of the anode plate 230 define a cavity 242 for holding the electroplating solution to be distributed through the anode plate 230 .
  • An electroplating solution inlet 240 disposed on the enclosure lid 102 supplies the electroplating solution into the cavity 242 .
  • the electroplating solution inlet 240 is connected to an electroplating solution pump 92 that pumps the electroplating solution from an electroplating solution reservoir 94 .
  • the anode plate 230 has substantially the same shape as the substrate deposition surface 214 and includes a plurality of perforations to distribute the electroplating solution uniformly across the substrate deposition surface 214 .
  • the anode plate 230 is electrically connected to a power source 90 and preferably comprises a consumable metal that can dissolve in the electroplating solution to provide the metal particles to be deposited onto the substrate deposition surface 214 .
  • a power source 90 preferably comprises a consumable metal that can dissolve in the electroplating solution to provide the metal particles to be deposited onto the substrate deposition surface 214 .
  • metal ions dissociate from the surface of the consumable metal anode plate 230 into the electroplating solution.
  • the anode plate 230 comprises an electrode and consumable metal particles encased in a fluid permeable membrane such as a porous ceramic plate.
  • a fluid permeable membrane such as a porous ceramic plate.
  • An alternative to the consumable anode plate is a non-consumable anode plate that is perforated or porous for passage of the electroplating solution therethrough.
  • the electroplating solution requires a metal particle supply to continually replenish the metal particles to be deposited in the process.
  • an agitator 237 is preferably attached to the cavity ring 236 to agitate the electroplating solution.
  • the agitator 237 generally comprises a megasonic or an ultrasonic finger that transfers a vibration to the electroplating solution by vibrating the cavity ring 236 .
  • the electroplating solution is pumped into the cell 200 , and the electroplating solution is drained from the cell 200 through the electroplating solution drains 244 .
  • some electroplating solution may collect on the anode plate 230 and the cavity ring 236 and then drip onto the processed substrate deposition surface 214 .
  • the anode/cavity ring assembly is preferably moved away from the region above the substrate.
  • FIG. 12 shows one embodiment of the anode/cavity ring assembly for drip control where a substrate support member 204 is shown positioned in a rinsing position according to the invention.
  • the assembly of the cavity ring 236 and the anode plate 230 comprises two symmetrical halves split by a central vertical plane.
  • An actuator 237 is connected to each half to pull apart the anode/cavity ring assembly after the deposition phase of the process.
  • Each half of the anode/cavity ring assembly is moved to the region above the electroplating solution catch cup 246 so that the residual electroplating solution drips into the electroplating solution catch cup.
  • FIG. 13 is a top view of a shutter plate 238 positioned above cathode clamp ring 210 , showing an alternative solution for controlling the dripping of residual electroplating solutions from the anode/cavity ring assembly.
  • a shutter plate 238 moves into the region between the anode/cavity ring assembly and the cathode clamp ring 210 to block the dripping residual electroplating solution from contaminating the processed substrate deposition surface.
  • the shutter plate 238 is attached to a rotary shutter actuator 239 and retracted into a shutter plate chamber 237 during the deposition process. Once the deposition phase is completed, the rotary shutter actuator 239 rotates the shutter plate 238 below the anode/cavity ring assembly and blocks the dripping residual electroplating solution.
  • FIG. 14 is a side view of an electro-chemical deposition cell having a sub-chamber for the anode/cavity ring assembly.
  • the anode/cavity ring assembly is attached to a rotary assembly actuator 241 that moves the anode/cavity ring assembly into a sub-chamber 243 after the deposition phase of the process.
  • a rotary assembly actuator 241 that moves the anode/cavity ring assembly into a sub-chamber 243 after the deposition phase of the process.
  • a layer of electroplating solution is typically left on the processed substrate deposition surface after the deposition phase of the process.
  • a rinse agent is sprayed over the surface, and then the substrate is spun dry.
  • a rinsing agent reservoir 96 supplies the rinse agent and is connected to a rinse agent manifold 261 through a rinse agent pump 97 .
  • One or more rinse spray spouts 260 are connected to the rinse agent manifold 261 to spray a rinse agent, such as deionized water or nitrogen gas, over the processed substrate deposition surface.
  • a substrate support member 204 is shown positioned in a rinsing position according to the invention.
  • one or more rinse spray spouts 260 are disposed on a bottom surface 262 of the inner terminus 250 of the electroplating solution catch cup 246 .
  • the rinse spray spouts 260 spray the rinse agent over the processed substrate deposition surface 214 after completion of the electro-chemical deposition process when the substrate support member 214 is lowered to a rinsing position.
  • the substrate support member 204 is positioned below a horizontal plane defined by the rinse spray spouts 260 but above a horizontal plane defined by the tip of a rinse catch cup 264 .
  • FIG. 15 is a bottom view of an electroplating solution catch cup 246 showing three rinse spouts 260 disposed on a bottom surface of the electroplating solution catch cup 246 .
  • the rinse spouts 260 spray a mist of rinse agents over the processed substrate deposition surface 214 .
  • the rinse agent collect on the processed substrate deposition surface 214 to create a sheeting action of the rinse agent that removes the residual electroplating solution from the processed substrate deposition surface 214 .
  • the substrate support member 204 is then rotated to spin dry the substrate and remove the rinse agent from the processed substrate deposition surface 214 .
  • FIG. 16 is a top view of a rinse catch cup 264 .
  • a rinse catch cup 264 is disposed below the electroplating solution catch cup 246 and extends inwardly from the enclosure side wall 104 of the electro-chemical deposition cell 200 to direct overflowing rinse agents and any residual electroplating solution to a rinse drain 270 .
  • the inner terminus 266 of the rinse catch cup 264 defines an opening which outlines the circumference of the substrate support member 204 and allows the passage of the substrate support member 204 therethrough.
  • the rinse catch cup 264 includes a downwardly sloping top surface 268 from the inner terminus 266 to a rinse drain 270 .
  • the rinse spray spout 260 sprays the rinse agent over the processed substrate deposition surface 214 to clean the deposited surface and to remove any excess electroplating solution remaining on the substrate deposition surface 214 .
  • the rinse agent flows over the deposited substrate surface into the rinse catch cup 264 to the rinse drain 270 that drains the rinse agent to a bottom portion of the cell 200 .
  • the lower portion of the electro-chemical deposition cell 200 serves as a catch bowl, and an outlet 259 on the enclosure bottom 106 returns the used rinse solution to a purifier 98 and then back to the rinse solution reservoir 96 to be re-used for subsequent rinses (shown in FIG. 3).
  • the rinse agent is then pumped out of the electro-chemical deposition cell 200 through an outlet 259 into a rinse agent reservoir 96 .
  • FIG. 3 is a cross sectional schematic view of an electro-chemical deposition cell 200 showing a robot blade transferring a substrate 202 into the electro-chemical deposition cell 200 .
  • a slit valve 282 is opened during the substrate transfer, and a robot blade 88 having a substrate 202 thereon enters the electro-chemical deposition cell 200 through the slit opening 280 .
  • the substrate 202 is positioned above the substrate support member 204 , and the lift pin platform is elevated.
  • FIG. 3 is a cross sectional schematic view of the electro-chemical deposition cell 200 showing a substrate positioned over a substrate support member 204 and supported by lift pins 272 .
  • the lift platform actuator 326 retracts the push rod 327 to lower the lift pin platform 320 and position the substrate 202 onto the substrate supporting surface 206 and the outer seal O-ring 298 .
  • the vacuum chuck 290 engages the vacuum suction to hold the substrate 202 on the substrate supporting surface 206 , and the outer seal (O-ring) 298 seals the backside of the substrate 202 from the processing chemicals.
  • FIG. 4 is a cross sectional schematic view of an electro-chemical deposition cell 200 having a substrate 202 disposed on a substrate support member 204 in a processing position according to the invention.
  • the substrate 202 engages the cathode clamp ring 210 , and an electrical power is delivered through the contact portion 208 of the cathode clamp ring 210 to the substrate deposition surface 214 .
  • An electroplating solution is pumped through the solution inlet 240 at the enclosure top 102 into the cavity ring 236 above the anode plate 230 .
  • the electroplating solution passes through the anode plate 230 onto the substrate deposition surface 214 to deposit a metal layer thereon.
  • the rotary actuator 310 rotates the substrate support member 204 about a central axis through the rotating shaft 306 at between about 10 revolutions per minute (RPM) to about 50 RPM, and the actuator 346 provides a vibrational agitation to the substrate support member 204 .
  • the rotation and the agitation of the substrate support member 204 provide a uniform exposure of the electroplating solution to the substrate deposition surface 214 and promote uniform deposition thereon. Deposition uniformity is also improved by the continuous cathode contact provided by the cathode clamp ring 210 that distributes a uniform current density across the substrate deposition surface 214 .
  • a plate/de-plate scheme is applied during the deposition phase of the process.
  • the plate/deplate scheme generally comprises periodic reversal of the electrical current flowing through the electroplating solution between the cathode and the anode.
  • the cathode and the anode are biased normally to cause electro-chemical deposition onto the cathode.
  • the cathode and the anode are reverse biased and the electrical current is reversed to cause de-plating of the deposited surface.
  • the de-plating period removes the crowning or bridging effect at the mouth of the aperture of high aspect ratio features and enhances filling of the feature for the subsequent plating period.
  • the electroplating solution flows over the substrate deposition surface 214 , the electroplating solution flows over the cathode clamp ring 210 into the electrolyte catch cup 246 .
  • the electroplating solution then flows through the electrolyte drain 244 and is pumped out of the electro-chemical deposition cell 200 through outlet 258 .
  • the electroplating solution is re-circulated through the electro-chemical deposition cell 200 until the end of the deposition process.
  • the electroplating solution is evacuated from the electro-chemical deposition cell 200 into the electrolyte reservoir 94 until the next deposition process.
  • the rotational actuator 310 rotates the substrate support member 204 at a speed sufficient to spin dry the substrate deposition surface 214 by centrifugal force.
  • the substrate support member 204 preferably spins at least about 100 RPM to spin dry the substrate 202 .
  • the actuator 346 lowers the substrate support member 204 to a rinsing position.
  • the substrate 202 is preferably positioned below a horizontal plane defined by the rinse spray spouts 260 but above a horizontal plane defined by the tip of the rinse catch cup 264 .
  • the rinse spray spouts 260 spray the rinse agent over the processed substrate deposition surface 214 to clean the deposited surface and to remove any excess electroplating solution remaining on the substrate deposition surface 214 .
  • the substrate support member 204 rotates at a speed at least about 100 RPM to spin dry the substrate deposition surface 214 through centrifugal force.
  • the rinse agent is drained through the rinse drain 270 to the bottom of the cell 200 and pumped out of the cell 200 through outlet 259 into a rinse agent reservoir 96 .
  • the actuator 346 retracts fully and lowers the substrate support member 204 to the transfer position as shown in FIG. 3.
  • the vacuum chuck 290 disengages the vacuum suction and releases the substrate 202
  • the lift platform actuator 326 extends the push rod 327 to elevate the lift pin platform 320 and the lift pins 272 to lift the processed substrate 202 above the substrate support surface 206 .
  • a blow-off gas is pumped through the vacuum chuck 290 out of the vacuum port 294 to provide a gas flow directed at the backside edge of the substrate 202 .
  • the blow-off gas prevents any remaining rinse agent from contaminating the backside 215 of the substrate 202 .
  • the slit valve 282 opens, and the robot blade 88 extends into the electro-chemical deposition cell 200 through the slit 280 .
  • the robot blade 88 is positioned under the elevated substrate 202 , and the lift pins 272 are lowered to position the substrate 202 onto the robot blade 88 .
  • the robot blade 88 then retracts out of the electro-chemical deposition cell 200 with the processed substrate, and the process repeats for the next unprocessed substrate.

Abstract

An apparatus and method for electro-chemically depositing a uniform metal layer onto a substrate is provided. In one aspect, the apparatus includes a cathode connected to the substrate plating surface, an anode disposed above the substrate support member and an electroplating solution inlet supplying an electroplating solution fluidly connecting the anode and the substrate plating surface. In another aspect, the apparatus further includes a dual catch-cup system having an electroplating solution catch-cup and a rinse catch-cup. The dual catch-cup system provides separation of the electroplating solution and the rinse solutions during processing and provides re-circulating systems for the different solutions of the electroplating system.

Description

    CROSS REFERENCE TO RELATED APPLICATION
  • This application is a divisional of co-pending U.S. patent application Ser. No. 10/178,469, filed on Jun. 24, 2003, which claims the benefit of U.S. patent application Ser. No. 09/294,240, filed on Apr. 19, 1999, which claims the benefit of U.S. Provisional Application Serial No. 60/082,494, filed on Apr. 21, 1998. Each of the aforementioned related patent applications are incorporated by reference herein.[0001]
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0002]
  • The present invention generally relates to deposition of a metal layer onto a substrate. More particularly, the present invention relates to electroplating a metal layer onto a substrate. [0003]
  • 2. Background of the Related Art [0004]
  • Sub-quarter micron multi-level metallization is one of the key technologies for the next generation of ultra large scale integration (ULSI). The multilevel interconnects that lie at the heart of this technology require planarization of interconnect features formed in high aspect ratio apertures, including contacts, vias, lines and other features. Reliable formation of these interconnect features is very important to the success of ULSI and to the continued effort to increase circuit density and quality on individual substrates and die. [0005]
  • As circuit densities increase, the widths of vias, contacts and other features, as well as the dielectric materials between them, decrease to less than 250 nanometers, whereas the thickness of the dielectric layers remains substantially constant, with the result that the aspect ratios for the features, i.e., their height divided by width, increases. Many traditional deposition processes have difficulty filling structures where the aspect ratio exceed 4:1, and particularly where it exceeds 10:1. Therefore, there is a great amount of ongoing effort being directed at the formation of void-free, nanometer-sized features having high aspect ratios wherein the ratio of feature height to feature width can be 4:1 or higher. Additionally, as the feature widths decrease, the device current remains constant or increases, which results in an increased current density in the feature. [0006]
  • Elemental aluminum (Al) and its alloys have been the traditional metals used to form lines and plugs in semiconductor processing because of aluminum's perceived low electrical resistivity, its superior adhesion to silicon dioxide (SiO2), its ease of patterning, and the ability to obtain it in a highly pure form. However, aluminum has a higher electrical resistivity than other more conductive metals such as copper, and aluminum also can suffer from electromigration phenomena. Electromigration is believed to be the motion of ions of a metal conductor in response to the passage of high current through it, and it is a phenomenon that occurs in a metal circuit while the circuit is in operation, as opposed to a failure occurring during fabrication. Electromigration can lead to the formation of voids in the conductor. A void may accumulate and/or grow to a size where the immediate cross-section of the conductor is insufficient to support the quantity of current passing through the conductor, leading to an open circuit. The area of conductor available to conduct heat therealong likewise decreases where the void forms, increasing the risk of conductor failure. This problem is sometimes overcome by doping aluminum with copper and with tight texture or crystalline structure control of the material. However, electromigration in aluminum becomes increasingly problematic as the current density increases. [0007]
  • Copper and its alloys have lower resistivities than aluminum and significantly higher electromigration resistance as compared to aluminum. These characteristics are important for supporting the higher current densities experienced at high levels of integration and increase device speed. Copper also has good thermal conductivity and is available in a highly pure state. Therefore, copper is becoming a choice metal for filling sub-quarter micron, high aspect ratio interconnect features on semiconductor substrates. [0008]
  • Despite the desirability of using copper for semiconductor device fabrication, choices of fabrication methods for depositing copper into very high aspect ratio features, such as a 10:1 aspect ratio, 0.1 micron wide vias are limited. Precursors for CVD deposition of copper are ill-developed, and physical vapor deposition into such features produces unsatisfactory results because of voids formed in the features. [0009]
  • As a result of these process limitations, plating which had previously been limited to the fabrication of lines on circuit boards, is just now being used to fill vias and contacts on semiconductor devices. Metal electroplating in general is well known in the art and can be achieved by a variety of techniques. However, a number of obstacles impair consistent reliable electroplating of copper onto semiconductor substrates having nanometer-sized, high aspect ratio features. Generally, these obstacles deal with providing uniform power distribution and current density across the substrate plating surface to form a metal layer having uniform thickness. [0010]
  • Present designs of cells for electroplating a metal on semiconductor substrates are based on a fountain plater configuration. FIG. 1 is a cross sectional view of a simplified fountain plater. Generally, the [0011] fountain plater 10 includes an electrolyte container 12 having a top opening, a substrate holder 14 disposed above the electrolyte container 12, an anode 16 disposed at a bottom portion of the electrolyte container 12 and a cathode 20 contacting the substrate 18. The cathode 20 comprises a plurality of contact pins distributed about the peripheral portion of the substrate 18 to provide a bias about the perimeter of the substrate. The contact pins generally provide a higher current density near the contact points on the substrate surface, resulting in a non-uniform deposition on the substrate surface. The semiconductor substrate 18 is positioned a fixed distance above the cylindrical electrolyte container 12, and the electrolyte impinges perpendicularly on the substrate plating surface. Because of the dispersion effects of the electrical current at the exposed edges of the substrate 18 and the non-uniform flow of the electrolyte, the fountain plater 10 provides non-uniform current distribution, particularly at the region near the edges and at the center of the substrate 18 that results in non-uniform plating of the metal. The electrolyte flow uniformity at the center of the substrate 18 can be improved by rotating the substrate 18. However, the plating uniformity still deteriorates as the boundaries or edges of the substrate are approached.
  • Furthermore, the [0012] fountain plater 10 presents additional difficulties in substrate transfers because the substrate has to be flipped for face-down plating. Generally, substrates are transferred by robots having robot blades with a substrate supporting surface, and the substrates are transferred with the surface to be processed face-up. Preferably, the robot blade does not contact the surface to be processed to eliminate risk of damaging the substrate surface. Because the fountain plater 10 requires face-down processing, additional devices are required to flip the substrate from a face-up transferring position to a face-down processing position.
  • Therefore, there remains a need for a reliable, consistent copper electroplating technique to deposit and form copper layers on semiconductor substrates having nanometer-sized, high aspect ratio features. There is also a need for a face-up electroplating system that allows fast substrate processing and increases throughput. Furthermore, there is a need for an apparatus for delivering a uniform electrical power distribution to a substrate surface and a need for an electroplating system that provides uniform deposition on the substrate surface. [0013]
  • SUMMARY OF THE INVENTION
  • The invention generally provides an apparatus and a method for electro-chemically depositing a uniform metal layer onto a substrate. More specifically, the invention provides an electro-chemical deposition cell for face-up processing of semiconductor substrates comprising a substrate support member, a cathode connected to the substrate plating surface, an anode disposed above the substrate support member and an electroplating solution inlet supplying an electroplating solution fluidly connecting the anode and the substrate plating surface. Preferably, the anode comprises a consumable metal source disposed in a liquid permeable structure, and the anode and a cavity ring define a cavity for holding and distributing the electroplating solution to the substrate plating surface. [0014]
  • The invention also provides a substrate support member for face-up electro-plating. Preferably, the substrate support member comprises a vacuum chuck having vacuum ports disposed on the substrate supporting surface that serves to provide suction during processing and to provide a blow-off gas flow to prevent backside contamination during substrate transfers. The substrate support member also rotates and vibrates during processing to enhance the electrodeposition onto the substrate plating surface. [0015]
  • Another aspect of the invention provides a dual catch-cup system comprising an electroplating solution catch-cup and a rinse catch-cup. The dual catch-cup system provides separation of the electroplating solution and the rinse solutions during processing and provides re-circulating systems for the different solutions of the electroplating system. [0016]
  • The invention also provides an apparatus for delivering electrical power to a substrate surface comprising an annular ring electrically connected to a power supply, the annular ring having a contact portion to electrically contact a peripheral portion of the substrate surface. Preferably, the contact portion comprises annular surface, such as a metal impregnated elastomer ring, to provide continuous or substantially continuous electrical contact with the peripheral portion of the substrate. The invention provides a uniform distribution of power to a substrate deposition surface by providing a uniform current density across the substrate deposition surface through the continuous annular contact portion. The invention also prevents process solution contamination of the backside of the substrate by providing a seal between the contact portion of the annular ring and the substrate deposition surface. [0017]
  • Another aspect of the invention provides an apparatus for holding a substrate for electro-chemical deposition comprising a substrate holder having a substrate support surface and an annular ring electrically connected to a power supply, the annular ring having a contact portion to electrically contact a peripheral portion of the substrate surface. The substrate holder is preferably connected to one or more actuators that provide rotational movement and/or vibrational agitation to the substrate holder during processing to enhance deposition uniformity. Preferably, the substrate holder comprises a vacuum chuck having a substrate supporting surface, and an O-ring is disposed around a substrate supporting surface to seal the backside of the substrate from contamination by the processing solutions. [0018]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features, advantages and objects of the present invention are attained can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings. [0019]
  • It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments. [0020]
  • FIG. 1 is a cross sectional view of a simplified fountain plater. [0021]
  • FIG. 2 is a partial cut-away perspective view of an electro-chemical deposition cell showing the interior components of the electro-chemical deposition cell. [0022]
  • FIG. 3 is a cross sectional schematic view of an electro-[0023] chemical deposition cell 200 showing a robot blade transferring a substrate 202 into the electro-chemical deposition cell 200.
  • FIG. 4 is a cross sectional schematic view of an electro-[0024] chemical deposition cell 200 having a substrate 202 disposed on a substrate support member 204 in a processing position according to the invention.
  • FIG. 5 is a cross sectional view of a [0025] substrate support member 204 in a transferring position having a substrate disposed on elevated lift pins.
  • FIG. 6 is a cross sectional view of an alternative embodiment of the [0026] substrate support member 204 showing two separate fluid conduits and dual level lip seals.
  • FIG. 7 is a bottom perspective view of a cathode clamp ring having an alternative embodiment of the contact portion comprising a plurality of contact pads. [0027]
  • FIG. 8 is a partial cross sectional schematic view of another embodiment of a cathode clamp ring. [0028]
  • FIG. 9 is a cross sectional partial view of a cathode clamp ring showing another embodiment of a contact portion of the clamp ring. [0029]
  • FIG. 10 is a see-through perspective of a section of an embodiment of a metal impregnated [0030] elastomer ring 350.
  • FIG. 11 is a top view of an electroplating [0031] solution catch cup 246.
  • FIG. 12 is a cross sectional schematic view of an electro-[0032] chemical deposition cell 200 showing one embodiment of the anode/cavity ring assembly for drip control where a substrate support member 204 is shown positioned in a rinsing position according to the invention.
  • FIG. 13 is a top view of a [0033] shutter plate 238 positioned above cathode clamp ring 210, showing an alternative solution for controlling the dripping of residual electroplating solutions from the anode/cavity ring assembly.
  • FIG. 14 is a side view of an electro-chemical deposition cell having a sub-chamber for the anode/cavity ring assembly. [0034]
  • FIG. 15 is a bottom view of an electroplating [0035] solution catch cup 246 showing three rinse spouts 260 disposed on a bottom surface of the electroplating solution catch cup 246.
  • FIG. 16 is a top view of a rinse [0036] catch cup 264.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • The invention generally provides an electro-chemical deposition cell wherein a substrate is positioned with a deposition surface “face up.” An electroplating solution is pumped through a top portion of the cell over the exposed substrate deposition surface and collected in a peripheral catch cup drain about the perimeter of the substrate. Additionally, the cell includes means for in situ cleaning and/or rinsing of the electro-chemically deposited substrate. [0037]
  • FIG. 2 is a partial cut-away perspective view of an electro-chemical deposition cell showing the interior components of the electro-chemical deposition cell. Generally, the electro-[0038] chemical deposition cell 200 comprises a substrate support member 204, a cathode clamp ring 210, an anode plate 230 above the cathode clamp ring 210 and an electroplating solution inlet 240 supplying an electroplating solution into the electro-chemical deposition cell 200 above the substrate or in the flow direction of the substrate surface to be plated.
  • The electro-[0039] chemical deposition cell 200 includes a cell enclosure 100 comprising an enclosure lid 102, an enclosure side wall 104 and an enclosure bottom 106. Preferably, the enclosure 100 has a cylindrical interior and is made of an electrically insulative material. The enclosure side wall 104 includes a slit opening 280 for transfer of substrates into and out of the electro-chemical deposition cell 200, and a slit valve 282 disposed on an outer surface of the enclosure side wall 104 opens only during the substrate transfer operation and covers the slit opening 280 during processing to provide a sealed processing environment. A drip awning 284 is preferably disposed above the slit opening 280, extending inwardly from an inner surface of the enclosure side wall 104, to guard the opening 280 from direct receipt of the electroplating solution and thus prevent a processing solution from leaking out of the cell through the slit opening 280.
  • Referring to FIG. 3, where the electro-[0040] chemical deposition cell 200 is shown with the substrate support member 204 in a load/transfer position, as well as FIG. 4, where the electro-chemical deposition cell 200 is shown in a plating/processing position, the anode plate 230 is disposed within a cavity ring 236 at a top portion of the electro-chemical deposition cell 200. The anode plate 230 is electrically connected to a power supply 90. The substrate support member 204 is disposed at a bottom portion of the electro-chemical deposition cell 200. The cathode clamp ring 210, preferably supported by an annular electroplating solution catch cup 246, is disposed in a middle portion of the electro-chemical deposition cell 200 between the substrate support member 204 and the anode plate 230. The cathode clamp ring 210 is positioned in the electro-chemical deposition cell 200 such that the movement of the substrate support member 204 from the load/transfer position (FIG. 3) to the processing position (FIG. 4) lifts the cathode clamp ring 210 slightly off the annular electroplating solution catch cup 246. Once in the processing position, an electroplating solution pump 92, which is connected to the electroplating solution inlet 240, pumps the electroplating solution from an electroplating solution reservoir 94 into the electro-chemical deposition cell 200. Preferably, an electroplating solution outlet 258 is connected to an electroplating solution drain 244 on the electroplating solution catch cup 246 to return the electroplating solution back to the electroplating solution reservoir 94 to be re-circulated through the electro-chemical deposition cell 200.
  • FIG. 3 is a cross sectional schematic view of an electro-[0041] chemical deposition cell 200 showing a robot blade 88 transferring a substrate 202 into the electro-chemical deposition cell 200, and FIG. 5 is a cross sectional schematic view of a substrate support member 204 in a transferring position according to the invention. By comparing FIGS. 2A and 3A, the sequence for loading and unloading a substrate may be seen. Referring initially to FIG. 3, a robot blade 88 transfers a substrate 202 into the electro-chemical deposition cell 200 through the slit opening 280 and positions the substrate 202 above the substrate support member 204. At the substrate transferring position, the substrate support member 204 is retracted fully to a bottom portion of the electro-chemical deposition cell 200. Then, as shown in FIG. 5, a plurality of lift pins 322 extend through vertical bores 324 in the substrate support member 204 and lift the substrate 202 above the robot blade 88. The robot blade 88 then retracts out of the chamber, and the slit valve 282 closes the slit opening 280.
  • Referring to FIG. 5, the [0042] substrate support member 204 comprises a vacuum chuck 290 made of an insulating material and a conductive base plate 292 providing a cathode connection to the cathode clamp ring 210. The vacuum chuck 290 secures a substrate 202 onto a substrate supporting surface 206 on the substrate support member 204 during processing. Preferably, one or more vacuum ports 294 are disposed in the substrate support member 204 and are connected to one or more vacuum channels 296 disposed on the substrate supporting surface 206 to secure the substrate 202 through vacuum suction. The vacuum channels 296 are generally disposed evenly across the surface of the substrate member in a web-like fashion (as shown in FIG. 2).
  • An [0043] outer seal 298, comprising an O-ring, or alternatively, a double O-ring, disposed in a recess 300 surrounding the substrate supporting surface 206 is provided to create a vacuum seal between a backside 215 of the substrate 202 and the substrate supporting surface 206 when the vacuum chuck 290 is activated. The outer seal 298 also provides a seal against substrate backside contamination by the electroplating solution and other processing solutions. Eliminating the substrate backside contamination eliminates the need for a post deposition backside cleaning process, thus reducing system cost and complexity.
  • To provide a vacuum passage to the [0044] substrate supporting surface 206, a vacuum conduit 302 within the vacuum chuck 290 connects the vacuum ports 294 and vacuum channels 296 to a central vacuum conduit 304 within a rotating shaft 306. The rotating shaft 306 extends through a shaft sleeve 308 and is connected to a rotary actuator 310 disposed on a platform 342. The shaft sleeve 308 is also disposed on the platform 342 to maintain a fixed vertical relationship with the rotating shaft 306. A set of lip seals 314 disposed between the rotating shaft 306 and the shaft sleeve 308 allows free rotational movement of the rotating shaft 306 within the shaft sleeve 308 while providing a sealed region 316 between an outer surface of the rotating shaft 306 and an inner surface of the shaft sleeve 308. The central vacuum conduit 304 includes an opening 312 fluidly connecting the central vacuum conduit 304 and the sealed region 316. A vacuum outlet 318 extends through the shaft sleeve 308 and fluidly connects to the sealed region 316. A vacuum pump 360 is connected to the vacuum outlet 318 to provide a vacuum suction through the vacuum outlet 318, the sealed region 316, the opening 312, the central vacuum conduit 304, the vacuum conduit 302, the vacuum ports 294 and the vacuum channels 296 to hold the substrate 202 on the substrate support surface 206.
  • To provide a positive pressure between the substrate and the [0045] substrate support member 204, a gas pump 370 connected to a gas supply 372 is selectively connected through a control valve 374 to the vacuum outlet 318 to supply a blow off gas to the vacuum ports 294. The blow off gas prevents leftover rinsing agent from contaminating the backside of the processed substrate when the substrate is lifted above the substrate support member 204 and transferred out of the electro-chemical deposition cell 200. The control valve 374 shuts the connection to the vacuum pump 360 when the gas pump 370 is activated to pump the blow-off gas to the vacuum ports 294, and the control valve 274 shuts the connection to the gas supply 372 and the gas pump 370 when the vacuum pump 360 is activated to hold the substrate 202 on the support member 204. The vacuum ports 294 direct the blow off gas toward the backside edge of the substrate 202 to prevent any leftover rinsing agent from reaching the backside 215 of the substrate 202.
  • FIG. 6 is a cross sectional view of an alternative embodiment of the [0046] substrate support member 204 showing two separate fluid conduits and dual level lip seals. Although the following describes a fluid delivery system for two separate fluids, the fluid delivery system may be adapted to accommodate a number of separate fluids by increasing the number of fluid conduits and lips seals. The embodiment as shown in FIG. 6 provides a substrate support member 204 capable of rotating while delivering two separate fluids through separate fluid conduits to the substrate support surface 206. Preferably, two separate sets of fluid channels 396A, 396B and fluid ports 394A, 394B are disposed on the substrate supporting surface 214, and two sets of fluid conduits 402A, 402B within the vacuum chuck are connected to two sets of central fluid conduits 404A, 404B extending through the rotating shaft 306. The first central fluid conduit 404A includes a first opening 412A fluidly connecting the first central fluid conduit 404A and a first sealed region 416A sealed by a first set of lip seals 414A. A first fluid inlet 418A extends through the shaft sleeve 308 and fluidly connects to the first seal region 416A. A first fluid supply 420A is connected to the first fluid inlet 418A through a first pump 422A. Likewise, the second central fluid conduit 404B includes a second opening 412B fluidly connecting the second central fluid conduit 404B and a second sealed region 416B sealed by a second set of lip seals 414B. A second fluid inlet 418B extends through the shaft sleeve 308 and fluidly connects to the second seal region 416B. A second fluid supply 420B is connected to the second fluid inlet 418B through a second pump 422B. The sets of lip seals 414A. 414B disposed between the rotating shaft 306 and the shaft sleeve 308 allows free rotational movement of the rotating shaft 306 within the shaft sleeve 308 while providing the sealed regions 416A, 416B between an outer surface of the rotating shaft 306 and an inner surface of the shaft sleeve 308. Thus, two separate fluids can be simultaneously delivered to the substrate supporting surface 214 while the substrate support member 204 is rotated. Alternatively, one of the pumps 422A and 422B is substituted with a vacuum pump to provide separate routes of vacuum suction and gas delivery to the substrate supporting surface 214. As another alternative, both of the gas pumps 422A and 422B may be substituted with two vacuum pumps to provide differential vacuum regions at the substrate supporting surface 214. Furthermore, more than two vacuum or fluid pumps may be used depending on the processing requirement. Although each sealed region described above preferably uses one set of lip seals (i.e., two lip seals), a subsequent sealed region (i.e., other than the first sealed region) requires only one additional lip seal. For example, three lip seals can create two sealed regions, one between the first lip seal and the second lip seal and another between the second lip seal and the third lip seal.
  • Referring back to FIG. 5, the [0047] rotating shaft 306 extends through a lift pin platform 320 having a plurality of lift pins 322 disposed thereon. The lift pins 322, preferably a set of four, extend through bores 324 through the substrate support member 204 to lift a substrate 202 above the substrate support surface 206. A lift platform actuator 326 moves the lift pin platform 320 vertically to lift and lower a substrate 202 for transfer into and out of the electro-chemical deposition cell 200. Preferably, the lift platform actuator 326 is disposed on an outer surface of the shaft sleeve 308 and includes a push rod 327 to actuate movement of the lift pin platform 320. To elevate the lift pin platform 320, the lift platform actuator 326 extends the push rod 327 to contact a bottom surface of the lift pin platform 320 and push the lift pin platform 320 upwards. To lower the lift pin platform 320, the lift platform actuator 326 retracts the push rod 327 to disengage the lift pin platform 320. When the push rod 327 of the lift platform actuator 326 is fully retracted, the push rod 327 does not contact the lift pin platform 320, and the lift pin platform 320 rests on a platform ridge 329 extending from an outer surface of the rotating shaft 306 above the shaft sleeve 308.
  • One or more [0048] vertical tabs 328 extend from an upper portion of the outer surface of the rotating shaft 306 into one or more matching vertical grooves 330 in the lift pin platform 320 so that the lift pin platform 320 rotates in unison with the rotating shaft 306. The tabs 328 also guide the lift pin platform 320 vertically when the lift pin platform is being moved by the lift platform actuator 326.
  • A [0049] flexible bellow 332, preferably made of polyethylene, is disposed around each lift pin 322 to provide a splash seal against electroplating solutions, rinsing solutions and other process chemicals. The flexible bellow 332 is attached from a top surface of the lift pin platform 320 to a bottom surface of the conductive base plate 292 of the substrate support member 204. The flexible bellow 332 compresses when the lift pin platform 320 is elevated by the lift platform actuator 326 and stretches when the lift pin platform 320 is resting on the platform ridge 329. Each flexible bellow 332 also maintains a seal when subjected to a slight side load, such as when the substrate support member rotationally accelerates or decelerates.
  • To prevent electroplating solutions, rinsing solutions and other process chemicals from contacting components disposed in the central portion of the electro-[0050] chemical deposition cell 200, such as the lift platform actuator 326 and the shaft sleeve 308, a splash guard 333 is attached to an outer portion of a lower surface of the lift pin platform 320. The splash guard 333 includes a cylindrical downward extension 334 that is disposed radially outward of an upwardly extending inner container wall 336. The inner container wall 336 is a cylindrical upward extension from the enclosure bottom 106 of the electro-chemical deposition cell 200 that holds the process solutions to be pumped out of the system through the outlet 259. The splash guard 334 and the inner container wall 336 create a sufficient overlap so that when the lift pin platform 320 is raised to it highest position during processing, there is still an overlap between the tip of the splash guard 334 and the tip of the inner container wall 336 (as shown in FIG. 4).
  • To provide rotational movement to the [0051] substrate support member 204, a rotary actuator 310 is disposed on a platform 342 and connected to the rotating shaft 306. The rotary actuator 310 rotates the rotating shaft 306 freely within the shaft sleeve 308. To move the substrate support member 204 vertically, an actuator 346 extends and retracts a shaft 344 connected to the platform 342. The actuator 346 is disposed outside of the enclosure 100 on the enclosure bottom 106, and the shaft 344 extends through the enclosure bottom 106 and is attached to a bottom surface of the platform 342. To maintain a fixed vertical relation with the rotating shaft 306 when the substrate support member 204 is elevated and lowered in the electro-chemical deposition cell 200, the shaft sleeve 308 is also disposed on the platform 342. Preferably, the actuator 346 also provides a vibrational agitation to the substrate support member 204 to enhance deposition onto the substrate deposition surface 214. Alternatively, a vibrator (not shown) can be attached to the substrate support member 204 to provide the vibrational agitation.
  • Referring to FIG. 3 and FIG. 4, the structure, operation and positioning of a [0052] cathode clamp ring 210 and an electroplating solution catch cup 246 will be discussed. The catch cup 246 is an annular structure extending inwardly from the enclosure side wall 104 of the electro-chemical deposition cell 200 to a bottom surface 220 of the cathode clamp ring 210. The cathode clamp ring 210 preferably includes an outer portion having a downwardly sloping surface 256 that overlaps an inner terminus 250 of the catch cup 246 to assist the electroplating solution flow into the catch cup 246. The inner terminus 250 includes a ridge 252 corresponding to a recess 254 on the bottom surface 220 of the cathode clamp ring 210. The ridge 252 supports the cathode clamp ring 210 when the substrate support member 204 is not engaged in a deposition position. When the substrate support member is engaged in the deposition position as shown in FIG. 4, the cathode clamp ring 210 is lifted from the ridge 252 and is supported on the substrate deposition surface 214.
  • The electrical power is delivered by the [0053] cathode clamp ring 210 to the substrate deposition surface 214 through a contact portion 208 of the cathode clamp ring 210. To provide electrical power to the cathode clamp ring 210, one or more cathode contacts 216 are fixedly secured to a bottom surface 218 of the conductive base plate 292 of the substrate support member 204 and extends radially outwardly to electrically contact a bottom surface 220 of the cathode clamp ring 210. The electrical power is conducted through the rotating shaft 306 to the conductive base plate 292, then through one or more cathode contacts 216 secured onto the conductive base plate 292, and then to a bottom surface 220 of the cathode clamp ring 210. Preferably, the cathode contact 216 comprises a spring loaded metal strip that maintains constant electrical contact with the bottom surface 220 of the cathode clamp ring 210 during processing when the substrate support member 204 is rotated and/or vibrated. Alternatively, the cathode clamp ring 210 is fixedly connected to the power supply through connection wires (not shown).
  • To provide electrical power to the [0054] cathode clamp ring 210 while rotating the substrate support member 204 and the rotating shaft 306, a rotating cathode connection 340 is disposed at a top portion of the shaft sleeve 308 and connected to the power source 90. The rotating shaft 306 preferably comprises an electrically conductive material, and the rotating cathode connection 340 movably contacts the outer surface of the rotating shaft 306 to maintain electrical conduction to the rotating shaft 306 while the rotating shaft 306 is rotating. The rotating cathode connection 340 preferably comprises a plurality of conductive ball bearings 341 disposed between a pair of ring seals 343. Preferably, the rotating cathode connection 340 is filled with mercury to enhance the electrical conductivity of the rotating cathode connection 340 while the rotating shaft 306 is rotated.
  • Preferably, the [0055] cathode clamp ring 210 comprises an annular conductive member having a central opening defining the deposition area on a substrate deposition surface that is exposed to the electroplating solution during processing. The cathode clamp ring 210 is electrically connected to the power source 90 through the cathode contacts 216 and the substrate support member 204 and includes a contact portion 208 to electrically contact the substrate deposition surface 214 and to provide an electrical power (voltage and current) to the substrate deposition surface 214 to enable the electro-chemical deposition process. The contact portion 208 preferably extends a minimal radial distance inward above a perimeter edge 212 of the substrate 202, but a distance sufficient to electrically contact a metal seed layer on the substrate deposition surface 214. Preferably, the contact portion 208 includes an annular surface providing a continuous contact around a peripheral portion of the substrate deposition surface 214. By providing a continuous electrical interface between the cathode and the substrate deposition surface, the electrical power is uniformly distributed on the substrate deposition surface 214. The increase in the electrical interface, as compared to an individual contact finger arrangement, also minimizes the fringing effect that occurs with individual cathode contact pins that cause non-uniform deposition. Alternatively, the contact portion 208 comprises a plurality of contact pads 217 (as shown in FIG. 7) positioned to contact substantially around the peripheral portion of the substrate deposition surface 214.
  • While the [0056] cathode clamp ring 210 is engaged with the substrate 202, cathode clamp ring 210 rotates with the substrate support member 204 because of the frictional force between the contact portion 208 and the substrate deposition surface 214. Preferably, the cathode clamp ring 210 includes a plurality of locking grooves (not shown) disposed on the bottom surface 220 to receive the cathode contacts 216. With the cathode contacts 216 engaged in the locking grooves, the cathode clamp ring 210 rotates synchronously with the substrate support member 204 without depending on the frictional force between the contact portion 208 and the substrate deposition surface 214.
  • FIG. 8 is a partial cross sectional schematic view of another embodiment of a cathode clamp ring. In this embodiment, the [0057] cathode clamp ring 210 includes a contact portion 208 comprising a metal impregnated elastomer ring 350 electrically contacting a peripheral portion of the substrate deposition surface 214. The metal impregnated elastomer ring 350 is disposed on a ridge 351 on a stepped surface 209 of the cathode clamp ring 210. The metal impregnated elastomer ring 350 is secured to the stepped surface 209 of the cathode clamp ring 210 by an adhesive that is unaffected by the electroplating solution and process. Alternatively, the metal impregnated elastomer ring 350 is secured to the stepped surface 209 of the cathode clamp ring 210 by a fastener (not shown) such as a screw or a bolt. As another alternative, the cathode clamp ring 210 includes an annular dove-tail groove (not shown) disposed on the stepped surface 209 that squeezes and holds the metal impregnated elastomer ring 350.
  • The metal impregnated [0058] elastomer ring 350 provides electrical conduction through metal particles or short wires disposed in a hydrophobic elastomer matrix. FIG. 9 is a cut-away perspective of a section of an embodiment of a metal impregnated elastomer ring 350. The metal impregnated elastomer ring 350 generally comprises an outer elastomer ring 352, an inner elastomer ring 354 and a metal ring 356 sandwiched between the inner elastomer ring 352 and the outer elastomer ring 354. Preferably the metal ring 356 comprises a plurality of individual metal wires 358 extending at a slanted angle a (other than perpendicular to a top and/or a bottom surface of the elastomer ring 350) from a top surface of the elastomer ring 350 to a bottom surface of the elastomer ring 350. The metal wires 358 conduct electrical power from the cathode clamp ring 210 to the substrate deposition surface 214. A top end 357 of the metal wires 358 contacts the cathode clamp ring 210, and a bottom end 359 of the metal wires 358 contacts the substrate deposition surface 214. The slanted angle a of the metal wires 358 enhances the ability of the metal impregnated elastomer ring 350 to compress and form a seal on the substrate deposition surface 214 while providing electrical contact to the substrate deposition surface 214, i.e., by the individual metal wires sliding relative to each other and increasing the angle a as needed. One exemplary metal impregnated elastomer ring is available from Shin-Etsu Handotai America, Inc., Vancouver, Wash. The metal impregnated elastomer ring 350 provides a compliant contacting interface with the substrate deposition surface 214 that reduces the risk of scratching the substrate deposition surface 214 by the contact portion 208 of the cathode clamp ring 210. The metal impregnated elastomer ring 350 also seals the contact interface from the process solutions so that the metal conductors in the elastomer matrix are not exposed to the processing solutions which can change the properties of the metal conductors. Although one embodiment of the metal impregnated matrix is discussed above, the invention contemplates other embodiments of metal impregnated elastomers having different arrangements of electrically conductive particles within the elastomer matrix for use as the contact portion 208 of the cathode clamp ring 210.
  • FIG. 10 is a cross sectional partial view of a cathode clamp ring showing another embodiment of a contact portion of the clamp ring. In this embodiment, the [0059] contact portion 208 of the cathode clamp ring 210 comprises an annular downward extension of the conductive metal from a bottom surface 209 of the cathode clamp ring 210. The annular down ward extension is preferably a wedge-shaped annular ring. An inner concentric O-ring 211 and an outer concentric O-ring 213 are attached to the bottom surface 209 of the cathode clamp ring 210 surrounding the contact portion 208. The O- rings 211 and 213 provide a sealed environment for the contact portion 208 during the electro-chemical deposition process while the contact portion 208 conducts electrical power to the substrate deposition surface 214.
  • Referring back to FIG. 8, an alternative embodiment of a support for the [0060] cathode clamp ring 210 utilizes a kinematic coupling between the cathode clamp ring 210 and the inner terminus 250 of the catch cup 246. Utilizing kinematic coupling allows positive location of concentric parts such as the cathode clamp ring 210 in relation with the electroplating solution catch cup 246. The kinematic coupling generally comprises a plurality of ball bearings 361 (only one shown) disposed partially in a plurality of seats 363 on a top surface of the inner terminus 250 and a corresponding groove 362 on a bottom surface of the cathode clamp ring 210 to receive a top portion of the ball bearing 361. Preferably, the kinematic coupling uses three ball bearings 361 to center the cathode clamp ring 210. One ball bearing locates the radial position while the other two ball bearings locate the angular position of the clamp ring 210.
  • Referring to FIG. 11, where a top view of an electroplating [0061] solution catch cup 246 is shown, preferably two electroplating solution drains 244 are disposed diametrically in opposing corners of the electro-chemical deposition cell 200. Referring back to FIG. 3 and FIG. 4, the electroplating solution catch cup 246 is disposed in a middle portion of the electro-chemical deposition cell 200 to direct the electroplating solution to one or more electroplating solution drains 244. During processing, the electroplating solution is pumped through the electroplating solution inlet 240 into the cavity 242, passes through the anode plate 230 onto the substrate deposition surface 214 (see FIG. 4) and then flows over a cathode clamp ring 210 into an electroplating solution drain 244 of a catch cup 246. The catch cup 246 includes a downwardly sloping top surface 248 from an inner terminus 250 to the electroplating solution drain 244 to direct the electroplating solution overflowing the cathode clamp ring 210 to the electroplating solution drain 244. The size (inner diameter) of the electroplating solution drain 244 and the slope and length of the top surface 248 is adapted to accommodate a particular flow rate so that the electroplating solution does not overflow the catch cup 246 and spill over the ridge 252. The electroplating solution drain 244 is connected to an electroplating solution outlet 258 that transports the processed electroplating solution to the electroplating solution reservoir 94. The electroplating solution is then pumped to the electroplating solution inlet 240 and re-circulates through the electro-chemical deposition cell 200.
  • Referring back to FIG. 3 and FIG. 4, a [0062] cavity ring 236 comprising a generally cylindrical structure is disposed at a top potion of the electro-chemical deposition cell 200 to hold an anode plate 230 and the electroplating solution to be distributed through the anode plate 230. The anode plate 230 is disposed at a bottom portion of the cavity ring 236 on a ridge 232 extending inwardly from an inner surface 234 of the cavity ring 236. The inner surface 234 of the cavity ring 236 and the top surface 231 of the anode plate 230 define a cavity 242 for holding the electroplating solution to be distributed through the anode plate 230. An electroplating solution inlet 240 disposed on the enclosure lid 102 supplies the electroplating solution into the cavity 242. The electroplating solution inlet 240 is connected to an electroplating solution pump 92 that pumps the electroplating solution from an electroplating solution reservoir 94.
  • Preferably, the [0063] anode plate 230 has substantially the same shape as the substrate deposition surface 214 and includes a plurality of perforations to distribute the electroplating solution uniformly across the substrate deposition surface 214. The anode plate 230 is electrically connected to a power source 90 and preferably comprises a consumable metal that can dissolve in the electroplating solution to provide the metal particles to be deposited onto the substrate deposition surface 214. As the electroplating solution passes through an energized anode plate 230, metal ions dissociate from the surface of the consumable metal anode plate 230 into the electroplating solution.
  • Alternatively, the [0064] anode plate 230 comprises an electrode and consumable metal particles encased in a fluid permeable membrane such as a porous ceramic plate. An alternative to the consumable anode plate is a non-consumable anode plate that is perforated or porous for passage of the electroplating solution therethrough. However, when a non-consumable anode plate is used, the electroplating solution requires a metal particle supply to continually replenish the metal particles to be deposited in the process.
  • To enhance the deposition process, an [0065] agitator 237 is preferably attached to the cavity ring 236 to agitate the electroplating solution. The agitator 237 generally comprises a megasonic or an ultrasonic finger that transfers a vibration to the electroplating solution by vibrating the cavity ring 236.
  • After the electroplating process is finished, no more electroplating solution is pumped into the [0066] cell 200, and the electroplating solution is drained from the cell 200 through the electroplating solution drains 244. However, some electroplating solution may collect on the anode plate 230 and the cavity ring 236 and then drip onto the processed substrate deposition surface 214. To control dripping of residual electroplating solution from the anode/cavity ring assembly to the substrate deposition surface after the deposition phase, the anode/cavity ring assembly is preferably moved away from the region above the substrate.
  • FIG. 12 shows one embodiment of the anode/cavity ring assembly for drip control where a [0067] substrate support member 204 is shown positioned in a rinsing position according to the invention. Preferably, the assembly of the cavity ring 236 and the anode plate 230 comprises two symmetrical halves split by a central vertical plane. An actuator 237 is connected to each half to pull apart the anode/cavity ring assembly after the deposition phase of the process. Each half of the anode/cavity ring assembly is moved to the region above the electroplating solution catch cup 246 so that the residual electroplating solution drips into the electroplating solution catch cup.
  • FIG. 13 is a top view of a [0068] shutter plate 238 positioned above cathode clamp ring 210, showing an alternative solution for controlling the dripping of residual electroplating solutions from the anode/cavity ring assembly. A shutter plate 238 moves into the region between the anode/cavity ring assembly and the cathode clamp ring 210 to block the dripping residual electroplating solution from contaminating the processed substrate deposition surface. Preferably, the shutter plate 238 is attached to a rotary shutter actuator 239 and retracted into a shutter plate chamber 237 during the deposition process. Once the deposition phase is completed, the rotary shutter actuator 239 rotates the shutter plate 238 below the anode/cavity ring assembly and blocks the dripping residual electroplating solution.
  • FIG. 14 is a side view of an electro-chemical deposition cell having a sub-chamber for the anode/cavity ring assembly. The anode/cavity ring assembly is attached to a [0069] rotary assembly actuator 241 that moves the anode/cavity ring assembly into a sub-chamber 243 after the deposition phase of the process. By moving the anode plate 230 and the cavity ring 236 into the sub-chamber 243, the residual electroplating solution drips in the sub-chamber 243 and is prevented from contaminating the processed substrate deposition surface.
  • A layer of electroplating solution is typically left on the processed substrate deposition surface after the deposition phase of the process. To remove residual electroplating solution from the processed substrate deposition surface, a rinse agent is sprayed over the surface, and then the substrate is spun dry. Referring back to FIG. 3, a rinsing agent reservoir [0070] 96 supplies the rinse agent and is connected to a rinse agent manifold 261 through a rinse agent pump 97. One or more rinse spray spouts 260 are connected to the rinse agent manifold 261 to spray a rinse agent, such as deionized water or nitrogen gas, over the processed substrate deposition surface.
  • Referring now to FIG. 12, a [0071] substrate support member 204 is shown positioned in a rinsing position according to the invention. Preferably, one or more rinse spray spouts 260 are disposed on a bottom surface 262 of the inner terminus 250 of the electroplating solution catch cup 246. The rinse spray spouts 260 spray the rinse agent over the processed substrate deposition surface 214 after completion of the electro-chemical deposition process when the substrate support member 214 is lowered to a rinsing position. At the rinsing position, the substrate support member 204 is positioned below a horizontal plane defined by the rinse spray spouts 260 but above a horizontal plane defined by the tip of a rinse catch cup 264.
  • FIG. 15 is a bottom view of an electroplating [0072] solution catch cup 246 showing three rinse spouts 260 disposed on a bottom surface of the electroplating solution catch cup 246. Preferably, the rinse spouts 260 spray a mist of rinse agents over the processed substrate deposition surface 214. The rinse agent collect on the processed substrate deposition surface 214 to create a sheeting action of the rinse agent that removes the residual electroplating solution from the processed substrate deposition surface 214. The substrate support member 204 is then rotated to spin dry the substrate and remove the rinse agent from the processed substrate deposition surface 214.
  • FIG. 16 is a top view of a rinse [0073] catch cup 264. Referring to both FIG. 12 and FIG. 16, a rinse catch cup 264 is disposed below the electroplating solution catch cup 246 and extends inwardly from the enclosure side wall 104 of the electro-chemical deposition cell 200 to direct overflowing rinse agents and any residual electroplating solution to a rinse drain 270. The inner terminus 266 of the rinse catch cup 264 defines an opening which outlines the circumference of the substrate support member 204 and allows the passage of the substrate support member 204 therethrough. The rinse catch cup 264 includes a downwardly sloping top surface 268 from the inner terminus 266 to a rinse drain 270. The rinse spray spout 260 sprays the rinse agent over the processed substrate deposition surface 214 to clean the deposited surface and to remove any excess electroplating solution remaining on the substrate deposition surface 214. As the substrate is spun dry, the rinse agent flows over the deposited substrate surface into the rinse catch cup 264 to the rinse drain 270 that drains the rinse agent to a bottom portion of the cell 200. The lower portion of the electro-chemical deposition cell 200 serves as a catch bowl, and an outlet 259 on the enclosure bottom 106 returns the used rinse solution to a purifier 98 and then back to the rinse solution reservoir 96 to be re-used for subsequent rinses (shown in FIG. 3). The rinse agent is then pumped out of the electro-chemical deposition cell 200 through an outlet 259 into a rinse agent reservoir 96.
  • In operation, a [0074] substrate 202 is transferred into the electro-chemical deposition cell 200 by a robot blade 88 through the slit opening 280 over a substrate support member 204 that is retracted fully. FIG. 3 is a cross sectional schematic view of an electro-chemical deposition cell 200 showing a robot blade transferring a substrate 202 into the electro-chemical deposition cell 200. A slit valve 282 is opened during the substrate transfer, and a robot blade 88 having a substrate 202 thereon enters the electro-chemical deposition cell 200 through the slit opening 280. The substrate 202 is positioned above the substrate support member 204, and the lift pin platform is elevated. The substrate 202 is lift above the robot blade 88 by the lift pins 272 on the lift pin platform 320 that is elevated by the lift platform actuator 326 extending the push rod 327. The robot blade 88 then retracts out of the electro-chemical deposition cell 200 and the slit valve 282 closes to seal the processing environment. FIG. 3 is a cross sectional schematic view of the electro-chemical deposition cell 200 showing a substrate positioned over a substrate support member 204 and supported by lift pins 272. The lift platform actuator 326 retracts the push rod 327 to lower the lift pin platform 320 and position the substrate 202 onto the substrate supporting surface 206 and the outer seal O-ring 298. The vacuum chuck 290 engages the vacuum suction to hold the substrate 202 on the substrate supporting surface 206, and the outer seal (O-ring) 298 seals the backside of the substrate 202 from the processing chemicals.
  • The [0075] actuator 346 then elevates the support member 204 to the processing position. FIG. 4 is a cross sectional schematic view of an electro-chemical deposition cell 200 having a substrate 202 disposed on a substrate support member 204 in a processing position according to the invention. At the processing position, the substrate 202 engages the cathode clamp ring 210, and an electrical power is delivered through the contact portion 208 of the cathode clamp ring 210 to the substrate deposition surface 214. An electroplating solution is pumped through the solution inlet 240 at the enclosure top 102 into the cavity ring 236 above the anode plate 230. The electroplating solution passes through the anode plate 230 onto the substrate deposition surface 214 to deposit a metal layer thereon.
  • During the deposition process, the [0076] rotary actuator 310 rotates the substrate support member 204 about a central axis through the rotating shaft 306 at between about 10 revolutions per minute (RPM) to about 50 RPM, and the actuator 346 provides a vibrational agitation to the substrate support member 204. The rotation and the agitation of the substrate support member 204 provide a uniform exposure of the electroplating solution to the substrate deposition surface 214 and promote uniform deposition thereon. Deposition uniformity is also improved by the continuous cathode contact provided by the cathode clamp ring 210 that distributes a uniform current density across the substrate deposition surface 214.
  • To enhance filling of high aspect ratio features on the substrate deposition surface, a plate/de-plate scheme is applied during the deposition phase of the process. The plate/deplate scheme generally comprises periodic reversal of the electrical current flowing through the electroplating solution between the cathode and the anode. During the plating period, the cathode and the anode are biased normally to cause electro-chemical deposition onto the cathode. During the deplating period, the cathode and the anode are reverse biased and the electrical current is reversed to cause de-plating of the deposited surface. However, because a higher electrical current is applied for a shorter duration during the de-plating period, as compared to the plating period, the de-plating period removes the crowning or bridging effect at the mouth of the aperture of high aspect ratio features and enhances filling of the feature for the subsequent plating period. [0077]
  • After the electroplating solution flows over the [0078] substrate deposition surface 214, the electroplating solution flows over the cathode clamp ring 210 into the electrolyte catch cup 246. The electroplating solution then flows through the electrolyte drain 244 and is pumped out of the electro-chemical deposition cell 200 through outlet 258. Preferably, the electroplating solution is re-circulated through the electro-chemical deposition cell 200 until the end of the deposition process. Then, the electroplating solution is evacuated from the electro-chemical deposition cell 200 into the electrolyte reservoir 94 until the next deposition process. Preferably, as the electroplating solution is evacuated, the rotational actuator 310 rotates the substrate support member 204 at a speed sufficient to spin dry the substrate deposition surface 214 by centrifugal force. The substrate support member 204 preferably spins at least about 100 RPM to spin dry the substrate 202.
  • After the deposition process, the [0079] actuator 346 lowers the substrate support member 204 to a rinsing position. The substrate 202 is preferably positioned below a horizontal plane defined by the rinse spray spouts 260 but above a horizontal plane defined by the tip of the rinse catch cup 264. The rinse spray spouts 260 spray the rinse agent over the processed substrate deposition surface 214 to clean the deposited surface and to remove any excess electroplating solution remaining on the substrate deposition surface 214. To end the rinse process, the substrate support member 204 rotates at a speed at least about 100 RPM to spin dry the substrate deposition surface 214 through centrifugal force. The rinse agent is drained through the rinse drain 270 to the bottom of the cell 200 and pumped out of the cell 200 through outlet 259 into a rinse agent reservoir 96.
  • After the rinse process, the [0080] actuator 346 retracts fully and lowers the substrate support member 204 to the transfer position as shown in FIG. 3. The vacuum chuck 290 disengages the vacuum suction and releases the substrate 202, and the lift platform actuator 326 extends the push rod 327 to elevate the lift pin platform 320 and the lift pins 272 to lift the processed substrate 202 above the substrate support surface 206. As the lift pins 272 lift the substrate 202 above the substrate support surface 206, a blow-off gas is pumped through the vacuum chuck 290 out of the vacuum port 294 to provide a gas flow directed at the backside edge of the substrate 202. The blow-off gas prevents any remaining rinse agent from contaminating the backside 215 of the substrate 202. The slit valve 282 opens, and the robot blade 88 extends into the electro-chemical deposition cell 200 through the slit 280. The robot blade 88 is positioned under the elevated substrate 202, and the lift pins 272 are lowered to position the substrate 202 onto the robot blade 88. The robot blade 88 then retracts out of the electro-chemical deposition cell 200 with the processed substrate, and the process repeats for the next unprocessed substrate.
  • While the foregoing is directed to the preferred embodiment of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof. The scope of the invention is determined by the claims which follow. [0081]

Claims (27)

1. A method for electroplating a metal onto a substrate plating surface, comprising:
holding a substrate with the substrate plating surface face-up on a rotatable substrate support member having means for holding and rotating the substrate during an electroplating process;
positioning an anode above the substrate plating surface;
flowing an electroplating solution between the anode and the substrate plating surface; and
applying a plating bias between the substrate plating surface and the anode to electroplate the metal onto the plating surface.
2. The method of claim 1 wherein the step of holding the substrate comprises providing a vacuum suction between the substrate support member and a back side of the substrate.
3. The method of claim 1, wherein the step of holding the substrate further comprises providing a peripheral seal between the substrate support member and a back side of the substrate.
4. The method of claim 1, wherein applying a plating bias comprises positioning a cathode contact ring in electrical contact with the plating surface, the cathode contact ring defining a fluid processing volume between the ring and the substrate surface.
5. The method of claim 4, wherein the cathode contact ring contacts the plating surface annular ring and a plurality of contact pins extending radially inwardly therefrom, and positioning an annular seal radially inward of the contact pins.
6. The method of claim 1, wherein the electroplating solution flows through perforations in the anode.
7. The method of claim 1, wherein the anode is consumed during the operation of the electroplating method.
8. The method of claim 1, further comprising rotating the substrate while flowing the electroplating solution between the anode and the substrate plating surface.
9. The method of claim 1, further comprising vibrating the substrate while flowing the electroplating solution between the anode and the substrate plating surface.
10. The method of claim 4, wherein flowing the electroplating solution further comprises filling the fluid processing volume.
11. The method of claim 10, wherein the positioning the anode further comprises positioning the anode in electrical communication with the fluid processing volume.
12. The method of claim 4, further comprising removing the cathode contact ring and rinsing the substrate plating surface with a rinse agent.
13. The method of claim 12, wherein the step of rinsing the substrate plating surface comprises spraying a rinse agent over the substrate plating surface while rotating the substrate support within.
14. The method of claim 12, further comprising draining the rinse agent back to a rinse agent reservoir.
15. The method of claim 12, further comprising purifying the rinse agent in a purifier.
16. The method of claim 12, further comprising spin-drying the substrate.
17. The method of claim 1, further comprising supplying the electroplating solution into a cavity ring disposed above the anode.
18. The method of claim 17, further comprising moving the cavity ring while flowing the electroplating solution.
19. A method for electroplating a metal onto a substrate plating surface, comprising:
positioning the substrate plating surface face-up on a support member;
positioning the support member at a first vertical position in a processing cell;
electrically contacting a cathode clamp ring to the substrate plating surface;
flowing an electroplating solution from an anode to the substrate plating surface while rotating the substrate plating surface at the first vertical position;
positioning the support member at a second vertical position in the cell, the second position being different from the first position; and
rinsing the substrate plating surface with a rinse agent at the second vertical position.
20. The method of claim 19, further comprising spin-drying the substrate plating surface.
21. The method of claim 19, further comprising draining the electroplating solution to an electroplating solution reservoir.
22. The method of claim 19, further comprising draining the rinse agent to a rinse drain and purifying the rinse agent.
23. A method for plating and rinsing a substrate in a processing cell, comprising:
positioning the substrate face-up on a rotatable substrate support member and positioning the substrate support member at a plating position in the cell;
electrically contacting a plating surface of the substrate with a cathode electrode;
forming a fluid processing volume above the plating surface;
positioning an anode in electrical communication with the processing volume;
applying a plating bias between the anode and the cathode electrode to plate a metal from the fluid processing volume onto the plating surface in the plating position;
moving the substrate support member to a rinsing position; and
dispensing a rinsing solution onto the plating surface while rotating the substrate.
24. The method of claim 23, further comprising capturing a plating solution used in the plating process with a first fluid receiving member and capturing the rinsing solution with a second fluid receiving member.
25. The method of claim 23, wherein electrically contacting the plating surface comprises positioning a cathode contact ring having a plurality of radially positioned substrate contact pins positioned thereon such that the contact pins electrically engage a perimeter of the substrate.
26. The method of claim 25, further comprising sealably engaging the perimeter of the plating surface with an annular seal positioned radially inward of the contact pins.
27. The method of claim 23, further comprising flowing an electroplating solution through a plurality of perforations in the anode to fill the fluid processing volume.
US10/630,185 1998-04-21 2003-07-29 Electro-chemical deposition cell for face-up processing of single semiconductor substrates Abandoned US20040020781A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US10/630,185 US20040020781A1 (en) 1998-04-21 2003-07-29 Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US11/090,919 US20050199489A1 (en) 2002-01-28 2005-03-25 Electroless deposition apparatus

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US8249498P 1998-04-21 1998-04-21
US09/294,240 US6416647B1 (en) 1998-04-21 1999-04-19 Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US10/178,469 US6599402B2 (en) 1998-04-21 2002-06-24 Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US10/630,185 US20040020781A1 (en) 1998-04-21 2003-07-29 Electro-chemical deposition cell for face-up processing of single semiconductor substrates

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/178,469 Division US6599402B2 (en) 1998-04-21 2002-06-24 Electro-chemical deposition cell for face-up processing of single semiconductor substrates

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/090,919 Continuation-In-Part US20050199489A1 (en) 2002-01-28 2005-03-25 Electroless deposition apparatus

Publications (1)

Publication Number Publication Date
US20040020781A1 true US20040020781A1 (en) 2004-02-05

Family

ID=22171573

Family Applications (3)

Application Number Title Priority Date Filing Date
US09/294,240 Expired - Fee Related US6416647B1 (en) 1998-04-21 1999-04-19 Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US10/178,469 Expired - Fee Related US6599402B2 (en) 1998-04-21 2002-06-24 Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US10/630,185 Abandoned US20040020781A1 (en) 1998-04-21 2003-07-29 Electro-chemical deposition cell for face-up processing of single semiconductor substrates

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US09/294,240 Expired - Fee Related US6416647B1 (en) 1998-04-21 1999-04-19 Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US10/178,469 Expired - Fee Related US6599402B2 (en) 1998-04-21 2002-06-24 Electro-chemical deposition cell for face-up processing of single semiconductor substrates

Country Status (6)

Country Link
US (3) US6416647B1 (en)
EP (1) EP0992062A2 (en)
JP (1) JP2002506489A (en)
KR (1) KR20010014064A (en)
TW (1) TW589408B (en)
WO (1) WO1999054920A2 (en)

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050003737A1 (en) * 2003-06-06 2005-01-06 P.C.T. Systems, Inc. Method and apparatus to process substrates with megasonic energy
US20050241770A1 (en) * 2004-04-28 2005-11-03 Tokyo Electron Limited Substrate cleaning apparatus and method
US20050284767A1 (en) * 2004-06-28 2005-12-29 Lam Research Corporation Method and apparatus for plating semiconductor wafers
US20060124451A1 (en) * 2004-12-15 2006-06-15 Lam Research Corporation Wafer support apparatus for electroplating process and method for using the same
US20060185976A1 (en) * 1999-11-08 2006-08-24 Satoshi Sendai Plating apparatus and method
US20070062647A1 (en) * 2005-09-19 2007-03-22 Bailey Joel B Method and apparatus for isolative substrate edge area processing
US20110198033A1 (en) * 2010-02-16 2011-08-18 Canon Anelva Corporation Shutter device and vacuum processing apparatus
US8293417B2 (en) 2006-11-08 2012-10-23 Alan Devoe Solid oxide fuel cell device
CN102936744A (en) * 2012-11-29 2013-02-20 成都瑞迪机械实业有限公司 Chromium plating fixture for hole parts
US8614026B2 (en) 2007-11-08 2013-12-24 Alan Devoe Fuel cell device and system
US8715879B2 (en) 2007-05-10 2014-05-06 Alan Devoe Fuel cell device and system
US8932776B2 (en) 2006-05-11 2015-01-13 Alan Devoe Solid oxide fuel cell device and system
US8962209B2 (en) 2008-03-07 2015-02-24 Alan Devoe Fuel cell device and system
CN104576280A (en) * 2013-10-23 2015-04-29 中微半导体设备(上海)有限公司 Plasma processing cavity and unclamping device and unclamping method thereof
US9023555B2 (en) 2012-02-24 2015-05-05 Alan Devoe Method of making a fuel cell device
US9059450B2 (en) 2008-10-28 2015-06-16 Alan Devoe Fuel cell device and system
US9209474B2 (en) 2009-03-06 2015-12-08 Alan Devoe Fuel cell device
US9437894B2 (en) 2012-02-24 2016-09-06 Alan Devoe Method of making a fuel cell device
JP2020072249A (en) * 2018-10-25 2020-05-07 東京エレクトロン株式会社 Stage device and processing device
EP4015674A1 (en) * 2020-12-16 2022-06-22 Semsysco GmbH System for a surface treatment of a substrate with a fluid
US20220259756A1 (en) * 2019-07-16 2022-08-18 Applied Materials, Inc. Fluid recovery in semiconductor processing

Families Citing this family (293)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6416647B1 (en) 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6248222B1 (en) * 1998-09-08 2001-06-19 Acm Research, Inc. Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
US6726823B1 (en) * 1998-11-28 2004-04-27 Acm Research, Inc. Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
US20040104120A1 (en) * 1998-11-28 2004-06-03 Hui Wang Method and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
US6673216B2 (en) * 1999-08-31 2004-01-06 Semitool, Inc. Apparatus for providing electrical and fluid communication to a rotating microelectronic workpiece during electrochemical processing
US20050205111A1 (en) * 1999-10-12 2005-09-22 Ritzdorf Thomas L Method and apparatus for processing a microfeature workpiece with multiple fluid streams
WO2001027357A1 (en) * 1999-10-12 2001-04-19 Semitool, Inc. Method and apparatus for executing plural processes on a microelectronic workpiece at a single processing station
US6423636B1 (en) * 1999-11-19 2002-07-23 Applied Materials, Inc. Process sequence for improved seed layer productivity and achieving 3mm edge exclusion for a copper metalization process on semiconductor wafer
CN1319130C (en) * 1999-12-24 2007-05-30 株式会社荏原制作所<Del/> Apparatus for plating semiconductor substrate, method for plating semiconductor substrate
US6632335B2 (en) * 1999-12-24 2003-10-14 Ebara Corporation Plating apparatus
US6689257B2 (en) * 2000-05-26 2004-02-10 Ebara Corporation Substrate processing apparatus and substrate plating apparatus
US6454927B1 (en) 2000-06-26 2002-09-24 Applied Materials, Inc. Apparatus and method for electro chemical deposition
US7134934B2 (en) * 2000-08-30 2006-11-14 Micron Technology, Inc. Methods and apparatus for electrically detecting characteristics of a microelectronic substrate and/or polishing medium
US7078308B2 (en) * 2002-08-29 2006-07-18 Micron Technology, Inc. Method and apparatus for removing adjacent conductive and nonconductive materials of a microelectronic substrate
US7192335B2 (en) * 2002-08-29 2007-03-20 Micron Technology, Inc. Method and apparatus for chemically, mechanically, and/or electrolytically removing material from microelectronic substrates
US7094131B2 (en) 2000-08-30 2006-08-22 Micron Technology, Inc. Microelectronic substrate having conductive material with blunt cornered apertures, and associated methods for removing conductive material
US7074113B1 (en) * 2000-08-30 2006-07-11 Micron Technology, Inc. Methods and apparatus for removing conductive material from a microelectronic substrate
US7129160B2 (en) * 2002-08-29 2006-10-31 Micron Technology, Inc. Method for simultaneously removing multiple conductive materials from microelectronic substrates
US7153195B2 (en) * 2000-08-30 2006-12-26 Micron Technology, Inc. Methods and apparatus for selectively removing conductive material from a microelectronic substrate
US7112121B2 (en) * 2000-08-30 2006-09-26 Micron Technology, Inc. Methods and apparatus for electrical, mechanical and/or chemical removal of conductive material from a microelectronic substrate
US7160176B2 (en) * 2000-08-30 2007-01-09 Micron Technology, Inc. Methods and apparatus for electrically and/or chemically-mechanically removing conductive material from a microelectronic substrate
US7220166B2 (en) * 2000-08-30 2007-05-22 Micron Technology, Inc. Methods and apparatus for electromechanically and/or electrochemically-mechanically removing conductive material from a microelectronic substrate
US7153410B2 (en) * 2000-08-30 2006-12-26 Micron Technology, Inc. Methods and apparatus for electrochemical-mechanical processing of microelectronic workpieces
US6610189B2 (en) * 2001-01-03 2003-08-26 Applied Materials, Inc. Method and associated apparatus to mechanically enhance the deposition of a metal film within a feature
US6478937B2 (en) * 2001-01-19 2002-11-12 Applied Material, Inc. Substrate holder system with substrate extension apparatus and associated method
US6869515B2 (en) 2001-03-30 2005-03-22 Uri Cohen Enhanced electrochemical deposition (ECD) filling of high aspect ratio openings
US6908540B2 (en) 2001-07-13 2005-06-21 Applied Materials, Inc. Method and apparatus for encapsulation of an edge of a substrate during an electro-chemical deposition process
JP2003060012A (en) * 2001-08-08 2003-02-28 Asm Japan Kk Reaction chamber for semiconductor treatment
JP3681670B2 (en) * 2001-09-25 2005-08-10 シャープ株式会社 Semiconductor integrated circuit manufacturing apparatus and manufacturing method
US6824666B2 (en) * 2002-01-28 2004-11-30 Applied Materials, Inc. Electroless deposition method over sub-micron apertures
US7138014B2 (en) * 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6905622B2 (en) * 2002-04-03 2005-06-14 Applied Materials, Inc. Electroless deposition method
US6899816B2 (en) * 2002-04-03 2005-05-31 Applied Materials, Inc. Electroless deposition method
US6843897B2 (en) * 2002-05-28 2005-01-18 Applied Materials, Inc. Anode slime reduction method while maintaining low current
US6855235B2 (en) * 2002-05-28 2005-02-15 Applied Materials, Inc. Anode impedance control through electrolyte flow control
WO2004001813A2 (en) * 2002-06-21 2003-12-31 Ebara Corporation Substrate holder and plating apparatus
US9624596B2 (en) 2002-07-22 2017-04-18 Ebara Corporation Electrochemical deposition method
US7128823B2 (en) 2002-07-24 2006-10-31 Applied Materials, Inc. Anolyte for copper plating
US7247222B2 (en) * 2002-07-24 2007-07-24 Applied Materials, Inc. Electrochemical processing cell
US6821909B2 (en) * 2002-10-30 2004-11-23 Applied Materials, Inc. Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
US20040084318A1 (en) * 2002-11-05 2004-05-06 Uri Cohen Methods and apparatus for activating openings and for jets plating
US20040104119A1 (en) * 2002-12-02 2004-06-03 Applied Materials, Inc. Small volume electroplating cell
US20040108213A1 (en) * 2002-12-09 2004-06-10 Talasek Robert T. Plating bath composition control
US7270735B2 (en) * 2003-01-21 2007-09-18 Seagate Technology Llc System and method for holding and releasing a workpiece for electrochemical machining
US7146994B2 (en) * 2003-03-17 2006-12-12 Novellus Systems, Inc. Active rinse shield for electrofill chemical bath and method of use
JP2005029830A (en) * 2003-07-10 2005-02-03 Ebara Corp Plating device and plating method
US20050051437A1 (en) * 2003-09-04 2005-03-10 Keiichi Kurashina Plating apparatus and plating method
US7112122B2 (en) * 2003-09-17 2006-09-26 Micron Technology, Inc. Methods and apparatus for removing conductive material from a microelectronic substrate
US7654221B2 (en) * 2003-10-06 2010-02-02 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7827930B2 (en) * 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7465358B2 (en) * 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US7064065B2 (en) * 2003-10-15 2006-06-20 Applied Materials, Inc. Silver under-layers for electroless cobalt alloys
WO2005038084A2 (en) * 2003-10-17 2005-04-28 Applied Materials, Inc. Selective self-initiating electroless capping of copper with cobalt-containing alloys
US20050095830A1 (en) * 2003-10-17 2005-05-05 Applied Materials, Inc. Selective self-initiating electroless capping of copper with cobalt-containing alloys
US7205233B2 (en) * 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
US20050098198A1 (en) * 2003-11-10 2005-05-12 Reg Yang Washing device for packaging the image
US7044476B2 (en) * 2003-11-25 2006-05-16 N&K Technology, Inc. Compact pinlifter assembly integrated in wafer chuck
US20060003570A1 (en) * 2003-12-02 2006-01-05 Arulkumar Shanmugasundram Method and apparatus for electroless capping with vapor drying
US20050121329A1 (en) * 2003-12-05 2005-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Thrust pad assembly for ECP system
US20050161338A1 (en) * 2004-01-26 2005-07-28 Applied Materials, Inc. Electroless cobalt alloy deposition process
US20050181226A1 (en) * 2004-01-26 2005-08-18 Applied Materials, Inc. Method and apparatus for selectively changing thin film composition during electroless deposition in a single chamber
US20050170650A1 (en) * 2004-01-26 2005-08-04 Hongbin Fang Electroless palladium nitrate activation prior to cobalt-alloy deposition
US7153777B2 (en) * 2004-02-20 2006-12-26 Micron Technology, Inc. Methods and apparatuses for electrochemical-mechanical polishing
KR101248182B1 (en) * 2004-02-26 2013-03-27 어플라이드 머티어리얼스, 인코포레이티드 In-situ clean chamber for front end of line fabrication
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7371312B2 (en) * 2004-03-31 2008-05-13 Intel Corporation Using cell voltage as a monitor for deposition coverage
US20050253268A1 (en) * 2004-04-22 2005-11-17 Shao-Ta Hsu Method and structure for improving adhesion between intermetal dielectric layer and cap layer
US7226860B2 (en) * 2004-04-28 2007-06-05 Taiwan Semiconductor Manfacturing Co. Ltd. Method and apparatus for fabricating metal layer
US7566391B2 (en) * 2004-09-01 2009-07-28 Micron Technology, Inc. Methods and systems for removing materials from microfeature workpieces with organic and/or non-aqueous electrolytic media
US7292427B1 (en) * 2004-10-12 2007-11-06 Kla-Tencor Technologies Corporation Pin lift chuck assembly for warped substrates
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
TWI259538B (en) * 2004-11-22 2006-08-01 Au Optronics Corp Thin film transistor and fabrication method thereof
US20090107545A1 (en) 2006-10-09 2009-04-30 Soltaix, Inc. Template for pyramidal three-dimensional thin-film solar cell manufacturing and methods of use
US8399331B2 (en) 2007-10-06 2013-03-19 Solexel Laser processing for high-efficiency thin crystalline silicon solar cell fabrication
US8420435B2 (en) * 2009-05-05 2013-04-16 Solexel, Inc. Ion implantation fabrication process for thin-film crystalline silicon solar cells
US9508886B2 (en) 2007-10-06 2016-11-29 Solexel, Inc. Method for making a crystalline silicon solar cell substrate utilizing flat top laser beam
US20060240187A1 (en) * 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US7155319B2 (en) 2005-02-23 2006-12-26 Applied Materials, Inc. Closed loop control on liquid delivery system ECP slim cell
TW200734482A (en) * 2005-03-18 2007-09-16 Applied Materials Inc Electroless deposition process on a contact containing silicon or silicide
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
TW200707640A (en) * 2005-03-18 2007-02-16 Applied Materials Inc Contact metallization scheme using a barrier layer over a silicide layer
US20070238265A1 (en) * 2005-04-05 2007-10-11 Keiichi Kurashina Plating apparatus and plating method
JP2006299367A (en) * 2005-04-22 2006-11-02 Yamamoto Mekki Shikenki:Kk Electroplating tester
WO2007035880A2 (en) * 2005-09-21 2007-03-29 Applied Materials, Inc. Method and apparatus for forming device features in an integrated electroless deposition system
US7737035B1 (en) * 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
JP4937655B2 (en) * 2006-07-18 2012-05-23 株式会社東設 Electroplating equipment
US8035028B2 (en) * 2006-10-09 2011-10-11 Solexel, Inc. Pyramidal three-dimensional thin-film solar cells
US20080264477A1 (en) * 2006-10-09 2008-10-30 Soltaix, Inc. Methods for manufacturing three-dimensional thin-film solar cells
US7999174B2 (en) * 2006-10-09 2011-08-16 Solexel, Inc. Solar module structures and assembly methods for three-dimensional thin-film solar cells
US8193076B2 (en) 2006-10-09 2012-06-05 Solexel, Inc. Method for releasing a thin semiconductor substrate from a reusable template
US8293558B2 (en) * 2006-10-09 2012-10-23 Solexel, Inc. Method for releasing a thin-film substrate
US20100304521A1 (en) * 2006-10-09 2010-12-02 Solexel, Inc. Shadow Mask Methods For Manufacturing Three-Dimensional Thin-Film Solar Cells
US7993457B1 (en) 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US9383138B2 (en) * 2007-03-30 2016-07-05 Tokyo Electron Limited Methods and heat treatment apparatus for uniformly heating a substrate during a bake process
US20080241400A1 (en) * 2007-03-31 2008-10-02 Tokyo Electron Limited Vacuum assist method and system for reducing intermixing of lithography layers
WO2009026240A1 (en) * 2007-08-17 2009-02-26 Solexel, Inc. Methods for liquid transfer coating of three-dimensional substrates
US7867900B2 (en) * 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
KR100957366B1 (en) 2008-03-13 2010-05-12 현대자동차주식회사 Jig apparatus for fuel cell separator
US20100144080A1 (en) * 2008-06-02 2010-06-10 Solexel, Inc. Method and apparatus to transfer coat uneven surface
US20100101730A1 (en) * 2008-10-29 2010-04-29 Jusung Engineering Co., Ltd. Substrate processing apparatus
US8288195B2 (en) * 2008-11-13 2012-10-16 Solexel, Inc. Method for fabricating a three-dimensional thin-film semiconductor substrate from a template
EP2356675B1 (en) 2008-11-13 2016-06-01 Solexel, Inc. Three dimensional thin film solar cell and manufacturing method thereof
WO2010063003A1 (en) * 2008-11-26 2010-06-03 Solexel, Inc. Truncated pyramid structures for see-through solar cells
EP2387458B1 (en) * 2009-01-15 2014-03-05 Solexel, Inc. Porous silicon electro-etching system and method
US8906218B2 (en) 2010-05-05 2014-12-09 Solexel, Inc. Apparatus and methods for uniformly forming porous semiconductor on a substrate
US9076642B2 (en) 2009-01-15 2015-07-07 Solexel, Inc. High-Throughput batch porous silicon manufacturing equipment design and processing methods
MY162405A (en) * 2009-02-06 2017-06-15 Solexel Inc Trench Formation Method For Releasing A Thin-Film Substrate From A Reusable Semiconductor Template
US8828517B2 (en) 2009-03-23 2014-09-09 Solexel, Inc. Structure and method for improving solar cell efficiency and mechanical strength
US8656860B2 (en) * 2009-04-14 2014-02-25 Solexel, Inc. High efficiency epitaxial chemical vapor deposition (CVD) reactor
US9099584B2 (en) * 2009-04-24 2015-08-04 Solexel, Inc. Integrated three-dimensional and planar metallization structure for thin film solar cells
MY165969A (en) 2009-05-05 2018-05-18 Solexel Inc High-productivity porous semiconductor manufacturing equipment
US9318644B2 (en) 2009-05-05 2016-04-19 Solexel, Inc. Ion implantation and annealing for thin film crystalline solar cells
US8445314B2 (en) * 2009-05-22 2013-05-21 Solexel, Inc. Method of creating reusable template for detachable thin film substrate
MY159405A (en) * 2009-05-29 2016-12-30 Solexel Inc Three-dimensional thin-film semiconductor substrate with through-holes and methods of manufacturing
CN102763226B (en) 2009-12-09 2016-01-27 速力斯公司 Use high-efficiency photovoltaic back of the body contact solar cell structure and the manufacture method of thin plate semiconductor
US8241940B2 (en) 2010-02-12 2012-08-14 Solexel, Inc. Double-sided reusable template for fabrication of semiconductor substrates for photovoltaic cell and microelectronics device manufacturing
KR20110106178A (en) * 2010-03-22 2011-09-28 삼성전자주식회사 Apparatus and method for treating substrate
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
WO2011156657A2 (en) 2010-06-09 2011-12-15 Solexel, Inc. High productivity thin film deposition method and system
US8946547B2 (en) 2010-08-05 2015-02-03 Solexel, Inc. Backplane reinforcement and interconnects for solar cells
CN106073843B (en) 2010-10-11 2019-05-17 库克医学技术有限责任公司 Medical Devices with detachable pivotable jaws
US9728435B2 (en) 2010-10-21 2017-08-08 Ebara Corporation Plating apparatus and plating method
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9748414B2 (en) 2011-05-20 2017-08-29 Arthur R. Zingher Self-activated front surface bias for a solar cell
US9117856B2 (en) * 2011-07-06 2015-08-25 Tel Nexx, Inc. Substrate loader and unloader having an air bearing support
SG10201605873QA (en) * 2011-07-19 2016-09-29 Ebara Corp Plating apparatus and plating method
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US10269615B2 (en) * 2011-09-09 2019-04-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
NL2009689A (en) * 2011-12-01 2013-06-05 Asml Netherlands Bv Support, lithographic apparatus and device manufacturing method.
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US20140263275A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Rotation enabled multifunctional heater-chiller pedestal
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP6222145B2 (en) 2015-03-11 2017-11-01 トヨタ自動車株式会社 Metal film forming apparatus and film forming method
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US20170053822A1 (en) * 2015-08-23 2017-02-23 Camtek Ltd. Warped wafers vacuum chuck
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
CN107768270B (en) * 2016-08-16 2020-04-07 沈阳芯源微电子设备股份有限公司 Device for preventing backsplash liquid from polluting wafer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US11802340B2 (en) * 2016-12-12 2023-10-31 Applied Materials, Inc. UHV in-situ cryo-cool chamber
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
GB201701166D0 (en) * 2017-01-24 2017-03-08 Picofluidics Ltd An apparatus for electrochemically processing semiconductor substrates
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
JP6697640B2 (en) 2017-02-08 2020-05-20 ピコサン オーワイPicosun Oy Deposition or cleaning device with movable structure and method of operation
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (en) 2018-02-28 2022-06-01 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
TWI810269B (en) * 2018-03-29 2023-08-01 美商應用材料股份有限公司 Electroplating apparatus and method for rinsing a substrate
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
CN112004965B (en) * 2018-04-20 2023-02-28 应用材料公司 Cleaning member and method in electroplating system
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP6971922B2 (en) * 2018-06-27 2021-11-24 株式会社荏原製作所 Board holder
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
WO2020067246A1 (en) * 2018-09-27 2020-04-02 東京エレクトロン株式会社 Substrate processing device and substrate processing method
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2021044336A (en) * 2019-09-10 2021-03-18 キオクシア株式会社 Semiconductor manufacturing device
CN111681984B (en) * 2020-06-10 2023-09-15 上海御微半导体技术有限公司 Sheet bearing device and wafer detection equipment
US11602064B2 (en) * 2020-09-01 2023-03-07 Applied Materials, Inc. Dynamic electrical and fluid delivery system with indexing motion for batch processing chambers
US11848218B2 (en) * 2020-10-22 2023-12-19 Applied Materials, Inc. Semiconductor chamber component cleaning systems
CN112795970B (en) * 2020-12-30 2021-12-21 安徽持恒电子科技有限公司 Copper plating device for circuit board printing

Citations (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3727620A (en) * 1970-03-18 1973-04-17 Fluoroware Of California Inc Rinsing and drying device
US3770598A (en) * 1972-01-21 1973-11-06 Oxy Metal Finishing Corp Electrodeposition of copper from acid baths
US4304641A (en) * 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
US4861452A (en) * 1987-04-13 1989-08-29 Texas Instruments Incorporated Fixture for plating tall contact bumps on integrated circuit
US4874476A (en) * 1987-04-13 1989-10-17 Texas Instruments Incorporated Fixture for plating tall contact bumps on integrated circuit
US4894260A (en) * 1987-09-19 1990-01-16 Pioneer Electronic Corporation Electroless plating method and apparatus
US4931149A (en) * 1987-04-13 1990-06-05 Texas Instruments Incorporated Fixture and a method for plating contact bumps for integrated circuits
US5024746A (en) * 1987-04-13 1991-06-18 Texas Instruments Incorporated Fixture and a method for plating contact bumps for integrated circuits
US5156174A (en) * 1990-05-18 1992-10-20 Semitool, Inc. Single wafer processor with a bowl
US5168887A (en) * 1990-05-18 1992-12-08 Semitool, Inc. Single wafer processor apparatus
US5168886A (en) * 1988-05-25 1992-12-08 Semitool, Inc. Single wafer processor
US5222310A (en) * 1990-05-18 1993-06-29 Semitool, Inc. Single wafer processor with a frame
US5224504A (en) * 1988-05-25 1993-07-06 Semitool, Inc. Single wafer processor
US5230743A (en) * 1988-05-25 1993-07-27 Semitool, Inc. Method for single wafer processing in which a semiconductor wafer is contacted with a fluid
US5441629A (en) * 1993-03-30 1995-08-15 Mitsubishi Denki Kabushiki Kaisha Apparatus and method of electroplating
US5489341A (en) * 1993-08-23 1996-02-06 Semitool, Inc. Semiconductor processing with non-jetting fluid stream discharge array
US5544421A (en) * 1994-04-28 1996-08-13 Semitool, Inc. Semiconductor wafer processing system
US5620581A (en) * 1995-11-29 1997-04-15 Aiwa Research And Development, Inc. Apparatus for electroplating metal films including a cathode ring, insulator ring and thief ring
US5837120A (en) * 1994-09-30 1998-11-17 Electroplating Technologies, Inc. Method and apparatus for electrochemical processing
US5879520A (en) * 1994-08-26 1999-03-09 Griego; Thomas P. Rotary electrodeposition apparatus
US6017437A (en) * 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US6099702A (en) * 1998-06-10 2000-08-08 Novellus Systems, Inc. Electroplating chamber with rotatable wafer holder and pre-wetting and rinsing capability
US6132857A (en) * 1996-02-29 2000-10-17 Societe Nationale D'etude Et De Construction De Moterus D'aviation "Snecma" Hybrid component with high strength/mass ratio and method of manufacturing said component
US6136163A (en) * 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6228232B1 (en) * 1998-07-09 2001-05-08 Semitool, Inc. Reactor vessel having improved cup anode and conductor assembly
US6241825B1 (en) * 1999-04-16 2001-06-05 Cutek Research Inc. Compliant wafer chuck
US6258220B1 (en) * 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6270635B1 (en) * 1999-04-27 2001-08-07 Advanced Micro Devices, Inc. Consistent plating system for electroplating
US6352263B1 (en) * 1998-12-03 2002-03-05 Mtu Motoren-Und Turbinen-Union Brush seals with bristles arranged at an angle
US6383352B1 (en) * 1998-11-13 2002-05-07 Mykrolis Corporation Spiral anode for metal plating baths
US6391166B1 (en) * 1998-02-12 2002-05-21 Acm Research, Inc. Plating apparatus and method
US6416647B1 (en) * 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4027686A (en) 1973-01-02 1977-06-07 Texas Instruments Incorporated Method and apparatus for cleaning the surface of a semiconductor slice with a liquid spray of de-ionized water
GB1526076A (en) 1975-03-11 1978-09-27 Oxy Metal Industries Corp Electrodeposition of copper
JPS5271871A (en) 1975-12-11 1977-06-15 Nec Corp Washing apparatus
JPS5819350B2 (en) 1976-04-08 1983-04-18 富士写真フイルム株式会社 Spin coating method
US4315059A (en) 1980-07-18 1982-02-09 The United States Of America As Represented By The United States Department Of Energy Molten salt lithium cells
US4405416A (en) 1980-07-18 1983-09-20 Raistrick Ian D Molten salt lithium cells
US4336114A (en) 1981-03-26 1982-06-22 Hooker Chemicals & Plastics Corp. Electrodeposition of bright copper
US4376685A (en) 1981-06-24 1983-03-15 M&T Chemicals Inc. Acid copper electroplating baths containing brightening and leveling additives
DE3272891D1 (en) 1981-10-01 1986-10-02 Emi Ltd Electroplating arrangements
JPS58182823A (en) 1982-04-21 1983-10-25 Nec Corp Plating apparatus for semiconductor wafer
US4489740A (en) 1982-12-27 1984-12-25 General Signal Corporation Disc cleaning machine
US4428815A (en) 1983-04-28 1984-01-31 Western Electric Co., Inc. Vacuum-type article holder and methods of supportively retaining articles
US4510176A (en) 1983-09-26 1985-04-09 At&T Bell Laboratories Removal of coating from periphery of a semiconductor wafer
US4518678A (en) 1983-12-16 1985-05-21 Advanced Micro Devices, Inc. Selective removal of coating material on a coated substrate
US4519846A (en) 1984-03-08 1985-05-28 Seiichiro Aigo Process for washing and drying a semiconductor element
US4693805A (en) 1986-02-14 1987-09-15 Boe Limited Method and apparatus for sputtering a dielectric target or for reactive sputtering
US4732785A (en) 1986-09-26 1988-03-22 Motorola, Inc. Edge bead removal process for spin on films
JPS63118093A (en) 1986-11-05 1988-05-23 Tanaka Electron Ind Co Ltd Method for tinning electronic parts
JPH01255684A (en) 1988-04-01 1989-10-12 Nec Corp Equipment for producing semiconductor wafer
US5235995A (en) 1989-03-27 1993-08-17 Semitool, Inc. Semiconductor processor apparatus with dynamic wafer vapor treatment and particulate volatilization
US5316974A (en) 1988-12-19 1994-05-31 Texas Instruments Incorporated Integrated circuit copper metallization process using a lift-off seed layer and a thick-plated conductor layer
JPH02205697A (en) 1989-02-03 1990-08-15 Nec Corp Bump plating device
US5039381A (en) 1989-05-25 1991-08-13 Mullarkey Edward J Method of electroplating a precious metal on a semiconductor device, integrated circuit or the like
US5055425A (en) 1989-06-01 1991-10-08 Hewlett-Packard Company Stacked solid via formation in integrated circuit systems
US5162260A (en) 1989-06-01 1992-11-10 Hewlett-Packard Company Stacked solid via formation in integrated circuit systems
US5155336A (en) 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US5259407A (en) 1990-06-15 1993-11-09 Matrix Inc. Surface treatment method and apparatus for a semiconductor wafer
US5252807A (en) 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5368711A (en) 1990-08-01 1994-11-29 Poris; Jaime Selective metal electrodeposition process and apparatus
US5256274A (en) 1990-08-01 1993-10-26 Jaime Poris Selective metal electrodeposition process
JPH04131395A (en) 1990-09-21 1992-05-06 Toshiba Corp Method and device for plating semiconductor wafer
EP0496605B1 (en) 1991-01-24 2001-08-01 Wako Pure Chemical Industries Ltd Surface treating solutions for semiconductors
JP2697773B2 (en) 1991-03-11 1998-01-14 日本エレクトロプレイテイング・エンジニヤース 株式会社 Plating method
JP3200468B2 (en) 1992-05-21 2001-08-20 日本エレクトロプレイテイング・エンジニヤース株式会社 Wafer plating equipment
JP2654314B2 (en) 1992-06-04 1997-09-17 東京応化工業株式会社 Backside cleaning device
JPH0617291A (en) 1992-07-03 1994-01-25 Nec Corp Metal plating device
US5328589A (en) 1992-12-23 1994-07-12 Enthone-Omi, Inc. Functional fluid additives for acid copper electroplating baths
US5718813A (en) 1992-12-30 1998-02-17 Advanced Energy Industries, Inc. Enhanced reactive DC sputtering system
US5608943A (en) 1993-08-23 1997-03-11 Tokyo Electron Limited Apparatus for removing process liquid
US5625170A (en) 1994-01-18 1997-04-29 Nanometrics Incorporated Precision weighing to monitor the thickness and uniformity of deposited or etched thin film
US5651865A (en) 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5705223A (en) 1994-07-26 1998-01-06 International Business Machine Corp. Method and apparatus for coating a semiconductor wafer
US5807469A (en) 1995-09-27 1998-09-15 Intel Corporation Flexible continuous cathode contact circuit for electrolytic plating of C4, tab microbumps, and ultra large scale interconnects
EP0876519B1 (en) * 1996-01-23 2001-06-27 Minnesota Mining And Manufacturing Company Apparatus and method for electroplating a metal onto a substrate
JP3462970B2 (en) * 1997-04-28 2003-11-05 三菱電機株式会社 Plating apparatus and plating method
US6027631A (en) 1997-11-13 2000-02-22 Novellus Systems, Inc. Electroplating system with shields for varying thickness profile of deposited layer
JP2002086327A (en) 2000-01-27 2002-03-26 Seiko Epson Corp Jig for machining

Patent Citations (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3727620A (en) * 1970-03-18 1973-04-17 Fluoroware Of California Inc Rinsing and drying device
US3770598A (en) * 1972-01-21 1973-11-06 Oxy Metal Finishing Corp Electrodeposition of copper from acid baths
US4304641A (en) * 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
US4861452A (en) * 1987-04-13 1989-08-29 Texas Instruments Incorporated Fixture for plating tall contact bumps on integrated circuit
US4874476A (en) * 1987-04-13 1989-10-17 Texas Instruments Incorporated Fixture for plating tall contact bumps on integrated circuit
US4931149A (en) * 1987-04-13 1990-06-05 Texas Instruments Incorporated Fixture and a method for plating contact bumps for integrated circuits
US5024746A (en) * 1987-04-13 1991-06-18 Texas Instruments Incorporated Fixture and a method for plating contact bumps for integrated circuits
US4894260A (en) * 1987-09-19 1990-01-16 Pioneer Electronic Corporation Electroless plating method and apparatus
US5224504A (en) * 1988-05-25 1993-07-06 Semitool, Inc. Single wafer processor
US5168886A (en) * 1988-05-25 1992-12-08 Semitool, Inc. Single wafer processor
US5230743A (en) * 1988-05-25 1993-07-27 Semitool, Inc. Method for single wafer processing in which a semiconductor wafer is contacted with a fluid
US5168887A (en) * 1990-05-18 1992-12-08 Semitool, Inc. Single wafer processor apparatus
US5222310A (en) * 1990-05-18 1993-06-29 Semitool, Inc. Single wafer processor with a frame
US5573023A (en) * 1990-05-18 1996-11-12 Semitool, Inc. Single wafer processor apparatus
US5156174A (en) * 1990-05-18 1992-10-20 Semitool, Inc. Single wafer processor with a bowl
US5441629A (en) * 1993-03-30 1995-08-15 Mitsubishi Denki Kabushiki Kaisha Apparatus and method of electroplating
US5489341A (en) * 1993-08-23 1996-02-06 Semitool, Inc. Semiconductor processing with non-jetting fluid stream discharge array
US5544421A (en) * 1994-04-28 1996-08-13 Semitool, Inc. Semiconductor wafer processing system
US5879520A (en) * 1994-08-26 1999-03-09 Griego; Thomas P. Rotary electrodeposition apparatus
US5837120A (en) * 1994-09-30 1998-11-17 Electroplating Technologies, Inc. Method and apparatus for electrochemical processing
US5620581A (en) * 1995-11-29 1997-04-15 Aiwa Research And Development, Inc. Apparatus for electroplating metal films including a cathode ring, insulator ring and thief ring
US6132857A (en) * 1996-02-29 2000-10-17 Societe Nationale D'etude Et De Construction De Moterus D'aviation "Snecma" Hybrid component with high strength/mass ratio and method of manufacturing said component
US6017437A (en) * 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US6391166B1 (en) * 1998-02-12 2002-05-21 Acm Research, Inc. Plating apparatus and method
US6416647B1 (en) * 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6099702A (en) * 1998-06-10 2000-08-08 Novellus Systems, Inc. Electroplating chamber with rotatable wafer holder and pre-wetting and rinsing capability
US6214193B1 (en) * 1998-06-10 2001-04-10 Novellus Systems, Inc. Electroplating process including pre-wetting and rinsing
US6228232B1 (en) * 1998-07-09 2001-05-08 Semitool, Inc. Reactor vessel having improved cup anode and conductor assembly
US6383352B1 (en) * 1998-11-13 2002-05-07 Mykrolis Corporation Spiral anode for metal plating baths
US6258220B1 (en) * 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6352263B1 (en) * 1998-12-03 2002-03-05 Mtu Motoren-Und Turbinen-Union Brush seals with bristles arranged at an angle
US6136163A (en) * 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6241825B1 (en) * 1999-04-16 2001-06-05 Cutek Research Inc. Compliant wafer chuck
US6270635B1 (en) * 1999-04-27 2001-08-07 Advanced Micro Devices, Inc. Consistent plating system for electroplating

Cited By (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060185976A1 (en) * 1999-11-08 2006-08-24 Satoshi Sendai Plating apparatus and method
US20050003737A1 (en) * 2003-06-06 2005-01-06 P.C.T. Systems, Inc. Method and apparatus to process substrates with megasonic energy
US7628864B2 (en) * 2004-04-28 2009-12-08 Tokyo Electron Limited Substrate cleaning apparatus and method
US20050241770A1 (en) * 2004-04-28 2005-11-03 Tokyo Electron Limited Substrate cleaning apparatus and method
US20050284767A1 (en) * 2004-06-28 2005-12-29 Lam Research Corporation Method and apparatus for plating semiconductor wafers
US7704367B2 (en) * 2004-06-28 2010-04-27 Lam Research Corporation Method and apparatus for plating semiconductor wafers
US7566390B2 (en) 2004-12-15 2009-07-28 Lam Research Corporation Wafer support apparatus for electroplating process and method for using the same
WO2006065580A3 (en) * 2004-12-15 2008-11-13 Lam Res Corp Wafer support apparatus for electroplating process and method for using the same
US20060124451A1 (en) * 2004-12-15 2006-06-15 Lam Research Corporation Wafer support apparatus for electroplating process and method for using the same
WO2006065580A2 (en) * 2004-12-15 2006-06-22 Lam Research Corporation Wafer support apparatus for electroplating process and method for using the same
CN101443485B (en) * 2004-12-15 2011-03-30 兰姆研究有限公司 Wafer support apparatus for electroplating process and method for using the same
US20070062647A1 (en) * 2005-09-19 2007-03-22 Bailey Joel B Method and apparatus for isolative substrate edge area processing
US10096846B2 (en) 2005-11-08 2018-10-09 Alan Devoe Solid oxide fuel cell device
US10673081B2 (en) 2005-11-08 2020-06-02 Alan Devoe Solid oxide fuel cell device
US9673459B2 (en) 2005-11-08 2017-06-06 Alan Devoe Solid oxide fuel cell device
US8932776B2 (en) 2006-05-11 2015-01-13 Alan Devoe Solid oxide fuel cell device and system
US9859582B2 (en) 2006-05-11 2018-01-02 Alan Devoe Solid oxide fuel cell device and system
US10559839B2 (en) 2006-05-11 2020-02-11 Alan Devoe Solid oxide fuel cell device and system
US9397346B2 (en) 2006-11-08 2016-07-19 Alan Devoe Solid oxide fuel cell device
US8293417B2 (en) 2006-11-08 2012-10-23 Alan Devoe Solid oxide fuel cell device
US9123937B2 (en) 2006-11-08 2015-09-01 Alan Devoe Solid oxide fuel cell device
US8609290B2 (en) 2006-11-08 2013-12-17 Alan Devoe Solid oxide fuel cell device
US8715879B2 (en) 2007-05-10 2014-05-06 Alan Devoe Fuel cell device and system
US10312530B2 (en) 2007-05-10 2019-06-04 Alan Devoe Fuel cell device and system
US9362572B2 (en) 2007-05-10 2016-06-07 Alan Devoe Fuel cell device and system
US8614026B2 (en) 2007-11-08 2013-12-24 Alan Devoe Fuel cell device and system
US10153496B2 (en) 2007-11-08 2018-12-11 Alan Devoe Fuel cell device and system
US8962209B2 (en) 2008-03-07 2015-02-24 Alan Devoe Fuel cell device and system
US9343753B2 (en) 2008-03-07 2016-05-17 Alan Devoe Fuel cell device and system
US9059450B2 (en) 2008-10-28 2015-06-16 Alan Devoe Fuel cell device and system
US10734659B2 (en) 2008-10-28 2020-08-04 Alan Devoe Fuel cell device and system
US10062911B2 (en) 2008-10-28 2018-08-28 Alan Devoe Fuel cell device and system
US9209474B2 (en) 2009-03-06 2015-12-08 Alan Devoe Fuel cell device
US20110198033A1 (en) * 2010-02-16 2011-08-18 Canon Anelva Corporation Shutter device and vacuum processing apparatus
US10320012B2 (en) 2011-11-30 2019-06-11 Alan Devoe Fuel cell device
US9023555B2 (en) 2012-02-24 2015-05-05 Alan Devoe Method of making a fuel cell device
US9716286B2 (en) 2012-02-24 2017-07-25 Alan Devoe Method of making a fuel cell device
US9437894B2 (en) 2012-02-24 2016-09-06 Alan Devoe Method of making a fuel cell device
US10355300B2 (en) 2012-02-24 2019-07-16 Alan Devoe Method of making a fuel cell device
US9577281B1 (en) 2012-02-24 2017-02-21 Alan Devoe Method of making a fuel cell device
CN102936744A (en) * 2012-11-29 2013-02-20 成都瑞迪机械实业有限公司 Chromium plating fixture for hole parts
CN104576280A (en) * 2013-10-23 2015-04-29 中微半导体设备(上海)有限公司 Plasma processing cavity and unclamping device and unclamping method thereof
JP2020072249A (en) * 2018-10-25 2020-05-07 東京エレクトロン株式会社 Stage device and processing device
JP7233266B2 (en) 2018-10-25 2023-03-06 東京エレクトロン株式会社 Stage equipment and processing equipment
US20220259756A1 (en) * 2019-07-16 2022-08-18 Applied Materials, Inc. Fluid recovery in semiconductor processing
US11788200B2 (en) * 2019-07-16 2023-10-17 Applied Materials, Inc. Fluid recovery in semiconductor processing
EP4015674A1 (en) * 2020-12-16 2022-06-22 Semsysco GmbH System for a surface treatment of a substrate with a fluid
WO2022128482A1 (en) * 2020-12-16 2022-06-23 Semsysco Gmbh System for a surface treatment of a substrate with a fluid

Also Published As

Publication number Publication date
EP0992062A2 (en) 2000-04-12
US6599402B2 (en) 2003-07-29
TW589408B (en) 2004-06-01
KR20010014064A (en) 2001-02-26
JP2002506489A (en) 2002-02-26
WO1999054920A3 (en) 2000-04-06
US20020157960A1 (en) 2002-10-31
US6416647B1 (en) 2002-07-09
WO1999054920A2 (en) 1999-10-28

Similar Documents

Publication Publication Date Title
US6599402B2 (en) Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6267853B1 (en) Electro-chemical deposition system
US6632335B2 (en) Plating apparatus
US6254760B1 (en) Electro-chemical deposition system and method
US7497932B2 (en) Electro-chemical deposition system
US6516815B1 (en) Edge bead removal/spin rinse dry (EBR/SRD) module
JP4766579B2 (en) Electrochemical deposition equipment
US6251236B1 (en) Cathode contact ring for electrochemical deposition
US6742279B2 (en) Apparatus and method for rinsing substrates
US20030201184A1 (en) Method and associated apparatus for tilting a substrate upon entry for metal deposition
US6802947B2 (en) Apparatus and method for electro chemical plating using backside electrical contacts
US7374646B2 (en) Electrolytic processing apparatus and substrate processing method
EP1179618A2 (en) Plating apparatus and plating liquid removing method
US20030132118A1 (en) Electroplating of semiconductor wafers
US6746591B2 (en) ECP gap fill by modulating the voltate on the seed layer to increase copper concentration inside feature
US20040104119A1 (en) Small volume electroplating cell
US20040020780A1 (en) Immersion bias for use in electro-chemical plating system
JP2006225715A (en) Plating apparatus and plating method
US7442282B2 (en) Electrolytic processing apparatus and method

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:DORDI, YEZDI;STEVENS, JOSEPH;EDWARDS, ROY;AND OTHERS;REEL/FRAME:014010/0338;SIGNING DATES FROM 20030822 TO 20030916

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: NEUBREX CO., LTD., JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KISHIDA, KINZO;LI, TEKKEN;LIN, SHINBIN;REEL/FRAME:018872/0797

Effective date: 20061204