US20040035365A1 - Plasma processing apparatus - Google Patents

Plasma processing apparatus Download PDF

Info

Publication number
US20040035365A1
US20040035365A1 US10/615,915 US61591503A US2004035365A1 US 20040035365 A1 US20040035365 A1 US 20040035365A1 US 61591503 A US61591503 A US 61591503A US 2004035365 A1 US2004035365 A1 US 2004035365A1
Authority
US
United States
Prior art keywords
impedance
plasma
setting section
power
process chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US10/615,915
Other versions
US7527016B2 (en
Inventor
Yohei Yamazawa
Manabu Iwata
Chishio Koshimizu
Fumihiko Higuchi
Akitaka Shimizu
Asao Yamashita
Nobuhiro Iwama
Tsutomu Higashiura
Dongsheng Zhang
Michiko Nakaya
Norikazu Murakami
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to US10/615,915 priority Critical patent/US7527016B2/en
Assigned to TOKYO ELECTRON LIMITED reassignment TOKYO ELECTRON LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ZHANG, DONGSHENG, YAMASHITA, ASAO, HIGUCHI, FUMIHIKO, HIGASHIURA, TSUTOMU, IWAMA, NOBUHIRO, IWATA, MANABU, KOSHIMIZU, CHISHIO, MURAKAMI, NORIKAZU, NAKAYA, MICHIKO, SHIMIZU, AKITAKA, YAMAZAWA, YOHEI
Publication of US20040035365A1 publication Critical patent/US20040035365A1/en
Priority to US11/756,097 priority patent/US8251011B2/en
Application granted granted Critical
Publication of US7527016B2 publication Critical patent/US7527016B2/en
Adjusted expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Definitions

  • the present invention relates to an apparatus which performs a plasma process on a target substrate by using plasma in, e.g., a semiconductor processing system.
  • semiconductor process includes various kinds of processes which are performed to manufacture a semiconductor device or a structure having wiring layers, electrodes, and the like to be connected to a semiconductor device, on a target substrate, such as a semiconductor wafer or an LCD substrate, by forming semiconductor layers, insulating layers, and conductive layers in predetermined patterns on the target substrate.
  • a semiconductor wafer is placed on a lower electrode also serving as a susceptor.
  • RF power is applied across the lower electrode and an upper electrode opposing it, to generate plasma.
  • Various processes, such as film formation and etching, are performed with the plasma.
  • the planar uniformity of the plasma process for the wafer must be maintained high.
  • the plasma process uniformity for the semiconductor wafer largely depends on the state of the plasma generated in the process chamber.
  • the pressure or temperature in the process chamber during the process is adjusted.
  • the gas ratio of the various gases supplied into the process chamber is adjusted.
  • the gap between the upper and lower electrodes is finely adjusted.
  • a structure that can adjust the gap between the upper and lower electrodes tends to be employed, because this structure is particularly effective in controlling the plasma state.
  • an elevating mechanism for vertically moving the lower electrode is provided at the bottom of the process chamber, so that the lower electrode can be moved vertically.
  • the lower electrode is vertically moved when necessary by using the elevating mechanism, and the gap between the lower and upper electrodes is adjusted.
  • the plasma can be maintained in a good state regardless of the process conditions and the condition of the apparatus itself.
  • the lower electrode itself must be able to vertically move while maintaining the airtight state of the interior of the apparatus.
  • the elevating mechanism and a motor for vertically moving the lower electrode must be provided. Consequently, not only the apparatus size becomes large, but also the cost increases. As the size of the apparatus itself becomes large, the space needed to install the apparatus, i.e., the footprint, also increases undesirably.
  • an apparatus which performs a plasma process on a target substrate by using plasma, comprising:
  • an exhaust system which exhausts an interior of the process chamber and sets the interior of the process chamber to a vacuum state
  • first and second electrodes arranged in the process chamber to oppose each other, an RF field; which turns the process gas into plasma by excitation, being formed between the first and second electrodes;
  • an RF power supply which is connected to the first or second electrode through a matching circuit and which supplies RF power, the matching circuit serving to automatically perform input impedance matching relative to the RF power;
  • an impedance setting section which is connected, through an interconnection, to a predetermined member to be electrically coupled with the plasma in the plasma process, and which sets a backward-direction impedance as an impedance against an RF component input from the plasma to the predetermined member, the impedance setting section being capable of changing a value of the backward-direction impedance;
  • a controller which supplies a control signal concerning a preset value of the backward-direction impedance to the impedance setting section.
  • the term “backward direction” is used in the specification because the RF component described above flows in a direction electrically opposite to a direction in which a current flows from the RF power supply to the first or second electrode in the process chamber. Specifically, the direction in which a current flows from the RF power supply to the first or second electrode is defined as the forward direction, while the direction opposite thereto is defined as the backward direction.
  • an apparatus which performs a plasma process on a target substrate by using plasma, comprising:
  • a gas supply system which supplies a process gas into the process chamber
  • an exhaust system which exhausts an interior of the process chamber and sets the interior of the process chamber to a vacuum state
  • first and second electrodes arranged in the process chamber to oppose each other, an RF field, which turns the process gas into plasma by excitation, being formed between the first and second electrodes;
  • an RF power supply which is connected to the first or second electrode through a matching circuit and which supplies RF power, the matching circuit serving to automatically perform input impedance matching relative to the RF power;
  • an impedance setting section which is connected, through an interconnection, to a predetermined member to be electrically coupled with the plasma in the plasma process, and which sets a backward-direction impedance as an impedance against one of a plurality of different higher harmonics relative to a fundamental frequency of the RF power input from the plasma to the predetermined member, the impedance setting section being capable of changing a value of the backward-direction impedance;
  • a controller which supplies a control signal concerning a preset value of the backward-direction impedance to the impedance setting section.
  • an apparatus which performs a plasma process on a target substrate by using plasma, comprising:
  • a gas supply system which supplies a process gas into the process chamber
  • an exhaust system which exhausts an interior of the process chamber and sets the interior of the process chamber to a vacuum state
  • first and second electrodes arranged in the process chamber to oppose each other, an RF field, which turns the process gas into plasma by excitation, being formed between the first and second electrodes;
  • first and second interconnections which are respectively connected to the first and second electrodes and which extend to an outside of the process chamber, the first and second interconnections forming part of an AC circuit including electrical coupling between the first and second electrodes;
  • a first RF power supply which is arranged on the first interconnection and which supplies first RF power
  • a first matching circuit which is arranged on the first interconnection between the first electrode and the first RF power supply and which automatically performs input impedance matching relative to the first RF power;
  • an impedance setting section which is arranged on the second intersection and which sets a backward-direction impedance as an impedance against an RF component input from the plasma to the second electrode, the impedance setting section being capable of changing a value of the backward-direction impedance, and the RF component including a component having a fundamental frequency of the first RF power;
  • a controller which supplies a control signal concerning a preset value of the backward-direction impedance to the impedance setting section.
  • an apparatus which performs a plasma process on a target substrate by using plasma, comprising:
  • a gas supply system which supplies a process gas into the process chamber
  • an exhaust system which exhausts an interior of the process chamber and sets the interior of the process chamber to a vacuum state
  • first and second electrodes arranged in the process chamber to oppose each other, an RF field, which turns the process gas into plasma by excitation, being formed between the first and second electrodes;
  • first and second interconnections which are respectively connected to the first and second electrodes and which extend to an outside of the process chamber, the first and second interconnections forming part of an AC circuit including electrical coupling between the first and second electrodes;
  • a first RF power supply which is arranged on the first interconnection and which supplies first RF power
  • a first matching circuit which is arranged on the first interconnection between the first electrode and the first RF power supply and which automatically performs input impedance matching relative to the first RF power;
  • an impedance setting section which is arranged on the first intersection and which sets a backward-direction impedance as an impedance against an RF component input from the plasma to the first electrode, the impedance setting section being capable of changing a value of the backward-direction impedance, and the RF component including a harmonic of a fundamental frequency of the first RF power;
  • a controller which supplies a control signal concerning a preset value of the backward-direction impedance to the impedance setting section.
  • an apparatus which performs a plasma process on a target substrate by using plasma, comprising:
  • an airtight process chamber which accommodates the target substrate
  • a gas supply system which supplies a process gas into the process chamber
  • an exhaust system which exhausts an interior of the process chamber and sets the interior of the process chamber to a vacuum state
  • first and second electrodes arranged in the process chamber to oppose each other, an RF field, which turns the process gas into plasma by excitation, being formed between the first and second electrodes;
  • first and second interconnections which are respectively connected to the first and second electrodes and which extend to an outside of the process chamber, the first and second interconnections forming part of an AC circuit including electrical coupling between the first and second electrodes;
  • a first RF power supply which is arranged on the first interconnection and which supplies first RF power
  • a first matching circuit which is arranged on the first interconnection between the first electrode and the first RF power supply and which automatically performs input impedance matching relative to the first RF power;
  • an impedance setting section which is arranged on the first intersection and which sets a backward-direction impedance as an impedance against an RF component input to the first electrode;
  • a second RF power supply which is arranged on the second interconnection and which supplies second RF power, the second RF power supply being capable of changing a frequency of the second RF power;
  • a second matching circuit which is arranged on the second interconnection between the second electrode and the second RF power supply and which automatically performs input impedance matching relative to the second RF power;
  • a controller which supplies a control signal concerning a preset value of a frequency of the second RF power to the second RF power supply.
  • a calibration method for the impedance setting section in the apparatus according to the first aspect comprising steps of:
  • FIG. 1 is a schematic diagram showing the arrangement of a plasma processing apparatus according to a first embodiment of the present invention
  • FIG. 2 is a circuit diagram showing a matching circuit and impedance setting section connected to a lower electrode in the apparatus shown in FIG. 1;
  • FIG. 3 is a graph showing the correlation between the adjustment value (dial value) and capacitance of the impedance setting section in the apparatus shown in FIG. 1;
  • FIG. 4 is a graph showing the correlation between the adjustment value (dial value) and reactance of the impedance setting section in the apparatus shown in FIG. 1;
  • FIG. 5 is a graph showing the correlation between the dial values of processes A and B and a planar uniformity 3 ⁇ of the plasma process in the apparatus shown in FIG. 1;
  • FIGS. 6A to 6 C are graphs showing the distribution of the etching rate on a wafer with a diameter of 300 mm when the processes are performed with a conventional apparatus and the apparatus shown in FIG. 1;
  • FIGS. 7A to 7 G are circuit diagrams showing modifications of the impedance setting section in the apparatus shown in FIG. 1;
  • FIG. 8 is a diagram showing plasma stability in the apparatus shown in FIG. 1 which is obtained when the combination of RF powers to be applied to the upper and lower electrodes is changed;
  • FIG. 9 is a diagram showing the correlation between the dial value of the impedance setting section and the plasma stability in the apparatus shown in FIG. 1;
  • FIG. 10 is a diagram showing how a reactance measurement unit is attached in the apparatus shown in FIG. 1 when performing calibration;
  • FIGS. 11A to 11 C are graphs schematically showing the correlation between the dial value and reactance, the correlation between the dial values before and after calibration, and the correlation between the dial value and reactance, respectively, of a plurality of (two) plasma processing apparatuses each having the arrangement shown in FIG. 1;
  • FIG. 12 is a graph showing the correlation between the dial value and matching position in the apparatus shown in FIG. 1;
  • FIG. 13 is a schematic diagram showing the arrangement of a plasma processing apparatus according to the fourth embodiment of the present invention, which uses an impedance setting section and a variable-frequency RF power supply;
  • FIG. 14 is a schematic diagram showing the arrangement of a plasma processing apparatus according to a fifth embodiment of the present invention, in which an impedance setting section is connected to an upper electrode;
  • FIG. 15 is a circuit diagram showing a matching circuit and impedance setting section connected to the upper electrode in the apparatus shown in FIG. 14;
  • FIG. 16 is a graph showing a change in CD shift as a function of an impedance (13.56 MHz) in the apparatus shown in FIG. 14;
  • FIG. 17 is a schematic diagram showing the arrangement of a plasma processing apparatus according to a sixth embodiment of the present invention, in which an RF power supply is connected to only one electrode;
  • FIG. 18 is a schematic diagram showing the arrangement of a plasma processing apparatus according to a seventh embodiment of the present invention, in which a resonance impedance setting section is arranged;
  • FIG. 19 is a circuit diagram showing an example of the resonance impedance setting section in the apparatus shown in FIG. 18;
  • FIG. 20 is a graph showing the dependency of a bottom voltage Vpp as the voltage value of the lower electrode on the capacitance of the variable capacitor in the apparatus shown in FIG. 18;
  • FIGS. 21A to 21 D are graphs showing the dependencies of respective harmonics including a fundamental wave on the capacitance of the variable capacitor in the apparatus shown in FIG. 18;
  • FIG. 22 is a graph showing the dependency of the electron density in plasma on the capacitance of the variable capacitor in the apparatus shown in FIG. 18;
  • FIG. 23 is a graph showing the evaluation of the planar uniformity of the etching rate as a function of the capacitance of the variable capacitor in the apparatus shown in FIG. 18;
  • FIGS. 24A to 24 E are schematic views of a plasma processing apparatus according to the seventh embodiment of the present invention, to show how a resonance impedance setting section is connected;
  • FIGS. 25A to 25 C are circuit diagrams showing modifications of a resonance impedance setting section having a plurality of impedance change units according to the seventh embodiment of the present invention.
  • FIG. 26 is a schematic view for explaining the respective connection points of the circuit diagrams shown in FIGS. 25A to 25 C;
  • FIGS. 27A to 27 D are circuit diagrams showing examples of a high-pass filter
  • FIGS. 28A to 28 D are circuit diagrams showing examples of a low-pass filter
  • FIG. 29 is a circuit diagram showing an example of a notch filter.
  • FIG. 30 is a schematic diagram showing the arrangement of a plasma processing apparatus in which RF power supplies are respectively connected to upper and lower electrodes.
  • FIG. 1 is a schematic diagram showing the arrangement of a plasma processing apparatus according to the first embodiment of the present invention.
  • a plasma processing apparatus 2 has an airtight cylindrical process chamber 4 .
  • the process chamber 4 is made of, e.g., aluminum, and can be vacuum-exhausted.
  • the process chamber 4 is grounded.
  • An upper electrode 6 made of, e.g., aluminum, is attached and fixed to the ceiling of the process chamber 4 through an insulating member 8 .
  • the upper electrode 6 forms a showerhead structure connected to a gas supply unit GS.
  • the showerhead structure 6 introduces various gases, e.g., process gases, necessary for the process into the process chamber 4 .
  • the upper electrode 6 is connected to an RF line 10 .
  • the RF line 10 is connected to a first RF power supply 14 for plasma generation through a first matching circuit 12 midway along it.
  • the first RF power supply 14 applies RF power of, e.g., 60 MHz, to the upper electrode 6 .
  • the first matching circuit 12 has an automatic matching function so that the input impedance becomes, e.g., 50 ⁇ so as to prevent the RF power supplied from the first RF power supply 14 to the upper electrode 6 from being reflected by the upper electrode 6 .
  • the process chamber 4 has, in its bottom, exhaust ports 16 to be connected to a vacuum exhaust unit ES including a vacuum pump or the like.
  • the vacuum exhaust unit ES exhausts the interior of the process chamber 4 and sets it in vacuum.
  • a lower electrode 18 is arranged on a support column standing vertically from the bottom of the process chamber 4 to oppose the upper electrode 6 .
  • the lower electrode 18 is insulated.
  • the lower electrode 18 is made of, e.g., aluminum, and also functions as a susceptor. For example, a semiconductor wafer W is placed as a target substrate on the upper surface of the lower electrode 18 .
  • a gate 20 which is opened/closed when loading/unloading the wafer W is disposed on the sidewall of the process chamber 4 .
  • a rectifying plate 22 for rectifying the exhaust gas is disposed to surround the lower electrode 18 .
  • the rectifying plate 22 is supported by the sidewall of the process chamber 4 . With the rectifying plate 22 , the atmosphere which is being exhausted downward flows down uniformly from the lower electrode 18 .
  • a focus ring (not shown) made of, e.g., quartz or a ceramic material, is disposed around the upper surface of the lower electrode 18 . The focus ring focuses the plasma onto the surface of the wafer W.
  • the lower electrode 18 is connected to an RF line 24 .
  • the RF line 24 is connected to a second RF power supply 28 for bias through a second matching circuit 26 .
  • the second RF power supply 28 for bias generates RF power with a frequency of, e.g., 13.56 MHz. This frequency is lower than the frequency of the first RF power supply 14 .
  • the RF lines 10 and 24 and the first and second RF power supplies 14 and 28 form an AC circuit.
  • This AC circuit includes electrical coupling between the upper and lower electrodes 6 and 18 .
  • the RF power applied from the first RF power supply 14 to the upper electrode 6 is mainly used for forming an RF field in a process space S between the lower and upper electrodes 18 and 6 .
  • the RF field turns the process gas into plasma.
  • the RF power applied from the second RF power supply 28 to the lower electrode 18 is mainly used for attracting ions in the plasma to the surface of the wafer W. There is a case where the second RF power supply 28 also generates plasma.
  • An impedance setting section 30 is arranged in the RF line 24 between the second matching circuit 26 and lower electrode 18 .
  • the impedance setting section 30 changes the impedance seen from the upper electrode 6 side.
  • the impedance setting section 30 sets a backward-direction impedance, which is an impedance against an RF component input from the plasma to the lower electrode 18 due to the 60-MHz power supplied from the first RF power supply 14 to the upper electrode 6 .
  • the backward-direction impedance of the impedance setting section 30 is adjusted by an impedance controller 32 , e.g., a microcomputer.
  • the impedance setting section 30 is controlled appropriately.
  • the second matching circuit 26 has a first fixed coil 34 , first variable capacitor C 1 , and second fixed coil 36 . These components are connected in series on the RF line 24 from the lower electrode 18 (see FIG. 1) side toward the second RF power supply 28 in this order.
  • a second variable capacitor C 2 and fixed capacitor C 3 are connected in parallel to each other between the two terminals of the second fixed coil 36 and ground.
  • the second matching circuit 26 has an automatic matching function so that the input impedance becomes, e.g., 50 ⁇ so as to prevent reflection of the RF power, supplied from the second RF power supply 28 to the lower electrode 18 , from returning into the second RF power supply 28 (in the same manner as in the first RF power supply 12 described above).
  • the adjustment position (corresponding to the capacity) of the first variable capacitor C 1 which changes automatically can be checked with a position sensor 38 .
  • the current of the first RF power supply 14 supplied from the upper electrode 6 flows to ground through the sidewall of the process chamber 4 , the lower electrode 18 , and the like.
  • the current of the second RF power supply 28 supplied from the lower electrode 18 flows to ground through the sidewall of the process chamber 4 , the upper electrode 6 , and the like.
  • the impedance setting section 30 has a fixed coil 40 and variable capacitor 42 connected in series between the RF line 24 and ground.
  • the fixed coil 40 has an inductance of substantially 200 nH.
  • the impedance of the lower electrode 18 side seen from the upper electrode 6 applied with 60-MHz power is set by changing the capacitance of the variable capacitor 42 .
  • the capacitance value of the variable capacitor 42 is automatically changed by an adjusting member 44 connected to it.
  • the dial adjustment value (to be referred to as dial value hereinafter) representing the impedance set value at this time is displayed by the adjusting member 44 or the like. At this time, the value of the impedance itself may also be displayed simultaneously.
  • An impedance is input as a dial value from the impedance controller 32 to the adjusting member 44 .
  • the impedance is instructed based on a recipe defining the process conditions or the like for processing the wafer.
  • the adjusting member 44 may have a function of transmitting (outputting) information to a host controller.
  • the inductance of the fixed coil 40 and the capacitance (including a variable range) of the variable capacitor 42 of the impedance setting section 30 are set to provide such an impedance against the frequency of 13.56 MHz of the second RF power supply 28 , that is at least twice larger than the load impedance formed by the process chamber 4 and the plasma generated in it. Consequently, even when the inductance of the impedance of the impedance setting section 30 changes, it hardly adversely affects the matching operation of the second matching circuit 26 . Also, this can prevent power loss of the RF power as the result of the presence of the impedance setting section and burn loss of the impedance setting section accompanying it.
  • FIG. 3 shows an example of the correlation between a dial value DV of the adjusting member 44 and the capacitance of the variable capacitor 42 .
  • the capacitance can change substantially linearly within the range of about 5 pF to 130 pF.
  • the impedance setting section 30 is set such that the larger the dial value DV, the smaller the capacitance.
  • FIG. 4 shows the correlation between the dial value DV of the adjusting member 44 and the reactance of the impedance setting section against 60 MHz applied to the upper electrode 6 .
  • the reactance can be controlled within the range of ⁇ 30 ⁇ to +600 ⁇ by changing the dial value DV within the range of 5 to 20.
  • a gate electrode is formed by etching a polysilicon film formed on an underlying layer formed of a silicon dioxide film.
  • a process A with a high etching rate and an overetching process B with a low etching rate are performed continuously in one plasma processing apparatus.
  • etching with a high anisotropy is performed in the process A in order to make the shape, and etching with a very high selectivity with respect to the underlying layer is performed in the process B.
  • the processes A and B use different conditions from each other, e.g., the gas ratio of the supply amounts of a plurality of gases to be supplied, the supply power, and the process pressure. Accordingly, the state of the plasma generated in the process space S changes. At this time, the impedance setting section 30 is controlled to maintain the planar uniformity of the plasma process.
  • the optimal impedance set values of the impedance setting section 30 for the processes A and B are experimentally obtained in advance.
  • each impedance set value is input as a dial value from a main controller CPU to the impedance setting section 30 through the impedance controller 32 .
  • the main controller CPU controls the entire operation of the plasma processing apparatus 2 .
  • the impedance setting section 30 automatically changes the capacitance of the variable capacitor 42 to correspond to the dial value. Consequently, the impedance is adjusted to an optimal value.
  • FIG. 5 is a graph showing the correlation between the dial values DV of the processes A and B and a planar uniformity 3 ⁇ of the plasma process. Note that ⁇ represents standard deviation.
  • the impedance of the impedance setting section 30 was changed little by little while changing the dial value. Consequently, the planar uniformity changed largely, and a dial value with which the planar uniformity became minimum existed for each of the processes A and B.
  • the dial value with which the planar uniformity became minimum was approximately 11.5.
  • the dial value with which the planar uniformity became minimum was approximately 15.8.
  • FIGS. 6A to 6 C are graphs showing the distribution of an etching rate ER on a wafer with a diameter of 300 mm when the processes are performed with the conventional apparatus and the apparatus of this embodiment.
  • the conventional apparatus one in which both the upper and lower electrodes were fixed and the impedance was adjusted for the process B was used.
  • FIG. 6A shows a result obtained by performing the process A with the conventional apparatus.
  • a result obtained by performing the process B with the conventional apparatus is omitted.
  • FIG. 6B shows a result obtained by performing the process A with the apparatus of this embodiment.
  • FIG. 6C shows a result obtained by performing the process A with the apparatus of this embodiment.
  • the impedance setting section 30 can be formed mainly of an inexpensive, very small electrical element. Hence, as compared to the conventional plasma processing apparatus in which one of the upper and lower electrodes can vertically move, the structure is very simple, and the installation space can be greatly decreased.
  • the employed dial values of the impedance setting section 30 are merely examples, and the optimal value changes in accordance with the process conditions or the like.
  • FIG. 2 shows a case wherein, as the impedance setting section 30 , a series connection circuit of the fixed coil 40 and variable capacitor 42 is connected between the RF line 24 and ground.
  • the impedance setting section 30 may employ circuit configurations as shown in, e.g., FIGS. 7A to 7 G.
  • FIGS. 7A to 7 G are circuit diagrams showing modifications of the impedance setting section 30 .
  • FIG. 7A shows a circuit in which a fixed coil 40 and variable capacitor 42 are interchanged.
  • FIG. 7B shows a circuit in which a variable coil 50 capable of changing the inductance, and a fixed capacitor 52 are connected in series. In place of the fixed capacitor 52 , a variable capacitor 42 may be provided.
  • FIG. 7C shows a circuit in which a series circuit of a variable capacitor 42 and fixed capacitor 55 is connected in parallel to a fixed coil 40 . With this arrangement, the series resonance of the variable capacitor 42 and fixed capacitor 55 can minimize the impedance. The parallel resonance of the variable capacitor 42 , fixed coil 40 , and fixed capacitor 55 can maximize the impedance.
  • FIG. 7D shows a circuit in which a series circuit of a variable coil 50 and fixed capacitor 54 , and a fixed capacitor 52 are connected in parallel to each other.
  • FIG. 7E shows a circuit in which a parallel connection circuit of a fixed capacitor 52 and fixed coil 40 , another fixed capacitor 54 , and a variable coil 50 are sequentially connected in series in this order.
  • the parallel resonance frequency obtained by the fixed coil 40 and fixed capacitor 52 is matched with the frequency of the second RF power supply 28 . Then, the impedance of the impedance setting section 30 against the RF power supply 28 can be reliably increased to about 10 times or more.
  • switches 53 are respectively connected in series to a plurality of capacitors 52 .
  • the switches 53 are turned on/off in an arbitrary combination, so the capacitance is changed stepwise.
  • switches 53 are respectively connected in series to a plurality of inductors 40 .
  • the resultant series circuits are combined with a variable capacitor 42 .
  • the switches 53 are turned on/off in an arbitrary combination, the inductance is changed stepwise. Fine adjustment is performed with the variable capacitor, and rough adjustment is performed by switching the inductors. As a result, a wide control range can be obtained while enabling fine control.
  • the plasma in the process space S may or may not leak below the rectifying plate 22 (see FIG. 1) depending on the process conditions, e.g., the RF power to be applied, the process pressure, or the like. Between these cases, the impedance obtained when seeing the plasma in the process chamber 4 from the first or second matching circuit 12 or 26 (see FIG. 1) differs. Accordingly, the matching circuits 12 and 26 automatically change the impedances to perform input impedance matching, i.e., change the adjustment positions, as described above.
  • an impedance setting section 30 identical to that used in the first embodiment is used.
  • the arrangement of the entire plasma processing apparatus is completely the same as that of the first embodiment.
  • an anti-reflection coating made of an organic substance and formed under a photoresist film is to be etched.
  • Process gas etching gas
  • CF 4 /O 2 70/10 sccm
  • Process pressure 0.67 Pa (5 mTorr)
  • Lower electrode temperature 60° C.
  • FIG. 8 shows the obtained evaluation result.
  • FIG. 8 is a diagram showing plasma stability which is obtained when the combination of RF powers to be applied to the upper and lower electrodes is changed.
  • the criteria for judgment of the plasma stability are as follows.
  • the state of the plasma largely changed depending on the combination of the powers respectively applied to upper and lower electrodes 6 and 18 .
  • the powers applied to the upper and lower electrodes 6 and 18 were 200W and 45W, respectively, the plasma state was x and was accordingly very unstable.
  • FIG. 9 shows the obtained evaluation result.
  • FIG. 9 is a diagram showing the correlation between a dial value DV of the impedance setting section and the plasma stability. As is apparent from FIG. 9, as a region where the plasma was generated stably (portion ⁇ ), two regions existed, i.e., a region A with a dial value DV of 11.4 to 11.6 and a region B with a dial value DV of 15.1 to 15.2.
  • the region A is where the plasma stabilizes while leaking.
  • the region B is where the plasma stabilizes without leaking (no leaking occurs).
  • a dial value for the process may be appropriately selected and defined in advance. Then, the plasma process can be performed while the plasma is generated stably. For example, a plasma process is performed by using a recipe incorporating the dial value defined as described above. When the dial value is appropriately selected, a wide range can be set for the process conditions, and the process margin can be enlarged.
  • the impedance setting section 30 or the like is generally prepared as one of a large number devices manufactured with the same standard in accordance with the number of accepted orders for plasma processing apparatuses. In this case, a small difference in characteristics inevitably occurs in each impedance setting section 30 due to manufacture variance or the like. More specifically, the same correlation between the dial value of the impedance setting section 30 and an actual reactance at that time is not always established between different impedance setting sections 30 . Rather, this correlation often differs due to the machine difference (individual difference) of the impedance setting section. Assume that a plasma process is performed with a predetermined dial value. In this case, with some apparatus, the process may be performed with a high planar uniformity. With another apparatus, even when the process is performed with the same dial value, a high planar uniformity may not be obtained.
  • FIG. 10 is a diagram showing how a reactance measurement unit is attached when calibration is to be performed in a plasma processing apparatus. As shown in FIG. 10, a plasma processing apparatus 2 in this case has completely the same arrangement as that described previously with reference to FIG. 1.
  • a reactance measurement unit 56 is attached to an output terminal 30 A (lower electrode 18 side) of the impedance setting section 30 .
  • the correlation between the dial value and reactance is measured by using an instrument, such as an impedance analyzer or network analyzer.
  • the reactance in a direction of an arrow 60 of FIG. 10, i.e., a reactance including the impedance setting section 30 and a second matching circuit 26 is measured.
  • the machine difference tends to be small on a side where the capacitance of a variable capacitor 42 is small, and large on a side where the capacitance of the variable capacitor 42 is large.
  • FIGS. 11A, 11B, and 11 C are graphs schematically showing the correlation between dial values DV of a plurality of (two) plasma processing apparatuses and their reactances.
  • FIG. 11A shows the correlation between a pre-calibration dial value Y and a reactance X.
  • FIG. 11B shows the correlation between the pre-calibration dial value Y and a post-calibration dial value Y′.
  • FIG. 11C shows the correlation between the post-calibration dial value Y′ and the reactance X.
  • FIG. 11A shows the correlation between the dial values and reactances of the two plasma processing apparatuses NO 1 and NO 2 that should have the same characteristics.
  • FIG. 11A also shows a reference correlation 62 as the predetermined reference.
  • FIG. 11B shows dial values before and after this calibration.
  • the variable capacitor 42 is controlled based on this calibration function or calibration table.
  • the calibration function can be obtained by using, e.g., a two-point calibration scheme.
  • the dial value Y in the reference correlation 62 when the reactance is X1 is defined as Y′1, and that when the reactance is X2 is defined as Y′2.
  • the pre-calibration dial value with which the reactance of the apparatus NO 1 is X1 is defined as Y11, and that with which the reactance is X2 is defined as Y12.
  • Y′ 1 a 1 ⁇ Y 11 +b 1
  • Y′ 2 a 1 ⁇ Y 12 +b 1
  • Coefficients a1 and b1 of the calibration function for the apparatus NO 1 can be expressed by the following equations:
  • b 1 Y′ 1 ⁇ ( Y′ 1 ⁇ Y′ 2) ⁇ Y 11/( Y 11 ⁇ Y 12)
  • Coefficients a2 and b2 of the calibration function for the apparatus NO 2 can be obtained with the same procedure.
  • the correlations (calibration function) between the pre-calibration dial values Y and post-calibration dial values Y′ of the apparatuses NO 1 and NO 2 can be expressed as two straight lines having different gradients and intercepts.
  • the factor of the machine difference may include the machine difference of the inductance of the fixed coil and the machine difference of the minimum capacitance of the variable capacitor. In a calibration curve, the former influences a gradient a, and the latter influences an intercept b.
  • FIG. 11C shows the correlations between the dial values Y′ after calibration and the reactances X.
  • the pre-calibration dial value Y is plotted along the axis of abscissa (FIG. 11A)
  • the post-calibration dial value Y′ is plotted along the axis of abscissa
  • these three curves almost coincide with each other. Therefore, either the apparatus NO 1 or NO 2 has the same reactance X against the same dial value Y′. If this calibration function is obtained in advance for each plasma processing apparatus, with process conditions (recipe) including the same dial value, for example, the same plasma state can always be formed in the respective apparatuses regardless of the machine difference.
  • the reactance measurement unit 56 is connected to the output terminal 30 A of the impedance setting section 30 . Then, the reactance seen from the direction of the arrow 60 is measured. A difference may sometimes occur from one apparatus to another in the reactance, depending on the apparatus arrangement and the component arrangement (exchange of a component and the like). In this case, as shown in FIG. 10, the reactance measurement unit 56 is connected to the lower electrode 18 . The impedance setting section 30 and its RF power supply side are separated from the apparatus. The reactance (when the frequency is 60 MHz) seen from the direction of an arrow 64 is measured in the same manner as described above.
  • the calibration function and calibration tables are stored in the adjusting member 44 (see FIG. 2) in the same manner as described above. Both calibration seen from the direction of the arrow 60 , which is described previously, and calibration seen from the direction of the arrow 64 can be performed. Thus, one impedance setting section 30 can be used for the respective apparatuses. The impedance setting section 30 need not be replaced for another one having the same standard, and calibration need not be performed again.
  • the reactance measurement unit 56 is connected to the lower electrode 18 , and a change in reactance is measured.
  • this method has high precision, it does not actually generate plasma.
  • the change does not reflect a difference in resonance depending on the wafer state or the process conditions.
  • plasma may be generated actually.
  • the correlation between the dial value and the adjustment position detected by the position sensor 38 of the second matching circuit 26 may be measured (see FIG. 2). More specifically, a difference in reactance occurs depending on the arrangement of the apparatus, the arrangement of the components, the wafer state, the process conditions, and the like. Accordingly, the behavior of the matching adjustment position with respect to the dial value also fluctuates.
  • FIG. 12 is a graph showing the correlation between the dial value DV and a matching position MP.
  • FIG. 12 also shows a reference correlation 66 as the reference for the matching position MP and dial value DV.
  • the reference correlation 66 includes points where the correlation between the matching position MP and dial value DV changes largely, i.e., two inflection points P 1 and P 2 . Calibration is performed by referring to either one of the inflection points P 1 and P 2 , e.g., the inflection point P 1 .
  • a correlation 68 between the matching position and dial value of a plasma processing apparatus NO 3 differs from the reference correlation 66 by a dial value M.
  • a calibration table that cancels this value M is created in advance.
  • the calibration table is stored in the adjusting member 44 (see FIG. 2) in advance. Then, calibration is performed.
  • the impedance controller or the like may have the function of automatically changing the dial value to acquire data concerning changes in parameters described above, and performing the calibration scheme as described above automatically.
  • the impedance setting section 30 that can change the impedance is provided.
  • an impedance setting section 70 with a fixed impedance may be provided, as shown in FIG. 13.
  • the impedance setting section 70 is connected to one electrode, e.g., the lower electrode 18
  • a variable-frequency RF power supply 72 which can change the frequency of the RF power is connected to the opposing electrode, e.g., the upper electrode 6 .
  • the frequency of the RF power generated by the RF power supply 72 is adjusted by a controller 71 . This adjustment is based on a recipe defining the process conditions or the like with which the wafer is to be processed.
  • the RF power supply 72 when a fundamental frequency fo is 60 MHz, an appropriate fluctuation width ⁇ f is about ⁇ 5%.
  • an RF power supply disclosed in, e.g., Jpn. Pat. Appln. KOKAI Publication No. 5-114819, and Jpn. Pat. Appln. KOKAI Publication No. 9-55347 (corresponding to U.S. Pat. No. 5,688,357), or the like can be used. If a wider fluctuation range is needed, it can be realized by switching a plurality of fixed circuit elements.
  • FIG. 1 and the like show a case where the impedance setting section 30 and the like are interposed in the RF line 24 connected to the lower electrode 18 .
  • an impedance setting section 30 having the same arrangement can be arranged only in an RF line 10 connected to an upper electrode 6 .
  • an impedance setting section 30 can be arranged in each of RF lines 10 and 24 .
  • FIG. 15 is a circuit diagram mainly showing a matching circuit and impedance setting section connected to the upper electrode.
  • a first matching circuit 12 has the same arrangement as that obtained by omitting the fixed coil 34 from the matching circuit 26 shown in FIG. 2.
  • an impedance setting section 30 it is formed as a series circuit of a fixed capacitor 52 and variable coil 50 .
  • the inductances of the respective coils and the capacitances of the capacitors are determined in accordance with the frequency of a corresponding RF power. This is different from the case shown in FIG. 2. Description on an impedance controller, an adjustment member, a matching adjustment position sensor, and the like is omitted.
  • a target substrate formed in the following manner was used. Namely, a 100-nm thick TEOS SiO 2 film was formed on a silicon wafer. An 80-nm thick BARC (organic-based anti-reflection coating) photoresist was deposited on the upper surface of the SiO 2 film, thus forming the target substrate. The photoresist had a 400-nm thick, 180-nm wide line pattern.
  • BARC organic-based anti-reflection coating
  • FIG. 16 is a graph showing a change in CD (Critical Dimension) shift as a function of an impedance Z (13.56 MHz) obtained by this experiment.
  • the CD shift represents a difference between the width of TEOS SiO 2 before etching and resist ashing and the width of the same after photoresist etching.
  • the impedance was changed by using the fixed capacitor 52 with a capacity of 55 pF and the variable coil 50 .
  • Iso indicates an isolated pattern
  • Nest indicates a line and space (1:1).
  • the CD shift amount could accordingly be changed to a certain degree, e.g., by about 10 nm at maximum when the impedance Z was within the range of 40 ⁇ to 50 ⁇ .
  • FIG. 1 and the like show an apparatus in which the RF power supplies 14 and 28 are connected to the upper and lower electrodes 6 and 18 , respectively.
  • an impedance setting section 30 can be applied to an apparatus in which an RF power supply is connected to only one electrode.
  • an impedance setting section 30 is connected to an electrode opposing an electrode to which the RF power supply is connected.
  • this electrode is a lower electrode 18 opposing an upper electrode 6 to which a first RF power supply 14 is connected.
  • adjustment control is performed by changing the impedance which is obtained when seeing from either one electrode the other electrode.
  • an impedance seen from plasma generated in the process chamber may be controlled.
  • the plasma generates various higher harmonics in response to the fundamental wave of the RF power applied to the plasma.
  • the plasma state changes in accordance with how the harmonics are released from the process chamber.
  • an impedance setting section the impedance set value of which can be changed as described above, is connected to a predetermined member to be electrically coupled with the plasma.
  • the impedance of the impedance setting section is set such that it can resonate with at least one of the higher harmonics.
  • FIG. 18 is a diagram showing the arrangement of a plasma processing apparatus according to the seventh embodiment of the present invention, to which a resonance impedance setting section is provided.
  • FIG. 19 is a circuit diagram showing an example of the resonance impedance setting section.
  • a first RF power supply 14 and first matching circuit 12 identical to those shown in FIG. 1 are omitted for facilitating understanding of the present invention.
  • a resonance impedance setting section 80 is arranged in place of the impedance setting section 30 of the RF line 24 shown in FIG. 1.
  • the impedance set value of the impedance setting section 80 is adjusted by a controller 81 . This adjustment is based on a recipe or the like defining the process conditions with which the wafer is to be processed. This is the same as with the impedance setting section 30 .
  • 13.56-MHz RF power as the fundamental wave is applied from a second RF power supply 28 across lower and upper electrodes 18 and 6 .
  • This generates plasma in a process space S.
  • the plasma generates higher harmonics, e.g., second, third, fourth, fifth harmonics . . . , in response to the fundamental wave.
  • the impedance setting section 80 variably sets the impedance seen from the plasma such that it can resonate with at least one of the plurality of higher harmonics.
  • the 13.56-MHz RF current as the fundamental wave flows to the ground through the upper electrode 6 , the sidewall of a process chamber 4 , and the like.
  • the impedance setting section 80 is formed of a series circuit of a filter 82 and one impedance change unit 84 . Furthermore, the impedance change unit 84 is formed of a series circuit of a variable capacitor 86 and fixed coil 88 .
  • the fundamental wave of the second RF power supply 28 i.e., 13.56 MHz in this case, is applied to the lower electrode 18 to which the filter 82 itself is connected.
  • the filter 82 directly connected to an RF line 24 cuts off the fundamental wave. This aims at preventing the fundamental wave from flowing into the process chamber 4 .
  • the filter 82 selects and allows passage of a frequency higher than that of the fundamental wave. As the filter 82 , a high-pass filter is used.
  • the capacitance of the variable capacitor 86 of the impedance change unit 84 is variable.
  • the capacitance of the variable capacitor 86 can be controlled by adjusting the impedance seen from the plasma. Then, resonance can be selected from a range of near a second harmonic to near a fourth harmonic with respect to the fundamental wave.
  • the variable capacitor 86 of the impedance change unit 84 is variably adjusted. This is to control such that the impedance seen from the plasma can selectively resonate with the second, third, or fourth harmonic. Then, the planar uniformity of the plasma process for a wafer W can be maintained high. Also, the plasma state in the process chamber 4 can be maintained stably.
  • FIG. 20 is a graph showing the dependency of a bottom voltage Vpp (see FIG. 18) as the voltage value of the lower electrode 18 on the capacitance (dial value DV) of the variable capacitor.
  • FIGS. 21A to 21 D are graphs showing the dependencies of the bottom voltages Vpp of the respective harmonics including the fundamental wave on the capacitance (dial value DV) of the variable capacitor.
  • FIG. 22 is a graph showing the dependency of an electron density ED in the plasma on the capacitance (dial value DV) of the variable capacitor.
  • FIG. 23 is a graph showing the evaluation of the planar uniformity of an etching rate ER as a function of the capacitance (dial value DV) of the variable capacitor.
  • the dial value DV of the variable capacitor 86 is expressed as 0 to 11. This corresponds to a capacitance change of, e.g., 250 pF to 30 pF.
  • FIG. 21A shows a change in bottom voltage Vpp 20 against the fundamental wave (13.56 MHz). The voltage temporarily decreases sharply, even if a little, at the points A 1 , A 2 , and A 3 .
  • FIG. 21B shows a change in bottom voltage Vpp against a second harmonic (27.12 MHz). The voltage increases sharply at the point A 1 , and resonance with the second harmonic occurs when the dial value DV is “0”.
  • FIG. 21C shows a change in bottom voltage Vpp against a second harmonic (40.68 MHz). The voltage increases sharply at the point A 2 , and resonance with the second harmonic occurs when the dial value DV is “7.5”.
  • FIG. 21D shows a change in bottom voltage Vpp against a second harmonic (54.24 MHz). The voltage increases sharply at the point A 3 , and resonance with the second harmonic occurs when the dial value DV is “9.9”.
  • a probe for measuring the electron density was inserted in the plasma, and the electron density ED was measured. As a result, as shown in FIG. 22, the electron density ED decreases temporarily at the points A 1 , A 2 , and A 3 (dial: 0, 7.5, and 9.9). It was confirmed that the plasma state was controlled at these points.
  • the silicon oxide film of the wafer was etched with various different dial values DV.
  • the obtained etching rate ER will be described with reference to FIG. 23.
  • a wafer having a diameter of 200 mm was used.
  • the process conditions were as follows.
  • As the etching gas CF 4 was used.
  • the flow rate of the etching gas was 80 sccm.
  • the process pressure was 150 mTorr (20 Pa).
  • FIG. 23 shows the points A 1 to A 3 and points B 1 to B 4 corresponding to the respective dial values.
  • the dial value was set at the points B 1 to B 4 that were off the resonance point, and etching was performed. As is apparent from FIG. 23, with any of these dial values, the etching rate was high at the wafer center and low at the peripheral portion. The planar uniformity of the etching rate was poor.
  • FIG. 19 shows an example in which a series circuit of the variable capacitor 86 and fixed coil 88 is used as the impedance change unit 84 .
  • the impedance change unit 84 is not limited to this, but can be any circuit as long as it can change the impedance.
  • all the circuit configurations as shown in FIGS. 7A to 7 G can be used.
  • an impedance range that can be changed such that the impedance can resonate with a harmonic as opposed to the fundamental wave is set.
  • FIGS. 7F and 7G when the impedance is switched by the switches 53 , the inductance of the fixed coil 40 and the capacitance of the fixed capacitor 52 are set at such values that the impedance can resonate with a specific higher harmonic as the target.
  • FIG. 18 shows a case where the impedance setting section 80 is provided at the RF line 24 of the second RF power supply 28 .
  • the impedance setting section 80 is not limited to this, but can be provided at any portion where the RF current flows (in other words, any portion electrically coupled with the plasma).
  • FIGS. 24A to 24 E are schematic views showing portions where a resonance impedance setting section can be connected. In FIGS. 24A to 24 E, the plasma processing apparatus is schematically described, and how the resonance impedance setting section is connected is shown.
  • FIG. 24A shows a case where the impedance setting section 80 is connected to the lower electrode 18 by using a line different from the RF line 10 .
  • FIG. 24B shows a case where the impedance setting section 80 is connected to a focus ring 90 .
  • FIG. 24C shows a case where the impedance setting section 80 is connected to the rectifying plate 22 .
  • FIG. 24D shows a case where the impedance setting section 80 is connected to the wall (including the sidewall and bottom wall) of the process chamber 4 .
  • FIG. 24E shows a case where the impedance setting section 80 is connected to the upper electrode 6 .
  • the process chamber 4 is not directly grounded regarding the higher harmonics as the target, but is grounded through the impedance setting section 80 . All the connection states shown in FIGS. 24A to 24 E can exhibit the same operation and effect as those described with reference to FIG. 18.
  • the resonance impedance setting section 80 can cope with resonance with the second to fourth harmonics by means of the impedance change unit 84 formed of one variable capacitor 86 and one fixed coil 88 .
  • a plurality of (three in this case) impedance change units may be provided so that the respective harmonics can be impedance-controlled independently of each other.
  • FIGS. 25A to 25 C are circuit diagrams showing modifications of the resonance impedance setting section having a plurality of impedance change units.
  • FIG. 26 is a schematic view for explaining the respective connection points of the circuit diagrams shown in FIGS. 25A to 25 C.
  • connection point pc of FIG. 26 is open or connected to a matching point when the connection point pa is connected to an electrode (see FIG. 30).
  • the connection point pc of FIG. 26 is open when the connection point pa is connected to a member other than an electrode (see FIGS. 24A to 24 E).
  • bandpass filters 82 A, 82 B, and 82 C for passing different harmonics are connected to the RF line 24 to be parallel to each other, to form the filter 82 .
  • the first, second, and third bandpass filters 82 A, 82 B, and 82 C pass frequency bands respectively having the second, third, and fourth harmonics as the central frequencies.
  • the bandpass filters 82 A, 82 B, and 82 C do not pass the fundamental wave (13.56 MHz).
  • Variable capacitors 86 A, 86 B, and 86 C and fixed capacitors 88 A, 88 B, and 88 C are respectively, separately connected in series to the bandpass filters 82 A, 82 B, and 82 C.
  • the impedance change units 84 A, 84 B, and 84 C are thus formed.
  • the impedance change units 84 A, 84 B, and 84 C are separately connected in series to the bandpass filters 82 A, 82 B, and 82 C, respectively.
  • the impedance can selectively resonate with one of the three different higher harmonics.
  • the impedance can also resonate with two or three arbitrary harmonics simultaneously. Therefore, the characteristics of the respective harmonics about the plasma process can be combined in a complex manner.
  • first, second, and third high-pass filters 92 A, 92 B, and 92 C are connected in series in this order to form a filter 82 .
  • the first high-pass filter 92 A passes any frequency equal to or higher than that of the second harmonic.
  • the second high-pass filter 92 B passes any frequency equal to or higher than that of the third harmonic.
  • the third high-pass filter 92 C passes any frequency equal to or higher than that of the fourth harmonic.
  • An impedance change unit 84 A for the second harmonic is connected between the first and second high-pass filters 92 A and 92 B.
  • the impedance change unit 84 A has the same arrangement as that shown in FIG. 25A.
  • An impedance change unit 84 B for the third harmonic is connected between the second and third high-pass filters 92 B and 92 C.
  • An impedance change unit 84 C for the fourth harmonic is connected downstream of the third high-pass filter 92 C. In this case as well, the same operation and effect as those described with reference to FIG. 25A can be exhibited.
  • FIG. 25C The circuit configuration shown in FIG. 25C is used in a circuit configuration as shown in FIG. 30 to be described later. Accordingly, this circuit configuration is employed on the premise that the fundamental wave flows through it. Hence, this circuit configuration is not used if it is to be connected to the lower electrode 18 (see FIG. 24A), focus ring 90 (see FIG. 24B), or rectifying plate 22 (see FIG. 24C). Rather, this circuit configuration is used if it is to be connected to the process chamber 4 (see FIG. 24D) or upper electrode 6 (see FIG. 24E). This limitation does not apply when the circuit configuration is as shown in FIGS. 25A and 25B. As shown in FIG.
  • a filter 82 is formed by connecting in series first, second, and third low-pass filters 94 A, 94 B, and 94 C in this order.
  • the first low-pass filter 94 A passes any frequency equal to or lower than that of the fourth harmonic.
  • the second low-pass filter 94 B passes any frequency equal to or lower than that of the third harmonic.
  • the third low-pass filter 94 C passes any frequency equal to or lower than that of the second harmonic.
  • An impedance change unit 84 C for the fourth harmonic is connected between the first and second low-pass filters 94 A and 94 B.
  • the impedance change unit 84 C has the same arrangement as that shown in FIG. 25A.
  • An impedance change unit 84 B for the third harmonic is connected between the second and third low-pass filters 94 B and 94 C.
  • An impedance change unit 84 A for the second harmonic is connected downstream of the third low-pass filter 94 C. In this case as well, the same operation and effect as those described with reference to FIG. 25A can be exhibited.
  • FIG. 27A shows an arrangement formed of a fixed capacitor C 1 and fixed resistor R 1 .
  • the fixed capacitor C 1 is connected in series to the circuit.
  • the fixed resistor R 1 is connected in parallel to the circuit.
  • FIG. 27B shows an arrangement formed of a fixed capacitor C 1 and fixed coil L 1 .
  • the fixed capacitor C 1 is connected in series to the circuit.
  • the fixed coil L 1 is connected in parallel to the circuit.
  • FIG. 27C shows an arrangement formed of a fixed capacitor C 1 and a series circuit. The fixed capacitor C 1 is connected in series to the circuit.
  • the series circuit is formed of a fixed coil L 1 and fixed capacitor C 2 , and connected in parallel to the circuit.
  • FIG. 27D shows an arrangement formed of a parallel circuit and a fixed coil L 2 .
  • the parallel circuit is formed of a fixed capacitor C 1 and fixed coil L 1 , and connected in series to the circuit.
  • the fixed coil L 2 is connected in parallel to the circuit.
  • FIG. 28A shows an arrangement formed of a fixed resistor R 1 and fixed capacitor C 1 .
  • the fixed resistor R 1 is connected in series to the circuit.
  • the fixed capacitor C 1 is connected in parallel to the circuit.
  • FIG. 28B shows an arrangement formed of a fixed coil L 1 and fixed capacitor C 1 .
  • the fixed coil L 1 is connected in series to the circuit.
  • the fixed capacitor C 1 is connected in parallel to the circuit.
  • FIG. 28C shows an arrangement formed of a fixed coil L 1 and a series circuit. The fixed coil L 1 is connected in series to the circuit.
  • the series circuit is formed of a fixed capacitor C 1 and fixed coil L 2 , and connected in parallel to the circuit.
  • FIG. 28D shows an arrangement formed of a parallel circuit and a fixed capacitor C 2 .
  • the parallel circuit is formed of a fixed coil L 1 and fixed capacitor C 1 , and connected in series to the circuit.
  • the fixed capacitor C 2 is connected in parallel to the circuit.
  • FIG. 29 is a circuit diagram showing an example of a notch filter.
  • a notch filter of this type may be used.
  • the notch filter a notch that does not pass only a specific frequency band is connected in series.
  • the notch filter passes a desired frequency band.
  • the parallel circuit of a first fixed coil L 1 and first fixed capacitor C 1 cuts the frequency band of the fundamental wave.
  • the parallel circuit of a second fixed coil L 2 and second fixed capacitor C 2 cuts the frequency band of the second harmonic.
  • the parallel circuit of a third fixed coil L 3 and third fixed capacitor C 3 cuts the frequency band of the third harmonic.
  • the notch filter can pass the frequency band of the fourth harmonic (more particularly, the notch filter passes any frequency band equal to or higher than that of the fourth harmonic). Accordingly, if the inductances of the respective fixed coils and the capacitances of the respective fixed coils are appropriately set, the notch filter can cut any unwanted frequency band and passes any desired frequency band.
  • the seventh embodiment can also be applied to a case where RF power supplies 14 and 28 are respectively connected to upper and lower electrodes 6 and 18 (this is the same as in the case shown in FIG. 1), as shown in FIG. 30.
  • a resonance impedance setting section 80 which can change the impedance set value is provided at an RF line 24 for the lower electrode 18 .
  • a resonance impedance setting section 98 which can change the impedance set value is also provided at an RF line 10 for the upper electrode 6 .
  • the fundamental frequency is changed from 13.56 MHz to 60 MHz of the first RF power supply 14 .
  • the arrangement previously described concerning the impedance setting section 80 of the lower electrode 18 side can entirely be applied to the arrangement of the impedance setting section 98 .
  • either one of the two impedance setting sections 80 and 98 may be employed.
  • the frequencies of the RF power supplies employed in the first to seventh embodiments are merely examples. For example, 800 kHz, 2 MHz, 27 MHz, 100 MHz, and the like can be used instead. Two or more of RF power supplies of different frequencies may be connected to one electrode. In this case, for example, a combination of them, such as 40 MHz and 3.2 MHz, 100 MHz and 3.2 MHz, or 40 MHz and 13.56 MHz may be used.
  • the respective embodiments can be employed when a target substrate other than a semiconductor wafer, e.g., a glass substrate, LCD substrate, or the like is to be processed.
  • a target substrate other than a semiconductor wafer e.g., a glass substrate, LCD substrate, or the like is to be processed.

Abstract

An apparatus, which performs a plasma process on a target substrate by using plasma, includes first and second electrodes in a process chamber to oppose each other. An RF field, which turns a process gas into plasma by excitation, is formed between the first and second electrodes. An RF power supply, which supplies RF power, is connected to the first or second electrode through a matching circuit. The matching circuit automatically performs input impedance matching relative to the RF power. A variable impedance setting section is connected to a predetermined member, which is electrically coupled with the plasma, through an interconnection. The impedance setting section sets a backward-direction impedance against an RF component input to the predetermined member from the plasma. A controller supplies a control signal concerning a preset value of the backward-direction impedance to the impedance setting section.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is based upon and claims the benefit of priority from the prior Japanese Patent Application No. 2002-204928, filed Jul. 12, 2002; the prior U.S. Provisional Patent Application Serial No. 60/396,730, filed Jul. 19, 2002; and the prior Japanese Patent Application No. 2003-60670, filed Mar. 6, 2003, the entire contents of all of which are incorporated herein by reference.[0001]
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0002]
  • The present invention relates to an apparatus which performs a plasma process on a target substrate by using plasma in, e.g., a semiconductor processing system. The term “semiconductor process” used herein includes various kinds of processes which are performed to manufacture a semiconductor device or a structure having wiring layers, electrodes, and the like to be connected to a semiconductor device, on a target substrate, such as a semiconductor wafer or an LCD substrate, by forming semiconductor layers, insulating layers, and conductive layers in predetermined patterns on the target substrate. [0003]
  • 2. Description of the Related Art [0004]
  • In general, in the manufacture of a semiconductor device, various processes, such as film formation, annealing, etching, oxidation and diffusion, and the like, are performed. Most of these processes are performed in a plasma processing apparatus using radio-frequency (RF) power. [0005]
  • For example, in a parallel-plate plasma processing apparatus, a semiconductor wafer is placed on a lower electrode also serving as a susceptor. RF power is applied across the lower electrode and an upper electrode opposing it, to generate plasma. Various processes, such as film formation and etching, are performed with the plasma. [0006]
  • To increase the yield of the products manufactured from a semiconductor wafer, the planar uniformity of the plasma process for the wafer must be maintained high. In this case, the plasma process uniformity for the semiconductor wafer largely depends on the state of the plasma generated in the process chamber. Hence, conventionally, to optimize the plasma state, the pressure or temperature in the process chamber during the process is adjusted. Also, the gas ratio of the various gases supplied into the process chamber is adjusted. Alternatively, the gap between the upper and lower electrodes is finely adjusted. [0007]
  • In the conventional apparatus, a structure that can adjust the gap between the upper and lower electrodes tends to be employed, because this structure is particularly effective in controlling the plasma state. For example, an elevating mechanism for vertically moving the lower electrode is provided at the bottom of the process chamber, so that the lower electrode can be moved vertically. The lower electrode is vertically moved when necessary by using the elevating mechanism, and the gap between the lower and upper electrodes is adjusted. [0008]
  • In the plasma processing apparatus as described above in which the electrode can be vertically moved, the plasma can be maintained in a good state regardless of the process conditions and the condition of the apparatus itself. However, for example, the lower electrode itself must be able to vertically move while maintaining the airtight state of the interior of the apparatus. Also, the elevating mechanism and a motor for vertically moving the lower electrode must be provided. Consequently, not only the apparatus size becomes large, but also the cost increases. As the size of the apparatus itself becomes large, the space needed to install the apparatus, i.e., the footprint, also increases undesirably. [0009]
  • BRIEF SUMMARY OF THE INVENTION
  • It is a first object of the present invention to provide a plasma processing apparatus in which the plasma state can be adjusted optimally, so that the planar uniformity of the plasma process can be maintained high with a simple structure. [0010]
  • It is a second object of the present invention to provide a plasma processing apparatus in which the plasma state in the process chamber can be maintained stably with a simple structure. [0011]
  • It is a third object of the present invention to provide a calibration method of performing calibration such that a machine difference (individual difference) concerning an impedance setting section used in a plasma processing apparatus is eliminated. [0012]
  • According to a first aspect of the invention, there is provided an apparatus which performs a plasma process on a target substrate by using plasma, comprising: [0013]
  • an airtight process chamber which accommodates the target substrate; [0014]
  • a gas supply system which supplies a process gas into the process chamber; [0015]
  • an exhaust system which exhausts an interior of the process chamber and sets the interior of the process chamber to a vacuum state; [0016]
  • first and second electrodes arranged in the process chamber to oppose each other, an RF field; which turns the process gas into plasma by excitation, being formed between the first and second electrodes; [0017]
  • an RF power supply which is connected to the first or second electrode through a matching circuit and which supplies RF power, the matching circuit serving to automatically perform input impedance matching relative to the RF power; [0018]
  • an impedance setting section which is connected, through an interconnection, to a predetermined member to be electrically coupled with the plasma in the plasma process, and which sets a backward-direction impedance as an impedance against an RF component input from the plasma to the predetermined member, the impedance setting section being capable of changing a value of the backward-direction impedance; and [0019]
  • a controller which supplies a control signal concerning a preset value of the backward-direction impedance to the impedance setting section. [0020]
  • The term “backward direction” is used in the specification because the RF component described above flows in a direction electrically opposite to a direction in which a current flows from the RF power supply to the first or second electrode in the process chamber. Specifically, the direction in which a current flows from the RF power supply to the first or second electrode is defined as the forward direction, while the direction opposite thereto is defined as the backward direction. [0021]
  • According to a second aspect of the invention, there is provided an apparatus which performs a plasma process on a target substrate by using plasma, comprising: [0022]
  • an airtight process chamber which accommodates the target substrate; [0023]
  • a gas supply system which supplies a process gas into the process chamber; [0024]
  • an exhaust system which exhausts an interior of the process chamber and sets the interior of the process chamber to a vacuum state; [0025]
  • first and second electrodes arranged in the process chamber to oppose each other, an RF field, which turns the process gas into plasma by excitation, being formed between the first and second electrodes; [0026]
  • an RF power supply which is connected to the first or second electrode through a matching circuit and which supplies RF power, the matching circuit serving to automatically perform input impedance matching relative to the RF power; [0027]
  • an impedance setting section which is connected, through an interconnection, to a predetermined member to be electrically coupled with the plasma in the plasma process, and which sets a backward-direction impedance as an impedance against one of a plurality of different higher harmonics relative to a fundamental frequency of the RF power input from the plasma to the predetermined member, the impedance setting section being capable of changing a value of the backward-direction impedance; and [0028]
  • a controller which supplies a control signal concerning a preset value of the backward-direction impedance to the impedance setting section. [0029]
  • According to a third aspect of the invention, there is provided an apparatus which performs a plasma process on a target substrate by using plasma, comprising: [0030]
  • an airtight process chamber which accommodates the target substrate; [0031]
  • a gas supply system which supplies a process gas into the process chamber; [0032]
  • an exhaust system which exhausts an interior of the process chamber and sets the interior of the process chamber to a vacuum state; [0033]
  • first and second electrodes arranged in the process chamber to oppose each other, an RF field, which turns the process gas into plasma by excitation, being formed between the first and second electrodes; [0034]
  • first and second interconnections which are respectively connected to the first and second electrodes and which extend to an outside of the process chamber, the first and second interconnections forming part of an AC circuit including electrical coupling between the first and second electrodes; [0035]
  • a first RF power supply which is arranged on the first interconnection and which supplies first RF power; [0036]
  • a first matching circuit which is arranged on the first interconnection between the first electrode and the first RF power supply and which automatically performs input impedance matching relative to the first RF power; [0037]
  • an impedance setting section which is arranged on the second intersection and which sets a backward-direction impedance as an impedance against an RF component input from the plasma to the second electrode, the impedance setting section being capable of changing a value of the backward-direction impedance, and the RF component including a component having a fundamental frequency of the first RF power; and [0038]
  • a controller which supplies a control signal concerning a preset value of the backward-direction impedance to the impedance setting section. [0039]
  • According to a fourth aspect of the invention, there is provided an apparatus which performs a plasma process on a target substrate by using plasma, comprising: [0040]
  • an airtight process chamber which accommodates the target substrate; [0041]
  • a gas supply system which supplies a process gas into the process chamber; [0042]
  • an exhaust system which exhausts an interior of the process chamber and sets the interior of the process chamber to a vacuum state; [0043]
  • first and second electrodes arranged in the process chamber to oppose each other, an RF field, which turns the process gas into plasma by excitation, being formed between the first and second electrodes; [0044]
  • first and second interconnections which are respectively connected to the first and second electrodes and which extend to an outside of the process chamber, the first and second interconnections forming part of an AC circuit including electrical coupling between the first and second electrodes; [0045]
  • a first RF power supply which is arranged on the first interconnection and which supplies first RF power; [0046]
  • a first matching circuit which is arranged on the first interconnection between the first electrode and the first RF power supply and which automatically performs input impedance matching relative to the first RF power; [0047]
  • an impedance setting section which is arranged on the first intersection and which sets a backward-direction impedance as an impedance against an RF component input from the plasma to the first electrode, the impedance setting section being capable of changing a value of the backward-direction impedance, and the RF component including a harmonic of a fundamental frequency of the first RF power; and [0048]
  • a controller which supplies a control signal concerning a preset value of the backward-direction impedance to the impedance setting section. [0049]
  • According to a fifth aspect of the invention, there is provided an apparatus which performs a plasma process on a target substrate by using plasma, comprising: [0050]
  • an airtight process chamber which accommodates the target substrate; [0051]
  • a gas supply system which supplies a process gas into the process chamber; [0052]
  • an exhaust system which exhausts an interior of the process chamber and sets the interior of the process chamber to a vacuum state; [0053]
  • first and second electrodes arranged in the process chamber to oppose each other, an RF field, which turns the process gas into plasma by excitation, being formed between the first and second electrodes; [0054]
  • first and second interconnections which are respectively connected to the first and second electrodes and which extend to an outside of the process chamber, the first and second interconnections forming part of an AC circuit including electrical coupling between the first and second electrodes; [0055]
  • a first RF power supply which is arranged on the first interconnection and which supplies first RF power; [0056]
  • a first matching circuit which is arranged on the first interconnection between the first electrode and the first RF power supply and which automatically performs input impedance matching relative to the first RF power; [0057]
  • an impedance setting section which is arranged on the first intersection and which sets a backward-direction impedance as an impedance against an RF component input to the first electrode; [0058]
  • a second RF power supply which is arranged on the second interconnection and which supplies second RF power, the second RF power supply being capable of changing a frequency of the second RF power; [0059]
  • a second matching circuit which is arranged on the second interconnection between the second electrode and the second RF power supply and which automatically performs input impedance matching relative to the second RF power; and [0060]
  • a controller which supplies a control signal concerning a preset value of a frequency of the second RF power to the second RF power supply. [0061]
  • According to a sixth aspect of the invention, there is provided a calibration method for the impedance setting section in the apparatus according to the first aspect, the method comprising steps of: [0062]
  • obtaining, by measurement, calibration data that compensates for a difference in setting the backward-direction impedance which is intrinsic to the impedance setting section; and [0063]
  • adjusting the preset value with the calibration data and then adjusting the backward-direction impedance. [0064]
  • Additional objects and advantages of the invention will be set forth in the description which follows, and in part will be obvious from the description, or may be learned by practice of the invention. The objects and advantages of the invention may be realized and obtained by means of the instrumentalities and combinations particularly pointed out hereinafter.[0065]
  • BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWING
  • The accompanying drawings, which are incorporated in and constitute a part of the specification, illustrate presently preferred embodiments of the invention, and together with the general description given above and the detailed description of the preferred embodiments given below, serve to explain the principles of the invention. [0066]
  • FIG. 1 is a schematic diagram showing the arrangement of a plasma processing apparatus according to a first embodiment of the present invention; [0067]
  • FIG. 2 is a circuit diagram showing a matching circuit and impedance setting section connected to a lower electrode in the apparatus shown in FIG. 1; [0068]
  • FIG. 3 is a graph showing the correlation between the adjustment value (dial value) and capacitance of the impedance setting section in the apparatus shown in FIG. 1; [0069]
  • FIG. 4 is a graph showing the correlation between the adjustment value (dial value) and reactance of the impedance setting section in the apparatus shown in FIG. 1; [0070]
  • FIG. 5 is a graph showing the correlation between the dial values of processes A and B and a planar uniformity 3σ of the plasma process in the apparatus shown in FIG. 1; [0071]
  • FIGS. 6A to [0072] 6C are graphs showing the distribution of the etching rate on a wafer with a diameter of 300 mm when the processes are performed with a conventional apparatus and the apparatus shown in FIG. 1;
  • FIGS. 7A to [0073] 7G are circuit diagrams showing modifications of the impedance setting section in the apparatus shown in FIG. 1;
  • FIG. 8 is a diagram showing plasma stability in the apparatus shown in FIG. 1 which is obtained when the combination of RF powers to be applied to the upper and lower electrodes is changed; [0074]
  • FIG. 9 is a diagram showing the correlation between the dial value of the impedance setting section and the plasma stability in the apparatus shown in FIG. 1; [0075]
  • FIG. 10 is a diagram showing how a reactance measurement unit is attached in the apparatus shown in FIG. 1 when performing calibration; [0076]
  • FIGS. 11A to [0077] 11C are graphs schematically showing the correlation between the dial value and reactance, the correlation between the dial values before and after calibration, and the correlation between the dial value and reactance, respectively, of a plurality of (two) plasma processing apparatuses each having the arrangement shown in FIG. 1;
  • FIG. 12 is a graph showing the correlation between the dial value and matching position in the apparatus shown in FIG. 1; [0078]
  • FIG. 13 is a schematic diagram showing the arrangement of a plasma processing apparatus according to the fourth embodiment of the present invention, which uses an impedance setting section and a variable-frequency RF power supply; [0079]
  • FIG. 14 is a schematic diagram showing the arrangement of a plasma processing apparatus according to a fifth embodiment of the present invention, in which an impedance setting section is connected to an upper electrode; [0080]
  • FIG. 15 is a circuit diagram showing a matching circuit and impedance setting section connected to the upper electrode in the apparatus shown in FIG. 14; [0081]
  • FIG. 16 is a graph showing a change in CD shift as a function of an impedance (13.56 MHz) in the apparatus shown in FIG. 14; [0082]
  • FIG. 17 is a schematic diagram showing the arrangement of a plasma processing apparatus according to a sixth embodiment of the present invention, in which an RF power supply is connected to only one electrode; [0083]
  • FIG. 18 is a schematic diagram showing the arrangement of a plasma processing apparatus according to a seventh embodiment of the present invention, in which a resonance impedance setting section is arranged; [0084]
  • FIG. 19 is a circuit diagram showing an example of the resonance impedance setting section in the apparatus shown in FIG. 18; [0085]
  • FIG. 20 is a graph showing the dependency of a bottom voltage Vpp as the voltage value of the lower electrode on the capacitance of the variable capacitor in the apparatus shown in FIG. 18; [0086]
  • FIGS. 21A to [0087] 21D are graphs showing the dependencies of respective harmonics including a fundamental wave on the capacitance of the variable capacitor in the apparatus shown in FIG. 18;
  • FIG. 22 is a graph showing the dependency of the electron density in plasma on the capacitance of the variable capacitor in the apparatus shown in FIG. 18; [0088]
  • FIG. 23 is a graph showing the evaluation of the planar uniformity of the etching rate as a function of the capacitance of the variable capacitor in the apparatus shown in FIG. 18; [0089]
  • FIGS. 24A to [0090] 24E are schematic views of a plasma processing apparatus according to the seventh embodiment of the present invention, to show how a resonance impedance setting section is connected;
  • FIGS. 25A to [0091] 25C are circuit diagrams showing modifications of a resonance impedance setting section having a plurality of impedance change units according to the seventh embodiment of the present invention;
  • FIG. 26 is a schematic view for explaining the respective connection points of the circuit diagrams shown in FIGS. 25A to [0092] 25C;
  • FIGS. 27A to [0093] 27D are circuit diagrams showing examples of a high-pass filter;
  • FIGS. 28A to [0094] 28D are circuit diagrams showing examples of a low-pass filter;
  • FIG. 29 is a circuit diagram showing an example of a notch filter; and [0095]
  • FIG. 30 is a schematic diagram showing the arrangement of a plasma processing apparatus in which RF power supplies are respectively connected to upper and lower electrodes.[0096]
  • DETAILED DESCRIPTION OF THE INVENTION
  • Embodiments of the present invention will be described with reference to the accompanying drawings. In the following description, the constituent elements having substantially the same function and arrangement are denoted by the same reference numeral, and a repetitive description will be made only when necessary. [0097]
  • <First Embodiment>[0098]
  • FIG. 1 is a schematic diagram showing the arrangement of a plasma processing apparatus according to the first embodiment of the present invention. As shown in FIG. 1, a [0099] plasma processing apparatus 2 has an airtight cylindrical process chamber 4. The process chamber 4 is made of, e.g., aluminum, and can be vacuum-exhausted. The process chamber 4 is grounded. An upper electrode 6 made of, e.g., aluminum, is attached and fixed to the ceiling of the process chamber 4 through an insulating member 8. The upper electrode 6 forms a showerhead structure connected to a gas supply unit GS. The showerhead structure 6 introduces various gases, e.g., process gases, necessary for the process into the process chamber 4.
  • The [0100] upper electrode 6 is connected to an RF line 10. The RF line 10 is connected to a first RF power supply 14 for plasma generation through a first matching circuit 12 midway along it. The first RF power supply 14 applies RF power of, e.g., 60 MHz, to the upper electrode 6. The first matching circuit 12 has an automatic matching function so that the input impedance becomes, e.g., 50 Ω so as to prevent the RF power supplied from the first RF power supply 14 to the upper electrode 6 from being reflected by the upper electrode 6.
  • The [0101] process chamber 4 has, in its bottom, exhaust ports 16 to be connected to a vacuum exhaust unit ES including a vacuum pump or the like. The vacuum exhaust unit ES exhausts the interior of the process chamber 4 and sets it in vacuum. A lower electrode 18 is arranged on a support column standing vertically from the bottom of the process chamber 4 to oppose the upper electrode 6. The lower electrode 18 is insulated. The lower electrode 18 is made of, e.g., aluminum, and also functions as a susceptor. For example, a semiconductor wafer W is placed as a target substrate on the upper surface of the lower electrode 18.
  • A [0102] gate 20 which is opened/closed when loading/unloading the wafer W is disposed on the sidewall of the process chamber 4. A rectifying plate 22 for rectifying the exhaust gas is disposed to surround the lower electrode 18. The rectifying plate 22 is supported by the sidewall of the process chamber 4. With the rectifying plate 22, the atmosphere which is being exhausted downward flows down uniformly from the lower electrode 18. A focus ring (not shown) made of, e.g., quartz or a ceramic material, is disposed around the upper surface of the lower electrode 18. The focus ring focuses the plasma onto the surface of the wafer W.
  • The [0103] lower electrode 18 is connected to an RF line 24. The RF line 24 is connected to a second RF power supply 28 for bias through a second matching circuit 26. The second RF power supply 28 for bias generates RF power with a frequency of, e.g., 13.56 MHz. This frequency is lower than the frequency of the first RF power supply 14.
  • The RF lines [0104] 10 and 24 and the first and second RF power supplies 14 and 28 form an AC circuit. This AC circuit includes electrical coupling between the upper and lower electrodes 6 and 18. The RF power applied from the first RF power supply 14 to the upper electrode 6 is mainly used for forming an RF field in a process space S between the lower and upper electrodes 18 and 6. The RF field turns the process gas into plasma. The RF power applied from the second RF power supply 28 to the lower electrode 18 is mainly used for attracting ions in the plasma to the surface of the wafer W. There is a case where the second RF power supply 28 also generates plasma.
  • An [0105] impedance setting section 30 is arranged in the RF line 24 between the second matching circuit 26 and lower electrode 18. The impedance setting section 30 changes the impedance seen from the upper electrode 6 side. In other words, the impedance setting section 30 sets a backward-direction impedance, which is an impedance against an RF component input from the plasma to the lower electrode 18 due to the 60-MHz power supplied from the first RF power supply 14 to the upper electrode 6. The backward-direction impedance of the impedance setting section 30 is adjusted by an impedance controller 32, e.g., a microcomputer. Thus, the impedance setting section 30 is controlled appropriately.
  • More specifically, as shown in FIG. 2, the [0106] second matching circuit 26 has a first fixed coil 34, first variable capacitor C1, and second fixed coil 36. These components are connected in series on the RF line 24 from the lower electrode 18 (see FIG. 1) side toward the second RF power supply 28 in this order.
  • A second variable capacitor C[0107] 2 and fixed capacitor C3 are connected in parallel to each other between the two terminals of the second fixed coil 36 and ground. The second matching circuit 26 has an automatic matching function so that the input impedance becomes, e.g., 50 Ω so as to prevent reflection of the RF power, supplied from the second RF power supply 28 to the lower electrode 18, from returning into the second RF power supply 28 (in the same manner as in the first RF power supply 12 described above). At this time, the adjustment position (corresponding to the capacity) of the first variable capacitor C1 which changes automatically can be checked with a position sensor 38. The current of the first RF power supply 14 supplied from the upper electrode 6 flows to ground through the sidewall of the process chamber 4, the lower electrode 18, and the like. Conversely, the current of the second RF power supply 28 supplied from the lower electrode 18 flows to ground through the sidewall of the process chamber 4, the upper electrode 6, and the like.
  • The [0108] impedance setting section 30 has a fixed coil 40 and variable capacitor 42 connected in series between the RF line 24 and ground. For example, the fixed coil 40 has an inductance of substantially 200 nH. The impedance of the lower electrode 18 side seen from the upper electrode 6 applied with 60-MHz power is set by changing the capacitance of the variable capacitor 42. At this time, the capacitance value of the variable capacitor 42 is automatically changed by an adjusting member 44 connected to it. The dial adjustment value (to be referred to as dial value hereinafter) representing the impedance set value at this time is displayed by the adjusting member 44 or the like. At this time, the value of the impedance itself may also be displayed simultaneously. An impedance is input as a dial value from the impedance controller 32 to the adjusting member 44. The impedance is instructed based on a recipe defining the process conditions or the like for processing the wafer. In place of or together with the function of displaying the impedance set value or dial value, the adjusting member 44 may have a function of transmitting (outputting) information to a host controller.
  • The inductance of the fixed [0109] coil 40 and the capacitance (including a variable range) of the variable capacitor 42 of the impedance setting section 30 are set to provide such an impedance against the frequency of 13.56 MHz of the second RF power supply 28, that is at least twice larger than the load impedance formed by the process chamber 4 and the plasma generated in it. Consequently, even when the inductance of the impedance of the impedance setting section 30 changes, it hardly adversely affects the matching operation of the second matching circuit 26. Also, this can prevent power loss of the RF power as the result of the presence of the impedance setting section and burn loss of the impedance setting section accompanying it.
  • FIG. 3 shows an example of the correlation between a dial value DV of the adjusting [0110] member 44 and the capacitance of the variable capacitor 42. When the dial value DV is 0 to 20, the capacitance can change substantially linearly within the range of about 5 pF to 130 pF. The impedance setting section 30 is set such that the larger the dial value DV, the smaller the capacitance.
  • FIG. 4 shows the correlation between the dial value DV of the adjusting [0111] member 44 and the reactance of the impedance setting section against 60 MHz applied to the upper electrode 6. As is apparent from FIG. 4, the reactance can be controlled within the range of −30 Ω to +600 Ω by changing the dial value DV within the range of 5 to 20.
  • The operation of this embodiment having the above arrangement will be described. [0112]
  • As an example of the plasma process, a case will be described wherein a gate electrode is formed by etching a polysilicon film formed on an underlying layer formed of a silicon dioxide film. A process A with a high etching rate and an overetching process B with a low etching rate are performed continuously in one plasma processing apparatus. Of the two process steps, etching with a high anisotropy is performed in the process A in order to make the shape, and etching with a very high selectivity with respect to the underlying layer is performed in the process B. [0113]
  • The processes A and B use different conditions from each other, e.g., the gas ratio of the supply amounts of a plurality of gases to be supplied, the supply power, and the process pressure. Accordingly, the state of the plasma generated in the process space S changes. At this time, the [0114] impedance setting section 30 is controlled to maintain the planar uniformity of the plasma process.
  • The optimal impedance set values of the [0115] impedance setting section 30 for the processes A and B are experimentally obtained in advance. When performing the processes A and B, each impedance set value is input as a dial value from a main controller CPU to the impedance setting section 30 through the impedance controller 32. The main controller CPU controls the entire operation of the plasma processing apparatus 2. The impedance setting section 30 automatically changes the capacitance of the variable capacitor 42 to correspond to the dial value. Consequently, the impedance is adjusted to an optimal value.
  • Examples of the process conditions for the processes A and B are as follows: [0116]
    <Process A>
    Process gas (etching gas): HBr/O2 = 400/1 sccm
    Process pressure: 2.7 Pa (20 mTorr)
    Lower electrode temperature: 75° C.
    RF power: upper electrode/lower electrode =
    200/100 W (watt)
    <Process B>
    Process gas (etching gas): HBr/O2 = 1,000/4 sccm
    Process pressure: 20 Pa (150 mTorr)
    Lower electrode temperature: 75° C.
    RF power: upper electrode/lower electrode =
    650/200 W (watt)
  • An experiment performed for evaluating the uniformity control characteristics for the processes A and B will be described. In this experiment, a step of etching a uniform polysilicon wafer (poly-solid wafer) with no resist pattern for 25 sec was performed while changing the dial value of the [0117] impedance setting section 30 little by little. FIG. 5 is a graph showing the correlation between the dial values DV of the processes A and B and a planar uniformity 3σ of the plasma process. Note that σ represents standard deviation.
  • As is apparent from FIG. 5, the impedance of the [0118] impedance setting section 30 was changed little by little while changing the dial value. Consequently, the planar uniformity changed largely, and a dial value with which the planar uniformity became minimum existed for each of the processes A and B. In this case, in the process A, the dial value with which the planar uniformity became minimum was approximately 11.5. In the process B, the dial value with which the planar uniformity became minimum was approximately 15.8.
  • The processes A and B were performed with each of the conventional apparatus and the apparatus of this embodiment. The obtained evaluation result will be described. [0119]
  • FIGS. 6A to [0120] 6C are graphs showing the distribution of an etching rate ER on a wafer with a diameter of 300 mm when the processes are performed with the conventional apparatus and the apparatus of this embodiment. As the conventional apparatus, one in which both the upper and lower electrodes were fixed and the impedance was adjusted for the process B was used.
  • FIG. 6A shows a result obtained by performing the process A with the conventional apparatus. A result obtained by performing the process B with the conventional apparatus is omitted. FIG. 6B shows a result obtained by performing the process A with the apparatus of this embodiment. FIG. 6C shows a result obtained by performing the process A with the apparatus of this embodiment. [0121]
  • When the process B was performed with the conventional apparatus, although not shown, a good planar uniformity was obtained concerning the plasma process. When the process A was performed with the conventional apparatus, as shown in FIG. 6A, the etching rate ER was low at the wafer center, and increased toward the peripheral portion of the wafer. The planar uniformity 3σ of etching became worse to about 14.4%. [0122]
  • In contrast to this, with the apparatus of this embodiment, by referring to the result shown in FIG. 5 described above, the process A was performed with a dial value DV of 11.5, and the process B was performed with a dial value DV of 15.8. As a result, as shown in FIG. 6B, with the process A, the planar uniformity 3σ of the plasma process was maintained as very high as about 3.2%. As shown in FIG. 6C, with the process B, the planar uniformity 3σ of the plasma process was maintained as fairly high as about 7.0%. Hence, with the apparatus of this embodiment, the planar uniformity of the plasma process was maintained high in both the processes A and B. [0123]
  • The [0124] impedance setting section 30 can be formed mainly of an inexpensive, very small electrical element. Hence, as compared to the conventional plasma processing apparatus in which one of the upper and lower electrodes can vertically move, the structure is very simple, and the installation space can be greatly decreased. The employed dial values of the impedance setting section 30 are merely examples, and the optimal value changes in accordance with the process conditions or the like.
  • FIG. 2 shows a case wherein, as the [0125] impedance setting section 30, a series connection circuit of the fixed coil 40 and variable capacitor 42 is connected between the RF line 24 and ground. Alternatively, the impedance setting section 30 may employ circuit configurations as shown in, e.g., FIGS. 7A to 7G. FIGS. 7A to 7G are circuit diagrams showing modifications of the impedance setting section 30.
  • FIG. 7A shows a circuit in which a fixed [0126] coil 40 and variable capacitor 42 are interchanged. FIG. 7B shows a circuit in which a variable coil 50 capable of changing the inductance, and a fixed capacitor 52 are connected in series. In place of the fixed capacitor 52, a variable capacitor 42 may be provided. FIG. 7C shows a circuit in which a series circuit of a variable capacitor 42 and fixed capacitor 55 is connected in parallel to a fixed coil 40. With this arrangement, the series resonance of the variable capacitor 42 and fixed capacitor 55 can minimize the impedance. The parallel resonance of the variable capacitor 42, fixed coil 40, and fixed capacitor 55 can maximize the impedance.
  • FIG. 7D shows a circuit in which a series circuit of a [0127] variable coil 50 and fixed capacitor 54, and a fixed capacitor 52 are connected in parallel to each other. FIG. 7E shows a circuit in which a parallel connection circuit of a fixed capacitor 52 and fixed coil 40, another fixed capacitor 54, and a variable coil 50 are sequentially connected in series in this order. In this circuit, for example, the parallel resonance frequency obtained by the fixed coil 40 and fixed capacitor 52 is matched with the frequency of the second RF power supply 28. Then, the impedance of the impedance setting section 30 against the RF power supply 28 can be reliably increased to about 10 times or more.
  • In the circuit shown in FIG. 7F, switches [0128] 53 are respectively connected in series to a plurality of capacitors 52. The switches 53 are turned on/off in an arbitrary combination, so the capacitance is changed stepwise. In the circuit shown in FIG. 7G, switches 53 are respectively connected in series to a plurality of inductors 40. The resultant series circuits are combined with a variable capacitor 42. When the switches 53 are turned on/off in an arbitrary combination, the inductance is changed stepwise. Fine adjustment is performed with the variable capacitor, and rough adjustment is performed by switching the inductors. As a result, a wide control range can be obtained while enabling fine control.
  • Where there are two target impedance values largely distant from each other, rough adjustment is performed by switching fixed circuit elements. Then, fine adjustment is performed by continuously changing the frequency. A change in impedance as the target can be realized with high precision. [0129]
  • <Second Embodiment>[0130]
  • In the first embodiment, a process mainly aimed at improving the planar uniformity of the plasma process is described. Sometimes a process in which the plasma stability must be maintained high may be performed. [0131]
  • Regarding the plasma stability, sometimes the plasma in the process space S may or may not leak below the rectifying plate [0132] 22 (see FIG. 1) depending on the process conditions, e.g., the RF power to be applied, the process pressure, or the like. Between these cases, the impedance obtained when seeing the plasma in the process chamber 4 from the first or second matching circuit 12 or 26 (see FIG. 1) differs. Accordingly, the matching circuits 12 and 26 automatically change the impedances to perform input impedance matching, i.e., change the adjustment positions, as described above.
  • In this case, if the plasma stabilizes without or while leaking, no problems occur. Assume, however, that the plasma is in the intermediate state, i.e., in the critical state between leaking and non-leaking. In this case, the adjustment position repeats changing often, so that the matching circuits can perform impedance matching. Therefore, plasma discharge does not stabilize, and in the worst case, the plasma itself is not generated. [0133]
  • In view of this, according to the second embodiment, to stabilize the plasma, an [0134] impedance setting section 30 identical to that used in the first embodiment is used. The arrangement of the entire plasma processing apparatus is completely the same as that of the first embodiment. As a plasma process, a case will be described wherein an anti-reflection coating made of an organic substance and formed under a photoresist film is to be etched.
  • The process conditions in this case are as follows: [0135]
    Process gas (etching gas): CF4/O2 = 70/10 sccm
    Process pressure: 0.67 Pa (5 mTorr)
    Lower electrode temperature: 60° C.
  • An experiment on the plasma stability will be described. In this experiment, the plasma process was performed while changing the combination of the RF powers to be applied to the upper and [0136] lower electrodes 6 and 18 in various manners. The obtained plasma stability was visually checked. The RF power to the upper electrode was changed within the range of 100W to 500W. The RF power to the lower electrode was changed within the range of 30W to 105W. The dial value of the impedance setting section 30 was fixed at 15.2.
  • FIG. 8 shows the obtained evaluation result. FIG. 8 is a diagram showing plasma stability which is obtained when the combination of RF powers to be applied to the upper and lower electrodes is changed. The criteria for judgment of the plasma stability are as follows. [0137]
  • ◯: No flickering is visually observed above and below the rectifying plate. [0138]
  • No fluctuation or hunching occurs in reflection of the voltage or RF power. [0139]
  • Δ: Flickering is visually observed below the rectifying plate. [0140]
  • No fluctuation or hunching occurs in reflection of the voltage or RF power. [0141]
  • x: Flickering is visually observed above and below the rectifying plate. [0142]
  • Reflection of the voltage or RF power fluctuates largely. [0143]
  • Hunching occurs even once. [0144]
  • Operation ceases midway due to reflection error of RF power. [0145]
  • As is apparent from FIG. 8, the state of the plasma largely changed depending on the combination of the powers respectively applied to upper and [0146] lower electrodes 6 and 18. Particularly, when the powers applied to the upper and lower electrodes 6 and 18 were 200W and 45W, respectively, the plasma state was x and was accordingly very unstable.
  • In view of this, while maintaining power application showing the unstable state, i.e., while applying RF powers of 200W and 45W to the upper and [0147] lower electrodes 6 and 18, respectively, the dial value of the impedance setting section 30 was variously changed. A change in plasma state at this time was visually observed.
  • FIG. 9 shows the obtained evaluation result. FIG. 9 is a diagram showing the correlation between a dial value DV of the impedance setting section and the plasma stability. As is apparent from FIG. 9, as a region where the plasma was generated stably (portion ◯), two regions existed, i.e., a region A with a dial value DV of 11.4 to 11.6 and a region B with a dial value DV of 15.1 to 15.2. [0148]
  • The region A is where the plasma stabilizes while leaking. The region B is where the plasma stabilizes without leaking (no leaking occurs). [0149]
  • In this manner, when determining the process conditions, a dial value for the process may be appropriately selected and defined in advance. Then, the plasma process can be performed while the plasma is generated stably. For example, a plasma process is performed by using a recipe incorporating the dial value defined as described above. When the dial value is appropriately selected, a wide range can be set for the process conditions, and the process margin can be enlarged. [0150]
  • The types of the processes and the corresponding dial values are merely examples. Various appropriate dial values can be determined in accordance with the process conditions. [0151]
  • <Third Embodiment>[0152]
  • Concerning the [0153] impedance setting section 30 or the like as described above, it is generally prepared as one of a large number devices manufactured with the same standard in accordance with the number of accepted orders for plasma processing apparatuses. In this case, a small difference in characteristics inevitably occurs in each impedance setting section 30 due to manufacture variance or the like. More specifically, the same correlation between the dial value of the impedance setting section 30 and an actual reactance at that time is not always established between different impedance setting sections 30. Rather, this correlation often differs due to the machine difference (individual difference) of the impedance setting section. Assume that a plasma process is performed with a predetermined dial value. In this case, with some apparatus, the process may be performed with a high planar uniformity. With another apparatus, even when the process is performed with the same dial value, a high planar uniformity may not be obtained.
  • For this reason, to compensate for an intrinsic difference of each impedance setting section and of a [0154] matching circuit 26 connected to it, calibration is performed. In this case, the reactance of the impedance setting section 30 is used as the parameter for calibration.
  • FIG. 10 is a diagram showing how a reactance measurement unit is attached when calibration is to be performed in a plasma processing apparatus. As shown in FIG. 10, a [0155] plasma processing apparatus 2 in this case has completely the same arrangement as that described previously with reference to FIG. 1.
  • First, to measure the reactance, a [0156] reactance measurement unit 56 is attached to an output terminal 30A (lower electrode 18 side) of the impedance setting section 30. The correlation between the dial value and reactance is measured by using an instrument, such as an impedance analyzer or network analyzer. In this case, the reactance in a direction of an arrow 60 of FIG. 10, i.e., a reactance including the impedance setting section 30 and a second matching circuit 26, is measured. Empirically, the machine difference tends to be small on a side where the capacitance of a variable capacitor 42 is small, and large on a side where the capacitance of the variable capacitor 42 is large.
  • FIGS. 11A, 11B, and [0157] 11C are graphs schematically showing the correlation between dial values DV of a plurality of (two) plasma processing apparatuses and their reactances. FIG. 11A shows the correlation between a pre-calibration dial value Y and a reactance X. FIG. 11B shows the correlation between the pre-calibration dial value Y and a post-calibration dial value Y′. FIG. 11C shows the correlation between the post-calibration dial value Y′ and the reactance X. As described above, FIG. 11A shows the correlation between the dial values and reactances of the two plasma processing apparatuses NO1 and NO2 that should have the same characteristics. FIG. 11A also shows a reference correlation 62 as the predetermined reference.
  • When performing calibration, the differences between the [0158] reference correlation 62 and the correlations of the plasma processing apparatuses NO1 and NO2 are obtained. A calibration function or calibration table (calibration data) prepared to eliminate these differences is stored in an adjusting member 44 (see FIG. 2). FIG. 11B shows dial values before and after this calibration. In actual process control, when a dial value in the recipe is instructed by an impedance controller 32 (see FIG. 2), the variable capacitor 42 is controlled based on this calibration function or calibration table.
  • The calibration function can be obtained by using, e.g., a two-point calibration scheme. The dial value Y in the [0159] reference correlation 62 when the reactance is X1 is defined as Y′1, and that when the reactance is X2 is defined as Y′2. The pre-calibration dial value with which the reactance of the apparatus NO1 is X1 is defined as Y11, and that with which the reactance is X2 is defined as Y12. When a function Y′=a1·Y+b1, which is the simplest as the calibration function, is employed, the following simultaneous system of equations can be obtained for the two points:
  • Y′1=a1·Y11+b1
  • Y′2=a1·Y12+b1
  • Coefficients a1 and b1 of the calibration function for the apparatus NO[0160] 1 can be expressed by the following equations:
  • a1=(Y′1−Y′2)/(Y11−Y12)
  • b1=Y′1−(Y′1−Y′2)·Y11/(Y11−Y12)
  • Coefficients a2 and b2 of the calibration function for the apparatus NO[0161] 2 can be obtained with the same procedure. As shown in FIG. 11B, the correlations (calibration function) between the pre-calibration dial values Y and post-calibration dial values Y′ of the apparatuses NO1 and NO2 can be expressed as two straight lines having different gradients and intercepts. The factor of the machine difference may include the machine difference of the inductance of the fixed coil and the machine difference of the minimum capacitance of the variable capacitor. In a calibration curve, the former influences a gradient a, and the latter influences an intercept b.
  • FIG. 11C shows the correlations between the dial values Y′ after calibration and the reactances X. When the pre-calibration dial value Y is plotted along the axis of abscissa (FIG. 11A), the three curves are largely separate from each other. When the post-calibration dial value Y′ is plotted along the axis of abscissa, these three curves almost coincide with each other. Therefore, either the apparatus NO[0162] 1 or NO2 has the same reactance X against the same dial value Y′. If this calibration function is obtained in advance for each plasma processing apparatus, with process conditions (recipe) including the same dial value, for example, the same plasma state can always be formed in the respective apparatuses regardless of the machine difference.
  • (Calibration Including Process Chamber: 1) [0163]
  • In the above case, the [0164] reactance measurement unit 56 is connected to the output terminal 30A of the impedance setting section 30. Then, the reactance seen from the direction of the arrow 60 is measured. A difference may sometimes occur from one apparatus to another in the reactance, depending on the apparatus arrangement and the component arrangement (exchange of a component and the like). In this case, as shown in FIG. 10, the reactance measurement unit 56 is connected to the lower electrode 18. The impedance setting section 30 and its RF power supply side are separated from the apparatus. The reactance (when the frequency is 60 MHz) seen from the direction of an arrow 64 is measured in the same manner as described above.
  • The calibration function and calibration tables are stored in the adjusting member [0165] 44 (see FIG. 2) in the same manner as described above. Both calibration seen from the direction of the arrow 60, which is described previously, and calibration seen from the direction of the arrow 64 can be performed. Thus, one impedance setting section 30 can be used for the respective apparatuses. The impedance setting section 30 need not be replaced for another one having the same standard, and calibration need not be performed again.
  • (Calibration Including Process Chamber: 2) [0166]
  • In the above calibration, the [0167] reactance measurement unit 56 is connected to the lower electrode 18, and a change in reactance is measured. Although this method has high precision, it does not actually generate plasma. Thus, the change does not reflect a difference in resonance depending on the wafer state or the process conditions. Regarding this, alternatively, plasma may be generated actually. The correlation between the dial value and the adjustment position detected by the position sensor 38 of the second matching circuit 26 may be measured (see FIG. 2). More specifically, a difference in reactance occurs depending on the arrangement of the apparatus, the arrangement of the components, the wafer state, the process conditions, and the like. Accordingly, the behavior of the matching adjustment position with respect to the dial value also fluctuates.
  • FIG. 12 is a graph showing the correlation between the dial value DV and a matching position MP. FIG. 12 also shows a [0168] reference correlation 66 as the reference for the matching position MP and dial value DV. The reference correlation 66 includes points where the correlation between the matching position MP and dial value DV changes largely, i.e., two inflection points P1 and P2. Calibration is performed by referring to either one of the inflection points P1 and P2, e.g., the inflection point P1.
  • For example, assume that a correlation [0169] 68 between the matching position and dial value of a plasma processing apparatus NO3 differs from the reference correlation 66 by a dial value M. In this case, for example, a calibration table that cancels this value M is created in advance. The calibration table is stored in the adjusting member 44 (see FIG. 2) in advance. Then, calibration is performed.
  • In the above calibration, a case is described wherein the correlation between the matching circuit and dial value is obtained. In place of this, a correlation between another one or a plurality of other parameters and the dial value can be utilized. Other parameters include the voltage amplitude of the RF power applied from the electrode side where the impedance setting section is connected, the adjustment value of the matching circuit of this electrode side, the voltage amplitude of the RF power applied from a counter electrode side, the adjustment value of the matching circuit of this electrode side, and an output from a spectroscope for etching end point detection. Alternatively, the impedance controller or the like may have the function of automatically changing the dial value to acquire data concerning changes in parameters described above, and performing the calibration scheme as described above automatically. [0170]
  • <Fourth Embodiment>[0171]
  • In the embodiments described above, the [0172] impedance setting section 30 that can change the impedance is provided. In place of the impedance setting section 30, an impedance setting section 70 with a fixed impedance may be provided, as shown in FIG. 13. In this case, the impedance setting section 70 is connected to one electrode, e.g., the lower electrode 18, while a variable-frequency RF power supply 72 which can change the frequency of the RF power is connected to the opposing electrode, e.g., the upper electrode 6. The frequency of the RF power generated by the RF power supply 72 is adjusted by a controller 71. This adjustment is based on a recipe defining the process conditions or the like with which the wafer is to be processed.
  • In the [0173] RF power supply 72, when a fundamental frequency fo is 60 MHz, an appropriate fluctuation width±Δf is about ±5%. As the variable-frequency RF power supply 72, an RF power supply disclosed in, e.g., Jpn. Pat. Appln. KOKAI Publication No. 5-114819, and Jpn. Pat. Appln. KOKAI Publication No. 9-55347 (corresponding to U.S. Pat. No. 5,688,357), or the like can be used. If a wider fluctuation range is needed, it can be realized by switching a plurality of fixed circuit elements.
  • More specifically, when control of the power supply frequency and variable impedance elements are used in combination, a wide control range of the impedance can be obtained in a variable frequency range that cannot ordinarily be obtained. [0174]
  • In this manner, when the frequency of the [0175] RF power supply 72 is variable, a frequency with which the planar uniformity of the plasma process becomes optimal can be set in accordance with the process conditions.
  • <Fifth Embodiment>[0176]
  • FIG. 1 and the like show a case where the [0177] impedance setting section 30 and the like are interposed in the RF line 24 connected to the lower electrode 18. Alternatively, as shown in the fifth embodiment of FIG. 14, an impedance setting section 30 having the same arrangement can be arranged only in an RF line 10 connected to an upper electrode 6. Alternatively, an impedance setting section 30 can be arranged in each of RF lines 10 and 24.
  • FIG. 15 is a circuit diagram mainly showing a matching circuit and impedance setting section connected to the upper electrode. A [0178] first matching circuit 12 has the same arrangement as that obtained by omitting the fixed coil 34 from the matching circuit 26 shown in FIG. 2. Regarding an impedance setting section 30, it is formed as a series circuit of a fixed capacitor 52 and variable coil 50. The inductances of the respective coils and the capacitances of the capacitors are determined in accordance with the frequency of a corresponding RF power. This is different from the case shown in FIG. 2. Description on an impedance controller, an adjustment member, a matching adjustment position sensor, and the like is omitted.
  • An evaluation result of an experiment in which a plasma ashing process is performed with the apparatus arrangement as shown in FIGS. 14 and 15 will be described. [0179]
  • In this ashing process, a target substrate formed in the following manner was used. Namely, a 100-nm thick TEOS SiO[0180] 2 film was formed on a silicon wafer. An 80-nm thick BARC (organic-based anti-reflection coating) photoresist was deposited on the upper surface of the SiO2 film, thus forming the target substrate. The photoresist had a 400-nm thick, 180-nm wide line pattern.
  • The etching conditions for the BARC and SiO[0181] 2 were as follows.
  • <BARC> [0182]
    Process gas (etching gas): CH4/CHF3/O2 = 157/52/11 sccm
    Process pressure: 0.93 Pa (7 mTorr)
    Lower electrode temperature: 75° C.
    RF power: upper electrode/lower electrode = 100/500
    watt
    Overetching: 10%
  • <SiO[0183] 2>
    Process gas (etching gas): C4F8/Ar = 17/400 sccm
    Process pressure: 5.3 Pa (40 mTorr)
    Lower Electrode Temperature: 75° C.
    RF power: upper electrode/lower electrode = 600/600
    watt
    Overetching: 20%
  • FIG. 16 is a graph showing a change in CD (Critical Dimension) shift as a function of an impedance Z (13.56 MHz) obtained by this experiment. The CD shift represents a difference between the width of TEOS SiO[0184] 2 before etching and resist ashing and the width of the same after photoresist etching. The impedance was changed by using the fixed capacitor 52 with a capacity of 55 pF and the variable coil 50.
  • Referring to FIG. 16, Iso indicates an isolated pattern, and Nest indicates a line and space (1:1). As shown in FIG. 16, when the impedance Z against 13.56 MHz was changed, the CD shift amount could accordingly be changed to a certain degree, e.g., by about 10 nm at maximum when the impedance Z was within the range of 40 Ω to 50 Ω. [0185]
  • <Sixth Embodiment>[0186]
  • FIG. 1 and the like show an apparatus in which the RF power supplies [0187] 14 and 28 are connected to the upper and lower electrodes 6 and 18, respectively. Alternatively, an impedance setting section 30 can be applied to an apparatus in which an RF power supply is connected to only one electrode. In this case, an impedance setting section 30 is connected to an electrode opposing an electrode to which the RF power supply is connected. For example, in the structure shown in FIG. 17, this electrode is a lower electrode 18 opposing an upper electrode 6 to which a first RF power supply 14 is connected.
  • <Seventh Embodiment>[0188]
  • In the embodiments described above, adjustment control is performed by changing the impedance which is obtained when seeing from either one electrode the other electrode. Alternatively, an impedance seen from plasma generated in the process chamber may be controlled. The plasma generates various higher harmonics in response to the fundamental wave of the RF power applied to the plasma. The plasma state changes in accordance with how the harmonics are released from the process chamber. Hence, an impedance setting section, the impedance set value of which can be changed as described above, is connected to a predetermined member to be electrically coupled with the plasma. The impedance of the impedance setting section is set such that it can resonate with at least one of the higher harmonics. [0189]
  • FIG. 18 is a diagram showing the arrangement of a plasma processing apparatus according to the seventh embodiment of the present invention, to which a resonance impedance setting section is provided. FIG. 19 is a circuit diagram showing an example of the resonance impedance setting section. A first [0190] RF power supply 14 and first matching circuit 12 identical to those shown in FIG. 1 are omitted for facilitating understanding of the present invention.
  • In the apparatus shown in FIG. 18, a resonance [0191] impedance setting section 80 is arranged in place of the impedance setting section 30 of the RF line 24 shown in FIG. 1. The impedance set value of the impedance setting section 80 is adjusted by a controller 81. This adjustment is based on a recipe or the like defining the process conditions with which the wafer is to be processed. This is the same as with the impedance setting section 30.
  • 13.56-MHz RF power as the fundamental wave is applied from a second [0192] RF power supply 28 across lower and upper electrodes 18 and 6. This generates plasma in a process space S. The plasma generates higher harmonics, e.g., second, third, fourth, fifth harmonics . . . , in response to the fundamental wave. The impedance setting section 80 variably sets the impedance seen from the plasma such that it can resonate with at least one of the plurality of higher harmonics. As described above, the 13.56-MHz RF current as the fundamental wave flows to the ground through the upper electrode 6, the sidewall of a process chamber 4, and the like.
  • As shown in FIG. 19, the [0193] impedance setting section 80 is formed of a series circuit of a filter 82 and one impedance change unit 84. Furthermore, the impedance change unit 84 is formed of a series circuit of a variable capacitor 86 and fixed coil 88.
  • The fundamental wave of the second [0194] RF power supply 28, i.e., 13.56 MHz in this case, is applied to the lower electrode 18 to which the filter 82 itself is connected. The filter 82 directly connected to an RF line 24 cuts off the fundamental wave. This aims at preventing the fundamental wave from flowing into the process chamber 4. The filter 82 selects and allows passage of a frequency higher than that of the fundamental wave. As the filter 82, a high-pass filter is used.
  • The capacitance of the [0195] variable capacitor 86 of the impedance change unit 84 is variable. In this embodiment, the capacitance of the variable capacitor 86 can be controlled by adjusting the impedance seen from the plasma. Then, resonance can be selected from a range of near a second harmonic to near a fourth harmonic with respect to the fundamental wave. When a plasma process such as actual etching is to be performed, the variable capacitor 86 of the impedance change unit 84 is variably adjusted. This is to control such that the impedance seen from the plasma can selectively resonate with the second, third, or fourth harmonic. Then, the planar uniformity of the plasma process for a wafer W can be maintained high. Also, the plasma state in the process chamber 4 can be maintained stably.
  • The capacity of the [0196] variable capacitor 86 is changed in various manners. The fluctuation states of the voltages of the harmonics including the fundamental wave, the electron density at this time in the plasma, and the etching planar uniformity are evaluated. The evaluation result will be described. FIG. 20 is a graph showing the dependency of a bottom voltage Vpp (see FIG. 18) as the voltage value of the lower electrode 18 on the capacitance (dial value DV) of the variable capacitor. FIGS. 21A to 21D are graphs showing the dependencies of the bottom voltages Vpp of the respective harmonics including the fundamental wave on the capacitance (dial value DV) of the variable capacitor. FIG. 22 is a graph showing the dependency of an electron density ED in the plasma on the capacitance (dial value DV) of the variable capacitor. FIG. 23 is a graph showing the evaluation of the planar uniformity of an etching rate ER as a function of the capacitance (dial value DV) of the variable capacitor.
  • In FIG. 22, the dial value DV of the [0197] variable capacitor 86 is expressed as 0 to 11. This corresponds to a capacitance change of, e.g., 250 pF to 30 pF.
  • As is apparent from FIG. 20, at points A[0198] 1, A2, and A3 where the dial value DV was “0”, “7.5”, and “9.9”, the bottom voltage Vpp leaped largely, and resonance occurred at these points A1 to A3. A change in voltage against the respective harmonics including the fundamental wave was measured. Although second, third, and fourth harmonics are indicated as examples, a further higher harmonic may also be considered.
  • FIG. 21A shows a change in [0199] bottom voltage Vpp 20 against the fundamental wave (13.56 MHz). The voltage temporarily decreases sharply, even if a little, at the points A1, A2, and A3. FIG. 21B shows a change in bottom voltage Vpp against a second harmonic (27.12 MHz). The voltage increases sharply at the point A1, and resonance with the second harmonic occurs when the dial value DV is “0”. FIG. 21C shows a change in bottom voltage Vpp against a second harmonic (40.68 MHz). The voltage increases sharply at the point A2, and resonance with the second harmonic occurs when the dial value DV is “7.5”. FIG. 21D shows a change in bottom voltage Vpp against a second harmonic (54.24 MHz). The voltage increases sharply at the point A3, and resonance with the second harmonic occurs when the dial value DV is “9.9”.
  • A probe for measuring the electron density was inserted in the plasma, and the electron density ED was measured. As a result, as shown in FIG. 22, the electron density ED decreases temporarily at the points A[0200] 1, A2, and A3 (dial: 0, 7.5, and 9.9). It was confirmed that the plasma state was controlled at these points.
  • On the basis of the above evaluation result, the silicon oxide film of the wafer was etched with various different dial values DV. The obtained etching rate ER will be described with reference to FIG. 23. A wafer having a diameter of 200 mm was used. The process conditions were as follows. As the etching gas, CF[0201] 4 was used. The flow rate of the etching gas was 80 sccm. The process pressure was 150 mTorr (20 Pa).
  • FIG. 23 shows the points A[0202] 1 to A3 and points B1 to B4 corresponding to the respective dial values. The dial value was set at the points B1 to B4 that were off the resonance point, and etching was performed. As is apparent from FIG. 23, with any of these dial values, the etching rate was high at the wafer center and low at the peripheral portion. The planar uniformity of the etching rate was poor.
  • When, the dial value, however, was set at the respective resonance points A[0203] 1 to A3, the increase in etching rate at the wafer center was suppressed, so the overall etching rate became substantially flat. The planar uniformity of the etching rate was largely improved. In this case, as the harmonic wave becomes third, second, or first harmonic, the etching rate gradually decreased in this order. Thus, to maintain a high etching rate, it is preferable to so adjust the impedance as to resonate particularly with the third harmonic. When the dial value is set at the point A1, although the planar uniformity can be improved, the etching rate itself becomes excessively low.
  • FIG. 19 shows an example in which a series circuit of the [0204] variable capacitor 86 and fixed coil 88 is used as the impedance change unit 84. The impedance change unit 84 is not limited to this, but can be any circuit as long as it can change the impedance. For example, all the circuit configurations as shown in FIGS. 7A to 7G can be used. In this case, as described above, an impedance range that can be changed such that the impedance can resonate with a harmonic as opposed to the fundamental wave is set. As shown in FIGS. 7F and 7G, when the impedance is switched by the switches 53, the inductance of the fixed coil 40 and the capacitance of the fixed capacitor 52 are set at such values that the impedance can resonate with a specific higher harmonic as the target.
  • FIG. 18 shows a case where the [0205] impedance setting section 80 is provided at the RF line 24 of the second RF power supply 28. The impedance setting section 80 is not limited to this, but can be provided at any portion where the RF current flows (in other words, any portion electrically coupled with the plasma). FIGS. 24A to 24E are schematic views showing portions where a resonance impedance setting section can be connected. In FIGS. 24A to 24E, the plasma processing apparatus is schematically described, and how the resonance impedance setting section is connected is shown.
  • FIG. 24A shows a case where the [0206] impedance setting section 80 is connected to the lower electrode 18 by using a line different from the RF line 10. FIG. 24B shows a case where the impedance setting section 80 is connected to a focus ring 90. FIG. 24C shows a case where the impedance setting section 80 is connected to the rectifying plate 22. FIG. 24D shows a case where the impedance setting section 80 is connected to the wall (including the sidewall and bottom wall) of the process chamber 4. FIG. 24E shows a case where the impedance setting section 80 is connected to the upper electrode 6. In the case shown in FIG. 24D, the process chamber 4 is not directly grounded regarding the higher harmonics as the target, but is grounded through the impedance setting section 80. All the connection states shown in FIGS. 24A to 24E can exhibit the same operation and effect as those described with reference to FIG. 18.
  • The resonance [0207] impedance setting section 80 can cope with resonance with the second to fourth harmonics by means of the impedance change unit 84 formed of one variable capacitor 86 and one fixed coil 88. Alternatively, a plurality of (three in this case) impedance change units may be provided so that the respective harmonics can be impedance-controlled independently of each other. FIGS. 25A to 25C are circuit diagrams showing modifications of the resonance impedance setting section having a plurality of impedance change units. FIG. 26 is a schematic view for explaining the respective connection points of the circuit diagrams shown in FIGS. 25A to 25C.
  • Symbols pa, pb, and pc indicating the three connection points of the [0208] impedance setting section 80 shown in FIG. 26 are indicated at the corresponding portions of FIGS. 24A to 24E, FIGS. 25A to 25C, and FIG. 30. The connection point pc of FIG. 26 is open or connected to a matching point when the connection point pa is connected to an electrode (see FIG. 30). The connection point pc of FIG. 26 is open when the connection point pa is connected to a member other than an electrode (see FIGS. 24A to 24E).
  • In the case shown in FIG. 25A, three [0209] bandpass filters 82A, 82B, and 82C for passing different harmonics are connected to the RF line 24 to be parallel to each other, to form the filter 82. In this case, the first, second, and third bandpass filters 82A, 82B, and 82C pass frequency bands respectively having the second, third, and fourth harmonics as the central frequencies. The bandpass filters 82A, 82B, and 82C do not pass the fundamental wave (13.56 MHz). Variable capacitors 86A, 86B, and 86C and fixed capacitors 88A, 88B, and 88C are respectively, separately connected in series to the bandpass filters 82A, 82B, and 82C. Three impedance change units 84A, 84B, and 84C are thus formed. The impedance change units 84A, 84B, and 84C are separately connected in series to the bandpass filters 82A, 82B, and 82C, respectively.
  • According to this arrangement, the impedance can selectively resonate with one of the three different higher harmonics. The impedance can also resonate with two or three arbitrary harmonics simultaneously. Therefore, the characteristics of the respective harmonics about the plasma process can be combined in a complex manner. [0210]
  • In the case shown in FIG. 25B, first, second, and third high-[0211] pass filters 92A, 92B, and 92C are connected in series in this order to form a filter 82. The first high-pass filter 92A passes any frequency equal to or higher than that of the second harmonic. The second high-pass filter 92B passes any frequency equal to or higher than that of the third harmonic. The third high-pass filter 92C passes any frequency equal to or higher than that of the fourth harmonic. An impedance change unit 84A for the second harmonic is connected between the first and second high- pass filters 92A and 92B. The impedance change unit 84A has the same arrangement as that shown in FIG. 25A. An impedance change unit 84B for the third harmonic is connected between the second and third high- pass filters 92B and 92C. An impedance change unit 84C for the fourth harmonic is connected downstream of the third high-pass filter 92C. In this case as well, the same operation and effect as those described with reference to FIG. 25A can be exhibited.
  • The circuit configuration shown in FIG. 25C is used in a circuit configuration as shown in FIG. 30 to be described later. Accordingly, this circuit configuration is employed on the premise that the fundamental wave flows through it. Hence, this circuit configuration is not used if it is to be connected to the lower electrode [0212] 18 (see FIG. 24A), focus ring 90 (see FIG. 24B), or rectifying plate 22 (see FIG. 24C). Rather, this circuit configuration is used if it is to be connected to the process chamber 4 (see FIG. 24D) or upper electrode 6 (see FIG. 24E). This limitation does not apply when the circuit configuration is as shown in FIGS. 25A and 25B. As shown in FIG. 25C, a filter 82 is formed by connecting in series first, second, and third low- pass filters 94A, 94B, and 94C in this order. The first low-pass filter 94A passes any frequency equal to or lower than that of the fourth harmonic. The second low-pass filter 94B passes any frequency equal to or lower than that of the third harmonic. The third low-pass filter 94C passes any frequency equal to or lower than that of the second harmonic.
  • An [0213] impedance change unit 84C for the fourth harmonic is connected between the first and second low- pass filters 94A and 94B. The impedance change unit 84C has the same arrangement as that shown in FIG. 25A. An impedance change unit 84B for the third harmonic is connected between the second and third low- pass filters 94B and 94C. An impedance change unit 84A for the second harmonic is connected downstream of the third low-pass filter 94C. In this case as well, the same operation and effect as those described with reference to FIG. 25A can be exhibited.
  • The high-pass filters described in this embodiment can be formed as shown in, e.g., FIGS. 27A to [0214] 27D. FIG. 27A shows an arrangement formed of a fixed capacitor C1 and fixed resistor R1. The fixed capacitor C1 is connected in series to the circuit. The fixed resistor R1 is connected in parallel to the circuit. FIG. 27B shows an arrangement formed of a fixed capacitor C1 and fixed coil L1. The fixed capacitor C1 is connected in series to the circuit. The fixed coil L1 is connected in parallel to the circuit. FIG. 27C shows an arrangement formed of a fixed capacitor C1 and a series circuit. The fixed capacitor C1 is connected in series to the circuit. The series circuit is formed of a fixed coil L1 and fixed capacitor C2, and connected in parallel to the circuit. FIG. 27D shows an arrangement formed of a parallel circuit and a fixed coil L2. The parallel circuit is formed of a fixed capacitor C1 and fixed coil L1, and connected in series to the circuit. The fixed coil L2 is connected in parallel to the circuit.
  • The low-pass filters described in this embodiment can be formed as shown in, e.g., FIGS. 28A to [0215] 28D. FIG. 28A shows an arrangement formed of a fixed resistor R1 and fixed capacitor C1. The fixed resistor R1 is connected in series to the circuit. The fixed capacitor C1 is connected in parallel to the circuit. FIG. 28B shows an arrangement formed of a fixed coil L1 and fixed capacitor C1. The fixed coil L1 is connected in series to the circuit. The fixed capacitor C1 is connected in parallel to the circuit. FIG. 28C shows an arrangement formed of a fixed coil L1 and a series circuit. The fixed coil L1 is connected in series to the circuit. The series circuit is formed of a fixed capacitor C1 and fixed coil L2, and connected in parallel to the circuit. FIG. 28D shows an arrangement formed of a parallel circuit and a fixed capacitor C2. The parallel circuit is formed of a fixed coil L1 and fixed capacitor C1, and connected in series to the circuit. The fixed capacitor C2 is connected in parallel to the circuit.
  • FIG. 29 is a circuit diagram showing an example of a notch filter. In place of the [0216] bandpass filters 82A to 82C described above, a notch filter of this type may be used. In the notch filter, a notch that does not pass only a specific frequency band is connected in series. Thus, the notch filter passes a desired frequency band. For example, the parallel circuit of a first fixed coil L1 and first fixed capacitor C1 cuts the frequency band of the fundamental wave. The parallel circuit of a second fixed coil L2 and second fixed capacitor C2 cuts the frequency band of the second harmonic. The parallel circuit of a third fixed coil L3 and third fixed capacitor C3 cuts the frequency band of the third harmonic. When these parallel circuits are connected in series, the notch filter can pass the frequency band of the fourth harmonic (more particularly, the notch filter passes any frequency band equal to or higher than that of the fourth harmonic). Accordingly, if the inductances of the respective fixed coils and the capacitances of the respective fixed coils are appropriately set, the notch filter can cut any unwanted frequency band and passes any desired frequency band.
  • In the seventh embodiment, the [0217] RF power supply 28 is connected to the lower electrode 18. If an RF power supply is connected to only the upper electrode 6, the arrangement is merely reversed upside down, and the same effect as that described above can be obtained. In this case, the lower electrode 18 is set such that the RF current applied to the upper electrode 6 flows through it.
  • The seventh embodiment can also be applied to a case where RF power supplies [0218] 14 and 28 are respectively connected to upper and lower electrodes 6 and 18 (this is the same as in the case shown in FIG. 1), as shown in FIG. 30. In FIG. 30, a resonance impedance setting section 80 which can change the impedance set value is provided at an RF line 24 for the lower electrode 18. A resonance impedance setting section 98 which can change the impedance set value is also provided at an RF line 10 for the upper electrode 6. In this case, in the arrangement of the impedance setting section 98 of the upper electrode 6 side, the fundamental frequency is changed from 13.56 MHz to 60 MHz of the first RF power supply 14. Except for this, the arrangement previously described concerning the impedance setting section 80 of the lower electrode 18 side can entirely be applied to the arrangement of the impedance setting section 98. Alternatively, either one of the two impedance setting sections 80 and 98 may be employed.
  • In the seventh embodiment, each impedance change unit is set to realize a complete resonance state with a higher harmonic, or to realize a state largely off the resonance state from the higher harmonic. Alternatively, in the seventh embodiment, the plasma state may be controlled by setting an incomplete resonance state, e.g., a resonance state of about 50%. Also, the degree of the resonance state may be controlled to linearly change within the range of 0% to 100%. [0219]
  • The frequencies of the RF power supplies employed in the first to seventh embodiments are merely examples. For example, 800 kHz, 2 MHz, 27 MHz, 100 MHz, and the like can be used instead. Two or more of RF power supplies of different frequencies may be connected to one electrode. In this case, for example, a combination of them, such as 40 MHz and 3.2 MHz, 100 MHz and 3.2 MHz, or 40 MHz and 13.56 MHz may be used. [0220]
  • Furthermore, the respective embodiments can be employed when a target substrate other than a semiconductor wafer, e.g., a glass substrate, LCD substrate, or the like is to be processed. [0221]
  • Additional advantages and modifications will readily occur to those skilled in the art. Therefore, the invention in its broader aspects is not limited to the specific details and representative embodiments shown and described herein. Accordingly, various modifications may be made without departing from the spirit or scope of the general inventive concept as defined by the appended claims and their equivalents. [0222]

Claims (40)

What is claimed is:
1. An apparatus which performs a plasma process on a target substrate by using plasma, comprising:
an airtight process chamber which accommodates the target substrate;
a gas supply system which supplies a process gas into the process chamber;
an exhaust system which exhausts an interior of the process chamber and sets the interior of the process chamber to a vacuum state;
first and second electrodes arranged in the process chamber to oppose each other, an RF field, which turns the process gas into plasma by excitation, being formed between the first and second electrodes;
an RF power supply which is connected to the first or second electrode through a matching circuit and which supplies RF power, the matching circuit serving to automatically perform input impedance matching relative to the RF power;
an impedance setting section which is connected, through an interconnection, to a predetermined member to be electrically coupled with the plasma in the plasma process, and which sets a backward-direction impedance as an impedance against an RF component input from the plasma to the predetermined member, the impedance setting section being capable of changing a value of the backward-direction impedance; and
a controller which supplies a control signal concerning a preset value of the backward-direction impedance to the impedance setting section.
2. The apparatus according to claim 1, wherein the controller further comprises a storage which stores data concerning a correlation between first and second processes having different conditions and first and second preset values, corresponding to the first and second processes, of the backward-direction impedance, and the controller supplies to the impedance setting section a control signal which changes the backward-direction impedance from the first preset value to the second preset value on the basis of the data when a process to be performed in the process chambers changes from the first process to the second process.
3. The apparatus according to claim 1, wherein the preset value is set in advance such that a planar uniformity of the plasma process on the target substrate is improved.
4. The apparatus according to claim 1, wherein the preset value is set in advance such that the plasma stabilizes.
5. The apparatus according to claim 1, wherein the plasma processing apparatus is an etching apparatus, the target substrate has a mask layer having a pattern and a lower layer to be etched which is under the mask layer, and the preset value is so set in advance as to control a size to be processed of the lower layer.
6. The apparatus according to claim 1, wherein the impedance setting section comprises one or both of an arrangement which continuously changes the backward-direction impedance with a continuous variable element, and an arrangement which changes the backward-direction impedance stepwise by switching a plurality of fixed elements.
7. The apparatus according to claim 1, wherein the impedance setting section comprises a function which displays the preset value.
8. The apparatus according to claim 1, wherein the controller or the impedance setting section corrects the preset value with calibration data that compensates for a difference intrinsic to the impedance setting section, and then adjusts the backward-direction impedance.
9. The apparatus according to claim 1, wherein the RF power supply is connected to the first electrode through a first interconnection, the impedance setting section is connected to the second electrode through a second interconnection, and the RF component includes a fundamental frequency of the RF power.
10. The apparatus according to claim 1, wherein the RF power supply and the impedance setting section are connected to the first electrode through a first interconnection, and the RF component includes a harmonic of a fundamental frequency of the RF power.
11. The apparatus according to claim 10, wherein the value of the input impedance is so set by the impedance setting section as to be not less than twice a value of an RF load impedance formed by the process chamber and the plasma against the RF power.
12. An apparatus which performs a plasma process on a target substrate by using plasma, comprising:
an airtight process chamber which accommodates the target substrate;
a gas supply system which supplies a process gas into the process chamber;
an exhaust system which exhausts an interior of the process chamber and sets the interior of the process chamber to a vacuum state;
first and second electrodes arranged in the process chamber to oppose each other, an RF field, which turns the process gas into plasma by excitation, being formed between the first and second electrodes;
an RF power supply which is connected to the first or second electrode through a matching circuit and which supplies RF power, the matching circuit serving to automatically perform input impedance matching relative to the RF power;
an impedance setting section which is connected, through an interconnection, to a predetermined member to be electrically coupled with the plasma in the plasma process, and which sets a backward-direction impedance as an impedance against one of a plurality of different higher harmonics relative to a fundamental frequency of the RF power input from the plasma to the predetermined member, the impedance setting section being capable of changing a value of the backward-direction impedance; and
a controller which supplies a control signal concerning a preset value of the backward-direction impedance to the impedance setting section.
13. The apparatus according to claim 12, wherein the predetermined member is selected from the first and second electrodes and the process chamber.
14. The apparatus according to claim 12, wherein the predetermined member comprises a focus ring disposed to surround the target substrate.
15. The apparatus according to claim 12, wherein the predetermined member comprises a rectifying plate disposed between a process space in the process chamber and an exhaust path.
16. The apparatus according to claim 12, wherein the impedance setting section comprises one or both of an arrangement which continuously changes the backward-direction impedance with a continuous variable element over the plurality of different higher harmonics, and an arrangement which changes the backward-direction impedance stepwise by switching a plurality of fixed elements.
17. The apparatus according to claim 12, wherein the impedance setting section has a filter to select a higher harmonic as a resonance target.
18. The apparatus according to claim 17, wherein the filter has a high impedance of not less than 50 Ω against harmonics other than a selected harmonic.
19. The apparatus according to claim 17, wherein the filter comprises a filter selected from the group consisting of a high-pass filter, bandpass filter, low-pass filter, and notch filter.
20. The apparatus according to claim 17, wherein the filter cuts a component having the fundamental frequency of the RF power.
21. An apparatus which performs a plasma process on a target substrate by using plasma, comprising:
an airtight process chamber which accommodates the target substrate;
a gas supply system which supplies a process gas into the process chamber;
an exhaust system which exhausts an interior of the process chamber and sets the interior of the process chamber to a vacuum state;
first and second electrodes arranged in the process chamber to oppose each other, an RF field, which turns the process gas into plasma by excitation, being formed between the first and second electrodes;
first and second interconnections which are respectively connected to the first and second electrodes and which extend to an outside of the process chamber, the first and second interconnections forming part of an AC circuit including electrical coupling between the first and second electrodes;
a first RF power supply which is arranged on the first interconnection and which supplies first RF power;
a first matching circuit which is arranged on the first interconnection between the first electrode and the first RF power supply and which automatically performs input impedance matching relative to the first RF power;
an impedance setting section which is arranged on the second intersection and which sets a backward-direction impedance as an impedance against an RF component input from the plasma to the second electrode, the impedance setting section being capable of changing a value of the backward-direction impedance, and the RF component including a component having a fundamental frequency of the first RF power; and
a controller which supplies a control signal concerning a preset value of the backward-direction impedance to the impedance setting section.
22. The apparatus according to claim 21, wherein the second interconnection is grounded through the impedance setting section.
23. The apparatus according to claim 21, further comprising:
a second RF power supply which is arranged on the second interconnection and which supplies second RF power; and
a second matching circuit which is arranged on the second interconnection between the second electrode and the second RF power supply and which automatically performs input impedance matching relative to the second RF power.
24. The apparatus according to claim 23, wherein the first RF power has a frequency higher than that of the second RF power.
25. The apparatus according to claim 23, wherein the first RF power has a frequency lower than that of the second RF power.
26. An apparatus which performs a plasma process on a target substrate by using plasma, comprising:
an airtight process chamber which accommodates the target substrate;
a gas supply system which supplies a process gas into the process chamber;
an exhaust system which exhausts an interior of the process chamber and sets the interior of the process chamber to a vacuum state;
first and second electrodes arranged in the process chamber to oppose each other, an RF field, which turns the process gas into plasma by excitation, being formed between the first and second electrodes;
first and second interconnections which are respectively connected to the first and second electrodes and which extend to an outside of the process chamber, the first and second interconnections forming part of an AC circuit including electrical coupling between the first and second electrodes;
a first RF power supply which is arranged on the first interconnection and which supplies first RF power;
a first matching circuit which is arranged on the first interconnection between the first electrode and the first RF power supply and which automatically performs input impedance matching relative to the first RF power;
an impedance setting section which is arranged on the first intersection and which sets a backward-direction impedance as an impedance against an RF component input from the plasma to the first electrode, the impedance setting section being capable of changing a value of the backward-direction impedance, and the RF component including a harmonic of a fundamental frequency of the first RF power; and
a controller which supplies a control signal concerning a preset value of the backward-direction impedance to the impedance setting section.
27. The apparatus according to claim 26, wherein a value of the input impedance is so set by the impedance setting section as to be not less than twice a value of an RF load impedance formed by the process chamber and the plasma against the first RF power.
28. The apparatus according to claim 26, further comprising:
a second RF power supply which is arranged on the second interconnection and which supplies second RF power; and
a second matching circuit which is arranged on the second interconnection between the second electrode and the second RF power supply and which automatically performs input impedance matching relative to the second RF power.
29. The apparatus according to claim 28, wherein the first RF power has a frequency higher than that of the second RF power.
30. The apparatus according to claim 29, wherein the first RF power has a frequency lower than that of the second RF power.
31. An apparatus which performs a plasma process on a target substrate by using plasma, comprising:
an airtight process chamber which accommodates the target substrate;
a gas supply system which supplies a process gas into the process chamber;
an exhaust system which exhausts an interior of the process chamber and sets the interior of the process chamber to a vacuum state;
first and second electrodes arranged in the process chamber to oppose each other, an RF field, which turns the process gas into plasma by excitation, being formed between the first and second electrodes;
first and second interconnections which are respectively connected to the first and second electrodes and which extend to an outside of the process chamber, the first and second interconnections forming part of an AC circuit including electrical coupling between the first and second electrodes;
a first RF power supply which is arranged on the first interconnection and which supplies first RF power;
a first matching circuit which is arranged on the first interconnection between the first electrode and the first RF power supply and which automatically performs input impedance matching relative to the first RF power;
an impedance setting section which is arranged on the first intersection and which sets a backward-direction impedance as an impedance against an RF component input to the first electrode;
a second RF power supply which is arranged on the second interconnection and which supplies second RF power, the second RF power supply being capable of changing a frequency of the second RF power;
a second matching circuit which is arranged on the second interconnection between the second electrode and the second RF power supply and which automatically performs input impedance matching relative to the second RF power; and
a controller which supplies a control signal concerning a preset value of a frequency of the second RF power to the second RF power supply.
32. The apparatus according to claim 31, wherein the impedance setting section has the backward-direction impedance which is a constant preset value.
33. The apparatus according to claim 31, wherein the impedance preset unit comprises one or both of an arrangement which continuously changes the backward-direction impedance with a continuous variable element, and an arrangement which changes the backward-direction impedance stepwise by switching a plurality of fixed elements.
34. A calibration method for the impedance setting section in the apparatus according to claim 1, the method comprising steps of:
obtaining, by measurement, calibration data that compensates for a difference in setting the backward-direction impedance which is intrinsic to the impedance setting section; and
adjusting the preset value with the calibration data and then adjusting the backward-direction impedance.
35. The method according to claim 34, further comprising steps of:
obtaining, with a reactance measurement unit, a correlation between the preset value and a reactance of the impedance setting section against the RF component; and
obtaining the calibration data on the basis of a predetermined reference correlation and the obtained correlation.
36. The method according to claim 35, wherein the impedance setting section is connected to the first electrode, and the RF component has a frequency of the RF power to be applied to the second electrode, or a frequency that largely influences a distribution of the plasma.
37. The method according to claim 35, wherein the reactance measurement unit is connected to an output terminal of the impedance setting section.
38. The method according to claim 35, wherein the impedance setting section is connected to the first electrode in the apparatus, and the reactance measurement unit is connected to the first electrode in the method.
39. The method according to claim 34, wherein
the impedance setting section is connected to the first electrode in the apparatus, and
the method comprises steps of
obtaining a correlation between a first parameter and the preset value, the first parameter representing information selected from the group consisting of a voltage amplitude of an RF power applied to the first electrode, an adjustment value of a matching circuit connected to the first electrode, a voltage amplitude of an RF power applied to the second electrode, an adjustment value of a matching circuit connected to the second electrode, and an output from an end point detection spectroscope, and
obtaining the calibration data on the basis of a predetermined reference correlation and the obtained correlation.
40. The method according to claim 39, wherein the controller automatically changes the backward-direction impedance of the impedance setting section, so that data concerning a change in the first parameter is acquired, thus obtaining the calibration data.
US10/615,915 2002-07-12 2003-07-10 Plasma processing apparatus Expired - Fee Related US7527016B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US10/615,915 US7527016B2 (en) 2002-07-12 2003-07-10 Plasma processing apparatus
US11/756,097 US8251011B2 (en) 2002-07-12 2007-05-31 Plasma processing apparatus

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP2002204928 2002-07-12
JP2002-204928 2002-07-12
US39673002P 2002-07-19 2002-07-19
JP2003060670A JP4370789B2 (en) 2002-07-12 2003-03-06 Plasma processing apparatus and variable impedance means calibration method
JP2003-060670 2003-03-06
US10/615,915 US7527016B2 (en) 2002-07-12 2003-07-10 Plasma processing apparatus

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/756,097 Division US8251011B2 (en) 2002-07-12 2007-05-31 Plasma processing apparatus

Publications (2)

Publication Number Publication Date
US20040035365A1 true US20040035365A1 (en) 2004-02-26
US7527016B2 US7527016B2 (en) 2009-05-05

Family

ID=31497586

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/615,915 Expired - Fee Related US7527016B2 (en) 2002-07-12 2003-07-10 Plasma processing apparatus
US11/756,097 Active 2024-09-05 US8251011B2 (en) 2002-07-12 2007-05-31 Plasma processing apparatus

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/756,097 Active 2024-09-05 US8251011B2 (en) 2002-07-12 2007-05-31 Plasma processing apparatus

Country Status (4)

Country Link
US (2) US7527016B2 (en)
JP (1) JP4370789B2 (en)
CN (1) CN100355038C (en)
TW (1) TW200402759A (en)

Cited By (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060021580A1 (en) * 2004-06-02 2006-02-02 Tokyo Electron Limited Plasma processing apparatus and impedance adjustment method
US20060037704A1 (en) * 2004-07-30 2006-02-23 Tokyo Electron Limited Plasma Processing apparatus and method
US20060090854A1 (en) * 2004-11-02 2006-05-04 Kenji Sato RF supply system and plasma processing apparatus
WO2006050632A2 (en) * 2004-11-12 2006-05-18 Oc Oerlikon Balzers Ag Impedance matching of a capacitively coupled rf plasma reactor suitable for large area substrates
US20060219363A1 (en) * 2005-03-31 2006-10-05 Naoki Matsumoto Capacitive coupling plasma processing apparatus and method for using the same
US20070006972A1 (en) * 2005-07-08 2007-01-11 Applied Materials, Inc. Wafer pre-clean reactor cable termination for selective suppression/reflection of source and bias frequency cross products
US20070048882A1 (en) * 2000-03-17 2007-03-01 Applied Materials, Inc. Method to reduce plasma-induced charging damage
US20070066064A1 (en) * 2000-03-17 2007-03-22 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
KR100710923B1 (en) 2004-06-02 2007-04-23 동경 엘렉트론 주식회사 Plasma processing apparatus and impedance adjustment method
US20070227449A1 (en) * 2006-03-31 2007-10-04 Tokyo Electron Limited Plasma processing apparatus and method
US20070228009A1 (en) * 2006-03-31 2007-10-04 Tokyo Electron Limited Plasma processing apparatus and method
US20080178803A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Plasma reactor with ion distribution uniformity controller employing plural vhf sources
US20080179011A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Plasma reactor with wide process window employing plural vhf sources
US20080182416A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Plasma process uniformity across a wafer by apportioning power among plural vhf sources
US20080179181A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
US20080236493A1 (en) * 2007-03-27 2008-10-02 Tokyo Electron Limited Plasma processing apparatus
US20080236753A1 (en) * 2007-03-28 2008-10-02 Tokyo Electron Limited Plasma processing apparatus
US20090101283A1 (en) * 2007-10-18 2009-04-23 Tokyo Electron Limited Plasma processing apparatus
US20090123662A1 (en) * 2005-04-11 2009-05-14 Stefan Laure Plasma Coating Device and Method
US20090242515A1 (en) * 2008-03-27 2009-10-01 Tokyo Electron Limited Plasma processing apparatus and plasma etching method
US20100012029A1 (en) * 2008-07-15 2010-01-21 Applied Materials, Inc. Apparatus for controlling radial distribution of plasma ion density and ion energy at a workpiece surface by multi-frequency rf impedance tuning
US20100012480A1 (en) * 2008-07-15 2010-01-21 Applied Materials, Inc. Method for controlling radial distribution of plasma ion density and ion energy at a workpiece surface by multi-frequency rf impedance tuning
US20100323126A1 (en) * 2007-02-26 2010-12-23 Dr. Laure Plasmatechnologie Gmnh Apparatus and Method for Plasma-Assisted Coating and Surface Treatment of Voluminous Parts
US20110049101A1 (en) * 2009-09-02 2011-03-03 Juco Eller Y Arrangements for manipulating plasma confinement within a plasma processing system and methods thereof
US20110104381A1 (en) * 2004-01-15 2011-05-05 Stefan Laure Plasma Treatment of Large-Scale Components
US20110234201A1 (en) * 2010-03-24 2011-09-29 Daihen Corporation High-frequency measuring device and high-frequency measuring device calibration method
CN102209426A (en) * 2010-03-31 2011-10-05 东京毅力科创株式会社 Plasma processing method and plasma processing apparatus
US20110260739A1 (en) * 2008-12-17 2011-10-27 Vestas Wind Systems A/S Method and System for Testing Wind Turbine Plants
CN102184830B (en) * 2004-07-30 2012-07-25 东京毅力科创株式会社 Plasma processing apparatus and plasma processing method
US20130260567A1 (en) * 2012-03-28 2013-10-03 Lam Research Corporation Multi-radiofrequency impedance control for plasma uniformity tuning
US20150170925A1 (en) * 2013-12-17 2015-06-18 Tokyo Electron Limited System and method for controlling plasma density
CN110301029A (en) * 2016-12-16 2019-10-01 朗姆研究公司 System and method are eliminated in the shunting that parasitic component is provided in plasma reactor
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US20210074514A1 (en) * 2019-09-05 2021-03-11 Iucf-Hyu (Industry-University Cooperation Foundation Hanyang University) Substrate treating apparatus
US11257660B2 (en) * 2019-07-16 2022-02-22 Semes Co., Ltd. Apparatus and method for treating substrate
US20220148854A1 (en) * 2020-11-06 2022-05-12 Tokyo Electron Limited Filter circuit

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4558365B2 (en) * 2004-03-26 2010-10-06 株式会社神戸製鋼所 Plasma processing apparatus and plasma processing method
US7435926B2 (en) * 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
JP4606944B2 (en) * 2004-06-02 2011-01-05 東京エレクトロン株式会社 Plasma processing apparatus and impedance adjustment method
JP4546303B2 (en) * 2005-03-24 2010-09-15 東京エレクトロン株式会社 Plasma processing equipment
CN100362619C (en) * 2005-08-05 2008-01-16 中微半导体设备(上海)有限公司 RF matching coupling network for vacuum reaction chamber and its configuration method
JP2007250967A (en) * 2006-03-17 2007-09-27 Tokyo Electron Ltd Plasma treating apparatus and method, and focus ring
JP5491648B2 (en) * 2006-10-06 2014-05-14 東京エレクトロン株式会社 Plasma etching apparatus and plasma etching method
JP5192209B2 (en) 2006-10-06 2013-05-08 東京エレクトロン株式会社 Plasma etching apparatus, plasma etching method, and computer-readable storage medium
JP2008186939A (en) * 2007-01-29 2008-08-14 Tokyo Electron Ltd Plasma treatment equipment and plasma treatment method, and storage medium
JP5160802B2 (en) * 2007-03-27 2013-03-13 東京エレクトロン株式会社 Plasma processing equipment
JP2009081812A (en) * 2007-09-27 2009-04-16 Nec Electronics Corp Signal processing apparatus and method
JP2009187673A (en) * 2008-02-01 2009-08-20 Nec Electronics Corp Plasma treatment device and method
CN100595886C (en) * 2008-09-26 2010-03-24 中国科学院微电子研究所 Method and system for eliminating reactive ion etching self bias
JP5566389B2 (en) * 2009-09-25 2014-08-06 京セラ株式会社 Deposited film forming apparatus and deposited film forming method
US20110209995A1 (en) * 2010-03-01 2011-09-01 Applied Materials, Inc. Physical Vapor Deposition With A Variable Capacitive Tuner and Feedback Circuit
JP2012060104A (en) * 2010-08-11 2012-03-22 Toshiba Corp Power supply controller, plasma processing apparatus, and plasma processing method
US8932429B2 (en) * 2012-02-23 2015-01-13 Lam Research Corporation Electronic knob for tuning radial etch non-uniformity at VHF frequencies
KR20140059422A (en) * 2012-11-08 2014-05-16 엘아이지에이디피 주식회사 Inductively coupled plasma processing apparatus and control method thereof
US9401264B2 (en) * 2013-10-01 2016-07-26 Lam Research Corporation Control of impedance of RF delivery path
JP2015053384A (en) * 2013-09-06 2015-03-19 東京エレクトロン株式会社 Plasma processing method and plasma processing device
JP2016046357A (en) * 2014-08-22 2016-04-04 株式会社日立ハイテクノロジーズ Plasma processing device
SG10201803908SA (en) * 2014-09-02 2018-06-28 Ebara Corp End point detection method, polishing apparatus, and polishing method
JP6584329B2 (en) * 2016-01-19 2019-10-02 東京エレクトロン株式会社 Plasma processing equipment
WO2018051447A1 (en) * 2016-09-15 2018-03-22 株式会社日立国際電気 Matching device
US10896806B2 (en) * 2016-11-03 2021-01-19 En2Core Technology, Inc. Inductive coil structure and inductively coupled plasma generation system
US10903046B2 (en) * 2016-11-03 2021-01-26 En2Core Technology, Inc. Inductive coil structure and inductively coupled plasma generation system
US10541114B2 (en) * 2016-11-03 2020-01-21 En2Core Technology, Inc. Inductive coil structure and inductively coupled plasma generation system
CN107665829B (en) * 2017-08-24 2019-12-17 长江存储科技有限责任公司 method for improving metal lead process safety in wafer hybrid bonding
US10269540B1 (en) * 2018-01-25 2019-04-23 Advanced Energy Industries, Inc. Impedance matching system and method of operating the same
US10892142B2 (en) 2018-03-16 2021-01-12 Samsung Electronics Co., Ltd. System for fabricating a semiconductor device
JP7049883B2 (en) * 2018-03-28 2022-04-07 東京エレクトロン株式会社 Boron-based film film forming method and film forming equipment
JP7085963B2 (en) * 2018-10-29 2022-06-17 東京エレクトロン株式会社 Plasma processing equipment and plasma processing method
KR20210076154A (en) 2018-11-09 2021-06-23 어플라이드 머티어리얼스, 인코포레이티드 Radio Frequency Filter System for Processing Chambers
JP7154119B2 (en) * 2018-12-06 2022-10-17 東京エレクトロン株式会社 Control method and plasma processing apparatus
US11437262B2 (en) * 2018-12-12 2022-09-06 Applied Materials, Inc Wafer de-chucking detection and arcing prevention
EP3987079A4 (en) * 2019-06-24 2023-03-01 TRUMPF Huettinger Sp. Z o. o. Method of adjusting the output power of a power supply supplying electrical power to a plasma, plasma apparatus and power supply
KR102223875B1 (en) * 2019-10-30 2021-03-05 주식회사 뉴파워 프라즈마 High frequency power device for dry etching equipment with multiple frequencies
CN113820531A (en) * 2020-06-19 2021-12-21 拓荆科技股份有限公司 Semiconductor equipment with radio frequency system state controlled
KR20230102034A (en) 2021-12-29 2023-07-07 세메스 주식회사 Substrate processing apparatus, harmonics control unit and harmonics contol method
US20240047938A1 (en) * 2022-08-05 2024-02-08 Satius Holding, Llc Capacitive Coupling for Optical or Laser Diodes

Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340461A (en) * 1980-09-10 1982-07-20 International Business Machines Corp. Modified RIE chamber for uniform silicon etching
US5272417A (en) * 1989-05-12 1993-12-21 Tadahiro Ohmi Device for plasma process
US5474648A (en) * 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5815047A (en) * 1993-10-29 1998-09-29 Applied Materials, Inc. Fast transition RF impedance matching network for plasma reactor ignition
US5849372A (en) * 1993-09-17 1998-12-15 Isis Innovation Limited RF plasma reactor and methods of generating RF plasma
US6174450B1 (en) * 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US6199505B1 (en) * 1998-04-08 2001-03-13 Anelva Corporation Plasma processing apparatus
US6252354B1 (en) * 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US20010009139A1 (en) * 1999-08-03 2001-07-26 Hongqing Shan Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
US6270618B1 (en) * 1997-12-17 2001-08-07 Alps Electric Co., Ltd. Plasma processing apparatus
US20020007915A1 (en) * 2000-07-19 2002-01-24 Eiji Hirose Plasma processing apparatus
US6631693B2 (en) * 2001-01-30 2003-10-14 Novellus Systems, Inc. Absorptive filter for semiconductor processing systems
US20030192475A1 (en) * 2002-04-16 2003-10-16 Applied Materials, Inc. Method and apparatus for routing harmonics in a plasma to ground within a plasma enhanced semiconductor wafer processing chamber
US6812646B2 (en) * 2000-02-14 2004-11-02 Tokyo Electron Limited Method and device for attenuating harmonics in semiconductor plasma processing systems
US6884635B2 (en) * 2000-03-28 2005-04-26 Tokyo Electron Limited Control of power delivered to a multiple segment inject electrode
US7004107B1 (en) * 1997-12-01 2006-02-28 Applied Materials Inc. Method and apparatus for monitoring and adjusting chamber impedance
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US7042311B1 (en) * 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58158929A (en) 1982-03-17 1983-09-21 Kokusai Electric Co Ltd Plasma generator
JPH0354825A (en) 1989-07-21 1991-03-08 Tokyo Electron Ltd Plasma processor
JPH06112167A (en) * 1992-09-29 1994-04-22 Tokyo Electron Ltd Plasma apparatus
JP3251087B2 (en) 1993-02-16 2002-01-28 東京エレクトロン株式会社 Plasma processing equipment
JP3162245B2 (en) 1994-04-20 2001-04-25 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
US6095084A (en) * 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
JP3022806B2 (en) 1997-05-15 2000-03-21 九州日本電気株式会社 Semiconductor device manufacturing apparatus and adjustment method thereof
JPH10125665A (en) 1997-11-04 1998-05-15 Tadahiro Omi Plasma processing system
US6259334B1 (en) * 1998-12-22 2001-07-10 Lam Research Corporation Methods for controlling an RF matching network
JP4450883B2 (en) 1999-03-30 2010-04-14 東京エレクトロン株式会社 Plasma processing equipment
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
TW483037B (en) * 2000-03-24 2002-04-11 Hitachi Ltd Semiconductor manufacturing apparatus and method of processing semiconductor wafer using plasma, and wafer voltage probe
JP3723060B2 (en) * 2000-08-11 2005-12-07 アルプス電気株式会社 Plasma processing apparatus and performance confirmation system for plasma processing apparatus
TW511158B (en) * 2000-08-11 2002-11-21 Alps Electric Co Ltd Plasma processing apparatus and system, performance validation system thereof
JP2002286235A (en) 2001-03-26 2002-10-03 Cleanup Corp Piping structure of air conditioner for bathroom unit
JP4819244B2 (en) 2001-05-15 2011-11-24 東京エレクトロン株式会社 Plasma processing equipment
US6706138B2 (en) * 2001-08-16 2004-03-16 Applied Materials Inc. Adjustable dual frequency voltage dividing plasma reactor

Patent Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340461A (en) * 1980-09-10 1982-07-20 International Business Machines Corp. Modified RIE chamber for uniform silicon etching
US5272417A (en) * 1989-05-12 1993-12-21 Tadahiro Ohmi Device for plasma process
US5849372A (en) * 1993-09-17 1998-12-15 Isis Innovation Limited RF plasma reactor and methods of generating RF plasma
US5815047A (en) * 1993-10-29 1998-09-29 Applied Materials, Inc. Fast transition RF impedance matching network for plasma reactor ignition
US5474648A (en) * 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US6252354B1 (en) * 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US6174450B1 (en) * 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US7004107B1 (en) * 1997-12-01 2006-02-28 Applied Materials Inc. Method and apparatus for monitoring and adjusting chamber impedance
US6270618B1 (en) * 1997-12-17 2001-08-07 Alps Electric Co., Ltd. Plasma processing apparatus
US6199505B1 (en) * 1998-04-08 2001-03-13 Anelva Corporation Plasma processing apparatus
US20010009139A1 (en) * 1999-08-03 2001-07-26 Hongqing Shan Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
US6812646B2 (en) * 2000-02-14 2004-11-02 Tokyo Electron Limited Method and device for attenuating harmonics in semiconductor plasma processing systems
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6884635B2 (en) * 2000-03-28 2005-04-26 Tokyo Electron Limited Control of power delivered to a multiple segment inject electrode
US6485602B2 (en) * 2000-07-19 2002-11-26 Tokyo Electron Limited Plasma processing apparatus
US20020007915A1 (en) * 2000-07-19 2002-01-24 Eiji Hirose Plasma processing apparatus
US6631693B2 (en) * 2001-01-30 2003-10-14 Novellus Systems, Inc. Absorptive filter for semiconductor processing systems
US20030192475A1 (en) * 2002-04-16 2003-10-16 Applied Materials, Inc. Method and apparatus for routing harmonics in a plasma to ground within a plasma enhanced semiconductor wafer processing chamber
US7042311B1 (en) * 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system

Cited By (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070048882A1 (en) * 2000-03-17 2007-03-01 Applied Materials, Inc. Method to reduce plasma-induced charging damage
US8048806B2 (en) * 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US20070066064A1 (en) * 2000-03-17 2007-03-22 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US20110104381A1 (en) * 2004-01-15 2011-05-05 Stefan Laure Plasma Treatment of Large-Scale Components
US20090223926A1 (en) * 2004-06-02 2009-09-10 Tokyo Electron Limited Plasma processing apparatus and impedance adjustment method
KR100710923B1 (en) 2004-06-02 2007-04-23 동경 엘렉트론 주식회사 Plasma processing apparatus and impedance adjustment method
US8193097B2 (en) * 2004-06-02 2012-06-05 Tokyo Electron Limited Plasma processing apparatus and impedance adjustment method
US20060021580A1 (en) * 2004-06-02 2006-02-02 Tokyo Electron Limited Plasma processing apparatus and impedance adjustment method
US20060037704A1 (en) * 2004-07-30 2006-02-23 Tokyo Electron Limited Plasma Processing apparatus and method
US20090223933A1 (en) * 2004-07-30 2009-09-10 Tokyo Electron Limited Plasma processing apparatus and method
US8431035B2 (en) 2004-07-30 2013-04-30 Tokyo Electron Limited Plasma processing apparatus and method
CN102184830B (en) * 2004-07-30 2012-07-25 东京毅力科创株式会社 Plasma processing apparatus and plasma processing method
US7883600B2 (en) * 2004-11-02 2011-02-08 Tokyo Electron Limited RF supply system and plasma processing apparatus
US20060090854A1 (en) * 2004-11-02 2006-05-04 Kenji Sato RF supply system and plasma processing apparatus
KR101107393B1 (en) * 2004-11-12 2012-01-19 오리콘 솔라 아게, 트루바흐 Capacitively coupled rf-plasma reactor
AU2005304253B8 (en) * 2004-11-12 2011-01-20 Oerlikon Solar Ag Trubbach Impedance matching of a capacitively coupled RF plasma reactor suitable for large area substrates
US20070252529A1 (en) * 2004-11-12 2007-11-01 Oc Oerlikon Balzers Ag Capacitively Coupled Rf-Plasma Reactor
AU2005304253B2 (en) * 2004-11-12 2010-12-23 Oerlikon Solar Ag Trubbach Impedance matching of a capacitively coupled RF plasma reactor suitable for large area substrates
WO2006050632A2 (en) * 2004-11-12 2006-05-18 Oc Oerlikon Balzers Ag Impedance matching of a capacitively coupled rf plasma reactor suitable for large area substrates
WO2006050632A3 (en) * 2004-11-12 2006-07-27 Unaxis Balzers Ag Impedance matching of a capacitively coupled rf plasma reactor suitable for large area substrates
US8506753B2 (en) 2005-03-31 2013-08-13 Tokyo Electron Limited Capacitive coupling plasma processing apparatus and method for using the same
US7993489B2 (en) * 2005-03-31 2011-08-09 Tokyo Electron Limited Capacitive coupling plasma processing apparatus and method for using the same
US9607866B2 (en) 2005-03-31 2017-03-28 Tokyo Electron Limited Capacitive coupling plasma processing apparatus and method for using the same
US10529596B2 (en) 2005-03-31 2020-01-07 Tokyo Electron Limited Capacitive coupling plasma processing apparatus and method for using the same
US20060219363A1 (en) * 2005-03-31 2006-10-05 Naoki Matsumoto Capacitive coupling plasma processing apparatus and method for using the same
US20090123662A1 (en) * 2005-04-11 2009-05-14 Stefan Laure Plasma Coating Device and Method
US20070006972A1 (en) * 2005-07-08 2007-01-11 Applied Materials, Inc. Wafer pre-clean reactor cable termination for selective suppression/reflection of source and bias frequency cross products
US7780814B2 (en) * 2005-07-08 2010-08-24 Applied Materials, Inc. Wafer pre-clean reactor cable termination for selective suppression/reflection of source and bias frequency cross products
US7611603B2 (en) 2006-03-31 2009-11-03 Tokyo Electron Limited Plasma processing apparatus having impedance varying electrodes
US20070228009A1 (en) * 2006-03-31 2007-10-04 Tokyo Electron Limited Plasma processing apparatus and method
US20070227449A1 (en) * 2006-03-31 2007-10-04 Tokyo Electron Limited Plasma processing apparatus and method
US7758929B2 (en) 2006-03-31 2010-07-20 Tokyo Electron Limited Plasma processing apparatus and method
US20100252198A1 (en) * 2006-03-31 2010-10-07 Tokyo Electron Limited Plasma processing apparatus and method
US20080182417A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Plasma process uniformity across a wafer by apportioning ground return path impedances among plural vhf sources
US20080179011A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Plasma reactor with wide process window employing plural vhf sources
US20080178803A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Plasma reactor with ion distribution uniformity controller employing plural vhf sources
US7879731B2 (en) 2007-01-30 2011-02-01 Applied Materials, Inc. Improving plasma process uniformity across a wafer by apportioning power among plural VHF sources
US7884025B2 (en) 2007-01-30 2011-02-08 Applied Materials, Inc. Plasma process uniformity across a wafer by apportioning ground return path impedances among plural VHF sources
US20080182416A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Plasma process uniformity across a wafer by apportioning power among plural vhf sources
US20080182418A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Plasma process uniformity across a wafer by controlling a variable frequency coupled to a harmonic resonator
US20080179181A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
US7968469B2 (en) 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
US20080180028A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Plasma process uniformity across a wafer by controlling rf phase between opposing electrodes
US8080479B2 (en) 2007-01-30 2011-12-20 Applied Materials, Inc. Plasma process uniformity across a wafer by controlling a variable frequency coupled to a harmonic resonator
US8076247B2 (en) 2007-01-30 2011-12-13 Applied Materials, Inc. Plasma process uniformity across a wafer by controlling RF phase between opposing electrodes
US20100323126A1 (en) * 2007-02-26 2010-12-23 Dr. Laure Plasmatechnologie Gmnh Apparatus and Method for Plasma-Assisted Coating and Surface Treatment of Voluminous Parts
US20080236493A1 (en) * 2007-03-27 2008-10-02 Tokyo Electron Limited Plasma processing apparatus
US20080236753A1 (en) * 2007-03-28 2008-10-02 Tokyo Electron Limited Plasma processing apparatus
US9099503B2 (en) * 2007-10-18 2015-08-04 Tokyo Electron Limited Plasma processing apparatus
US20090101283A1 (en) * 2007-10-18 2009-04-23 Tokyo Electron Limited Plasma processing apparatus
US8303834B2 (en) 2008-03-27 2012-11-06 Tokyo Electron Limited Plasma processing apparatus and plasma etching method
US20090242515A1 (en) * 2008-03-27 2009-10-01 Tokyo Electron Limited Plasma processing apparatus and plasma etching method
US8920611B2 (en) 2008-07-15 2014-12-30 Applied Materials, Inc. Method for controlling radial distribution of plasma ion density and ion energy at a workpiece surface by multi-frequency RF impedance tuning
US9017533B2 (en) * 2008-07-15 2015-04-28 Applied Materials, Inc. Apparatus for controlling radial distribution of plasma ion density and ion energy at a workpiece surface by multi-frequency RF impedance tuning
US20100012029A1 (en) * 2008-07-15 2010-01-21 Applied Materials, Inc. Apparatus for controlling radial distribution of plasma ion density and ion energy at a workpiece surface by multi-frequency rf impedance tuning
US20100012480A1 (en) * 2008-07-15 2010-01-21 Applied Materials, Inc. Method for controlling radial distribution of plasma ion density and ion energy at a workpiece surface by multi-frequency rf impedance tuning
US8686742B2 (en) * 2008-12-17 2014-04-01 Vestas Wind Systems A/S Method and system for testing wind turbine plants
AU2009327173B2 (en) * 2008-12-17 2013-09-19 Vestas Wind Systems A/S Method and system for testing wind turbine plants
US20110260739A1 (en) * 2008-12-17 2011-10-27 Vestas Wind Systems A/S Method and System for Testing Wind Turbine Plants
US20110049101A1 (en) * 2009-09-02 2011-03-03 Juco Eller Y Arrangements for manipulating plasma confinement within a plasma processing system and methods thereof
US9275838B2 (en) * 2009-09-02 2016-03-01 Lam Research Corporation Arrangements for manipulating plasma confinement within a plasma processing system and methods thereof
US20110234201A1 (en) * 2010-03-24 2011-09-29 Daihen Corporation High-frequency measuring device and high-frequency measuring device calibration method
US8686711B2 (en) * 2010-03-24 2014-04-01 Daihen Corporation High-frequency measuring device and high-frequency measuring device calibration method
CN102209426A (en) * 2010-03-31 2011-10-05 东京毅力科创株式会社 Plasma processing method and plasma processing apparatus
US11127571B2 (en) 2011-11-22 2021-09-21 Lam Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9881772B2 (en) * 2012-03-28 2018-01-30 Lam Research Corporation Multi-radiofrequency impedance control for plasma uniformity tuning
US10593516B2 (en) 2012-03-28 2020-03-17 Lam Research Corporation Multi-radiofrequency impedance control for plasma uniformity tuning
US20130260567A1 (en) * 2012-03-28 2013-10-03 Lam Research Corporation Multi-radiofrequency impedance control for plasma uniformity tuning
US10002744B2 (en) * 2013-12-17 2018-06-19 Tokyo Electron Limited System and method for controlling plasma density
US20150170925A1 (en) * 2013-12-17 2015-06-18 Tokyo Electron Limited System and method for controlling plasma density
CN110301029A (en) * 2016-12-16 2019-10-01 朗姆研究公司 System and method are eliminated in the shunting that parasitic component is provided in plasma reactor
US11257660B2 (en) * 2019-07-16 2022-02-22 Semes Co., Ltd. Apparatus and method for treating substrate
US20210074514A1 (en) * 2019-09-05 2021-03-11 Iucf-Hyu (Industry-University Cooperation Foundation Hanyang University) Substrate treating apparatus
US20220148854A1 (en) * 2020-11-06 2022-05-12 Tokyo Electron Limited Filter circuit

Also Published As

Publication number Publication date
TW200402759A (en) 2004-02-16
CN1476057A (en) 2004-02-18
CN100355038C (en) 2007-12-12
TWI320945B (en) 2010-02-21
US7527016B2 (en) 2009-05-05
US20070236148A1 (en) 2007-10-11
JP2004096066A (en) 2004-03-25
US8251011B2 (en) 2012-08-28
JP4370789B2 (en) 2009-11-25

Similar Documents

Publication Publication Date Title
US7527016B2 (en) Plasma processing apparatus
JP4985637B2 (en) Plasma processing method
US10109462B2 (en) Dual radio-frequency tuner for process control of a plasma process
US7794615B2 (en) Plasma processing method and apparatus, and autorunning program for variable matching unit
US8193097B2 (en) Plasma processing apparatus and impedance adjustment method
JP4838525B2 (en) Plasma processing method, plasma processing apparatus, and program for determining impedance preset value in variable matching unit
US5571366A (en) Plasma processing apparatus
JP7360391B2 (en) Electrostatic chuck with multiple radio frequency meshes to control plasma uniformity
US20140302256A1 (en) High impedance rf filter for heater with impedance tuning device
JP6997642B2 (en) Plasma processing equipment and plasma processing method
US20190035666A1 (en) Substrate processing apparatus
JP2007514300A (en) Method and apparatus for optimizing a substrate in a plasma processing system
US7692916B2 (en) Capacitive coupling plasma processing apparatus and method
US11328908B2 (en) Adjustment method for filter unit and plasma processing apparatus
CN114041204A (en) Double-frequency direct-drive inductively coupled plasma source
US7611603B2 (en) Plasma processing apparatus having impedance varying electrodes
KR101054558B1 (en) Calibration method of plasma processing apparatus and variable impedance means
TWI803670B (en) Plasma processing unit
JP5100853B2 (en) Plasma processing method
US11929236B2 (en) Methods of tuning to improve plasma stability
KR20200056200A (en) Apparatus for processing substrate
US20240014009A1 (en) Plasma processing apparatus and plasma processing method
US20230207294A1 (en) Plasma control apparatus and plasma processing system
KR100742549B1 (en) Plasma processor with coil having variable rf coupling
TW202238663A (en) Plasma treatment device and plasma treatment method

Legal Events

Date Code Title Description
AS Assignment

Owner name: TOKYO ELECTRON LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:YAMAZAWA, YOHEI;IWATA, MANABU;KOSHIMIZU, CHISHIO;AND OTHERS;REEL/FRAME:014631/0661;SIGNING DATES FROM 20030801 TO 20030822

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

LAPS Lapse for failure to pay maintenance fees

Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20210505