US20040040493A1 - Reduction of dislocation pile-up formation during relaxed lattice-mismatched epitaxy - Google Patents

Reduction of dislocation pile-up formation during relaxed lattice-mismatched epitaxy Download PDF

Info

Publication number
US20040040493A1
US20040040493A1 US10/268,425 US26842502A US2004040493A1 US 20040040493 A1 US20040040493 A1 US 20040040493A1 US 26842502 A US26842502 A US 26842502A US 2004040493 A1 US2004040493 A1 US 2004040493A1
Authority
US
United States
Prior art keywords
cap layer
layer
relaxed
substrate
graded
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US10/268,425
Other versions
US7594967B2 (en
Inventor
Christopher Vineis
Richard Westhoff
Mayank Bulsara
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Amber Wave Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Amber Wave Systems Inc filed Critical Amber Wave Systems Inc
Priority to US10/268,425 priority Critical patent/US7594967B2/en
Assigned to AMBERWAVE SYSTEMS CORPORATION reassignment AMBERWAVE SYSTEMS CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BULSARA, MAYANK, VINEIS, CHRISTOPHER J., WESTHOFF, RICHARD
Priority to AU2003302164A priority patent/AU2003302164A1/en
Priority to PCT/US2003/026782 priority patent/WO2004057631A2/en
Publication of US20040040493A1 publication Critical patent/US20040040493A1/en
Application granted granted Critical
Publication of US7594967B2 publication Critical patent/US7594967B2/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: AMBERWAVE SYSTEMS CORPORATION
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/0251Graded layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S117/00Single-crystal, oriented-crystal, and epitaxy growth processes; non-coating apparatus therefor
    • Y10S117/901Levitation, reduced gravity, microgravity, space
    • Y10S117/902Specified orientation, shape, crystallography, or size of seed or substrate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S117/00Single-crystal, oriented-crystal, and epitaxy growth processes; non-coating apparatus therefor
    • Y10S117/913Graphoepitaxy or surface modification to enhance epitaxy

Definitions

  • This invention relates generally to semiconductor substrates and specifically to substrates comprising relaxed lattice-mismatched semiconductor layers.
  • “Virtual substrates” based on silicon (Si) and germanium (Ge) provide a platform for new generations of very large scale integration (VLSI) devices that exhibit enhanced performance in comparison to devices fabricated on bulk Si substrates.
  • the important component of a SiGe virtual substrate is a layer of SiGe that has been relaxed to its equilibrium lattice constant (i.e., one that is larger than that of Si).
  • This relaxed SiGe layer can be directly applied to a Si substrate (e.g., by wafer bonding or direct epitaxy), or atop a relaxed graded SiGe buffer layer in which the lattice constant of the SiGe material has been increased gradually over the thickness of the layer.
  • the SiGe virtual substrate may also incorporate buried insulating layers, in the manner of a silicon-on-insulator (SOI) wafer.
  • SOI silicon-on-insulator
  • thin strained layers of semiconductors such as Si, Ge, or SiGe, are grown on the relaxed SiGe virtual substrates.
  • the resulting biaxial tensile or compressive strain alters the carrier mobilities in the layers, enabling the fabrication of high-speed and/or low-power-consumption devices.
  • One technique suitable for fabricating strained Si wafers can include the following steps:
  • the deposition of the relaxed graded SiGe buffer layer enables engineering of the in-plane lattice constant of the SiGe cap layer (and therefore the amount of strain in the strained silicon layer), while reducing the introduction of dislocations.
  • the lattice constant of SiGe is larger than that of Si, and is a direct function of the amount of Ge in the SiGe alloy.
  • the SiGe graded buffer layer As the SiGe graded buffer layer is epitaxially deposited, it will initially be strained to match the in-plane lattice constant of the underlying silicon substrate. However, above a certain critical thickness, the SiGe graded buffer layer will relax to its inherently larger lattice constant.
  • misfit dislocations at the interface between two lattice-mismatched layers, e.g., a Si substrate and a SiGe epitaxial layer (epilayer). Because dislocations cannot terminate inside a crystal, misfit dislocations have vertical dislocation segments at each end (termed “threading dislocations”), that may rise through the crystal to reach a top surface of the wafer. Both misfit and threading dislocations have stress fields associated with them. As explained by Eugene Fitzgerald et al., Journal of Vacuum Science and Technology B, Vol. 10, No.
  • the stress field associated with the network of misfit dislocations affects the localized epitaxial growth rate at the surface of the crystal. This variation in growth rates may result in a surface cross-hatch on lattice-mismatched, relaxed graded SiGe buffer layers grown on Si.
  • Dislocation pile-ups are a linear agglomeration of threading dislocations. Because pile-ups represent a high localized density of threading dislocations, they may render devices formed in that region unusable. Inhibiting the formation of dislocation pile-ups is, therefore, desirable.
  • Dislocation pile-ups are formed as follows. (See, e.g., Srikanth Samavedam et al., Journal of Applied Physics, Vol. 81, No. 7, 1997, incorporated herein by reference.) A high density of misfit dislocations in a particular region of a crystal will result in that region having a high localized stress field. This stress field may have two effects. First, this stress field may present a barrier to the motion of other threading dislocations attempting to glide past the misfits. This pinning or trapping of threading dislocations due to the high stress field of other misfit dislocations is known as work hardening.
  • the high stress field may strongly reduce the local epitaxial growth rate in that region, resulting in a deeper trough in the surface morphology in comparison to the rest of the surface cross-hatch.
  • This deep trough in the surface morphology may also pin threading dislocations attempting to glide past the region of high misfit dislocations. This cycle may perpetuate itself and result in a linear region with a high density of trapped threading dislocations, i.e., dislocation pile-up.
  • the substrate edge may provide a significant source of defects that serve as heterogeneous nucleation sites for misfit dislocations.
  • the substrate edge may need to be further shaped to enable, for example, the substrate to withstand further mechanical handling. This shaping may be done by an edge grinding operation in which grind wheels that mirror the desired edge contour mechanically remove substrate material along the substrate edge. Various grinding grain sizes may be used, depending on the final edge contour or roughness specifications.
  • the mechanical edge shaping process is also commonly referred to as the “edge contour grinding process” or simply the “grinding process.”
  • additional damage removal steps include, but are not limited to, no additional steps (i.e., edge is left unpolished), a caustic etch step, or a caustic etch step plus a chemical-mechanical edge polish step.
  • the additional damage removal process is also commonly referred to as the “edge polish process” or simply the “polish process.”
  • the present invention requires the formation of misfit dislocations to fully relax a heteroepitaxial layer comprising, e.g., SiGe, to its inherent lattice constant. To reduce dislocation pile-up, it is preferable to nucleate misfit dislocations uniformly throughout the crystal, thus avoiding localized regions of high misfit dislocation density.
  • Misfits nucleate at heterogeneous sites, such as imperfections at the substrate edge. In applications, therefore, with few preferred heterogeneous nucleation sites, those particular sites will be responsible for most of the layer relaxation by nucleating a high number of misfit dislocations. The resulting non-uniformity of misfit dislocations may result in pile-ups because the regions of preferred heterogeneous nucleation will have high local concentrations of misfit dislocations.
  • misfit dislocations are nucleated uniformly everywhere from the substrate edge as the graded buffer layer relaxes. This uniformity helps prevent localized regions of high misfit densities, which in turn reduces the conditions that cause dislocation pile-ups.
  • the invention features a method for forming a semiconductor structure.
  • a semiconductor substrate is provided having a rough edge.
  • a cap layer is formed over the substrate, the cap layer being substantially relaxed and having a uniform composition, and a lattice constant different from a lattice constant of the semiconductor substrate.
  • the rough edge may have a roughness greater than 10 angstroms.
  • the roughness may be greater than 100 angstroms.
  • the cap layer may have a density of dislocation pile-ups of less than 20/cm.
  • the cap layer may have a threading dislocation density of less than 10 7 /cm 2 .
  • the cap layer may include at least one of a group II, a group III, a group IV, a group V, and a group VI element, such as, for example, silicon and germanium.
  • At least a portion of the cap layer may be formed by growth at a growth temperature greater than 600° C.
  • At least a portion of the cap layer may be annealed at a temperature greater than 600° C.
  • the rough edge may be edge polished after at least a portion of the relaxed cap layer is formed.
  • a relaxed compositionally graded layer may be formed over the substrate, proximate the relaxed cap layer.
  • the graded layer may have a density of dislocation pile-ups of less than 20/cm.
  • the graded layer may have a threading dislocation density of less than 10 7 /cm 2 .
  • the graded layer may include at least one of a group II, a group III, a group IV, a group V, and a group VI element, such as silicon and germanium.
  • the graded layer may have a grade rate greater than 5% germanium per micrometer and may be graded to a composition of, e.g., 20% germanium, or higher.
  • At least a portion of the graded layer may be formed by growth at a growth temperature greater than 600° C.
  • At least a portion of the graded layer may be annealed at a temperature greater than 600° C. The rough edge may be polished after at least a portion of the graded layer is formed.
  • Providing the semiconductor substrate with the rough edge may include roughening the edge of the semiconductor substrate.
  • a tensilely strained layer may be formed over the relaxed cap layer. At least a portion of the relaxed cap layer may be planarized prior to the formation of the tensilely strained layer.
  • a relaxed compositionally graded layer may be formed over the substrate, proximate the relaxed cap layer. The rough edge may be edge polished after at least a portion of the graded layer is formed. The rough edge may be polished after at least a portion of the relaxed cap layer is formed.
  • the invention features a method for forming a semiconductor structure.
  • a semiconductor substrate is provided having a rough edge.
  • a cap layer is formed over the substrate, the cap layer being substantially relaxed and having a uniform composition, and a lattice constant different from a lattice constant of the semiconductor substrate.
  • a p-type metal-oxide-semiconductor (PMOS) transistor is formed by: (i)forming a gate dielectric portion over a portion of the relaxed cap layer, (ii) forming a gate over the gate dielectric portion, the gate including a conducting layer, and (iii) forming a source and a drain proximate the gate dielectric portion, the source and drain including p-type dopants.
  • PMOS metal-oxide-semiconductor
  • the invention features a method for forming a semiconductor structure.
  • a semiconductor substrate is provided having a rough edge; a cap layer is formed over the substrate, the cap layer being substantially relaxed and having a uniform composition, and a lattice constant different from a lattice constant of the semiconductor substrate.
  • An n-type metal-oxide-semiconductor (NMOS) transistor is formed by (i) forming a gate dielectric portion over a portion of the relaxed cap layer, (ii) forming a gate over the gate dielectric portion, the gate including a conducting layer, and (iii) forming a source and a drain proximate the gate dielectric portion, the source and drain including n-type dopants.
  • NMOS metal-oxide-semiconductor
  • the invention features a method for forming a semiconductor structure.
  • a semiconductor substrate is provided having a rough edge.
  • a cap layer is formed over the substrate, the cap layer being substantially relaxed and having a uniform composition, and a lattice constant different from a lattice constant of the semiconductor substrate.
  • a p-type metal-oxide-semiconductor (PMOS) transistor is formed by: (i) forming a first gate dielectric portion over a first portion of the relaxed cap layer, (ii) forming a first gate over the first gate dielectric portion, the first gate including a first conducting layer, and (iii) forming a first source and a first drain proximate the first gate dielectric portion, the first source and first drain including p-type dopants.
  • PMOS metal-oxide-semiconductor
  • NMOS n-type metal-oxide-semiconductor
  • An n-type metal-oxide-semiconductor (NMOS) transistor is formed by (i) forming a second gate dielectric portion over a second portion of the relaxed cap layer, (ii) forming a second gate over the second gate dielectric portion, the second gate including a second conducting layer, and (iii) forming a second source and a second drain proximate the second gate dielectric portion, the second source and second drain including n-type dopants.
  • the invention features a semiconductor structure.
  • the structure includes a semiconductor substrate, and a cap layer disposed over the substrate, the cap layer being substantially relaxed, having a uniform composition, a lattice constant different from the lattice constant of the semiconductor substrate, and a lower density of dislocation pile-ups proximate an edge of the cap layer than a density of dislocation pile-ups present proximate an edge of a cap layer formed under similar conditions on a substrate having a polished edge.
  • the density of dislocation pile-ups of the cap layer may be less than the density of dislocation pile-ups present proximate an edge of a cap layer formed under similar conditions on a substrate having an edge with a roughness less than 10 angstroms.
  • the cap layer may have a density of dislocation pile-ups of less than 20/cm.
  • the cap layer may have a threading dislocation density of less than 10 7 /cm 2 .
  • the cap layer may include at least one of a group II, a group III, a group IV, a group V, and a group VI element, such as, e.g., silicon and germanium. At least a portion of the cap layer may be formed by growth at a growth temperature greater than 600° C. At least a portion of the cap layer may be annealed at a temperature greater than 600° C.
  • a compositionally graded layer may be disposed proximate the cap layer, with the graded layer being substantially relaxed.
  • the graded layer may have a density of dislocation pile-ups of less than 20/cm.
  • the graded layer may have a threading dislocation density of less than 10 7 /cm 2 .
  • the graded layer may include at least one of a group II, a group III, a group IV, a group V, and a group VI element, such as silicon and germanium.
  • the graded layer may have a grade rate greater than 5% germanium per micrometer.
  • the graded layer may be graded to a concentration of 20% germanium, or higher. At least a portion of the graded layer may be formed by growth at a growth temperature greater than 600° C. At least a portion of the graded layer may be annealed at a temperature greater than 600° C.
  • a tensilely strained layer may be disposed over the cap layer.
  • the tensilely strained layer may include at least one of a group II, a group III, a group IV, a group V, and a group VI element. At least a portion of the cap layer may be planarized.
  • a relaxed compositionally graded layer may be disposed over the substrate, proximate the cap layer.
  • the substrate may include a polished substrate edge and the graded layer may include a polished layer edge.
  • the invention features a semiconductor structure including a semiconductor substrate.
  • a cap layer is disposed over the substrate, the cap layer being substantially relaxed, and having a uniform composition, and a lattice constant different from a lattice constant of the semiconductor substrate.
  • a p-type metal-oxide-semiconductor (PMOS) transistor is disposed over the relaxed cap layer.
  • the PMOS transistor includes (i) a gate dielectric portion disposed over a portion of the relaxed cap layer, (ii) a gate disposed over the gate dielectric portion, the gate including a conducting layer, and (iii) a source and a drain disposed proximate the gate dielectric portion, the source and first drain including p-type dopants.
  • the invention features a semiconductor structure including a semiconductor substrate.
  • a cap layer is disposed over the substrate, the cap layer being substantially relaxed, and having a uniform composition, and a lattice constant different from a lattice constant of the semiconductor substrate.
  • An n-type metal-oxide-semiconductor (NMOS) transistor is disposed over the relaxed cap layer.
  • the NMOS transistor includes (i) a gate dielectric portion disposed over a portion of the relaxed cap layer, (ii) a gate disposed over the gate dielectric portion, the gate including a conducting layer, and (iii) a source and a drain disposed proximate the gate dielectric portion, the source and drain including n-type dopants.
  • the invention features a semiconductor structure including a semiconductor substrate.
  • a cap layer is disposed over the substrate, the cap layer being substantially relaxed, and having a uniform composition, and a lattice constant different from a lattice constant of the semiconductor substrate.
  • a p-type metal-oxide-semiconductor (PMOS) transistor is disposed over the relaxed cap layer, the PMOS transistor including (i) a first gate dielectric portion disposed over a first portion of the relaxed cap layer, (ii) a first gate disposed over the first gate dielectric portion, the first gate including a first conducting layer, and (iii) a first source and a first drain disposed proximate the first gate dielectric portion, the first source and first drain including p-type dopants.
  • An n-type metal-oxide-semiconductor (NMOS) transistor is disposed over the relaxed cap layer.
  • the NMOS transistor includes (i) a second gate dielectric portion disposed over a second portion of the relaxed cap layer, (ii) a second gate disposed over the second gate dielectric portion, the second gate including a second conducting layer, and (iii) a second source and a second drain disposed proximate the second gate dielectric portion, the second source and second drain including n-type dopants.
  • FIG. 5 is a schematic cross-sectional view of a complementary-metal-oxide-semiconductor (CMOS) device fabricated on the substrate illustrated in FIG. 3.
  • CMOS complementary-metal-oxide-semiconductor
  • a substrate 10 suitable for use with the invention comprises a semiconductor, such as silicon.
  • a semiconductor such as silicon.
  • Several layers collectively indicated at 11 are formed on substrate 10 .
  • substrate 10 is not edge polished prior to the formation of layers 11 .
  • Layers 11 may be grown, for example, in any suitable epitaxial deposition system, including, but not limited to, atmospheric-pressure CVD (APCVD), low- (or reduced-) pressure CVD (LPCVD), ultra-high-vacuum CVD (UHVCVD), or by molecular beam epitaxy (MBE).
  • APCVD atmospheric-pressure CVD
  • LPCVD low- (or reduced-) pressure CVD
  • UHVCVD ultra-high-vacuum CVD
  • MBE molecular beam epitaxy
  • the epitaxial growth system may be a single-wafer or multiple-wafer batch reactor.
  • the growth system may also utilize a low-energy plasma to enhance the layer growth kinetics.
  • Layers 11 and substrate 10 may be referred to together as “semiconductor wafer 13 .”
  • Layers 11 may include a relaxed graded buffer layer 12 disposed over substrate 10 .
  • Graded buffer layer 12 may include group IV elements such as SiGe, graded to a final composition of, for example, 10%-100% Ge, i.e., ranging from Si 0 9 Geo 0.1 to pure Ge, with a grading rate of, for example, 5% Ge/micrometer ( ⁇ m) of thickness, or a higher grading rate, with a thickness T 1 of, for example, 0.2-20 ⁇ m, a threading dislocation density of less than, e.g., 10 7 /cm 2 , and grown or annealed, for example, at temperatures higher than 600° C., including, in some embodiments, at temperatures higher than 900° C.
  • group IV elements such as SiGe, graded to a final composition of, for example, 10%-100% Ge, i.e., ranging from Si 0 9 Geo 0.1 to pure Ge, with a grading rate of, for example, 5% Ge/micro
  • graded buffer layer 12 may include group III and group V elements, such as indium (In), gallium (Ga), arsenic (As), and phosphorous (P), and/or group II and group VI elements, such as zinc (Zn), cadmium (Cd), selenium (Se), and tellurium (Te).
  • group III and group V elements such as indium (In), gallium (Ga), arsenic (As), and phosphorous (P)
  • group II and group VI elements such as zinc (Zn), cadmium (Cd), selenium (Se), and tellurium (Te).
  • a relaxed cap layer 14 may be disposed over graded buffer layer 12 .
  • Relaxed cap layer 14 may include, for example, Si 1 ⁇ x Ge x with a constant composition containing, for example, 10-100% Ge, (i.e., 0.1 ⁇ x ⁇ 1.0) having a thickness T 2 of, e.g., 0.1-2 ⁇ m.
  • Relaxed cap layer 14 may have a threading dislocation density of less than, e.g., 10 7 /cm 2 , and it may be grown or annealed, for example, at temperatures higher than 600° C., including, in some embodiments, at temperatures higher than 900° C.
  • relaxed cap layer 14 may be formed directly on substrate 10 , without graded buffer layer 12 .
  • relaxed cap layer 14 may include group III and group V elements, such as gallium arsenide (GaAs) and/or group II and group VI elements, such as zinc selenide (ZnSe).
  • Relaxed cap layer 14 may have a lattice constant that is different from a lattice constant of substrate 10 .
  • relaxed cap layer 14 may be formed from Si 0.8 Ge 0.2 having a lattice constant of 5.472 angstroms ( ⁇ ), over a substrate 10 formed of Si and having a lattice constant of 5.431 ⁇ .
  • the lattice constant of Si 0.8 Ge 0 2 was calculated assuming endpoint lattice constants of 5.431 ⁇ for Si and 5.658 ⁇ for Ge, and using the Dismukes correction for the nonlinear dependence of the SiGe lattice constant on composition. (See, e.g., Dismukes et al., The Journal of Physical Chemistry Vol. 68, No. 10, 3021-3027, 1964, incorporated herein by reference.)
  • a tensilely strained layer 18 may be disposed over relaxed cap layer 14 , sharing an interface 15 with relaxed cap layer 14 .
  • tensilely strained layer 18 is formed of silicon.
  • tensilely strained layer 18 may be formed of SiGe, or at least one of a group II, a group III, a group V, and a group VI element.
  • Tensilely strained layer 18 may have a thickness T 3 of, for example, 50-500 ⁇ .
  • relaxed cap layer 14 may be planarized prior to the formation of tensilely strained layer 18 .
  • Relaxed cap layer 14 may be planarized by, for example, CMP.
  • tensilely strained layer 18 may be formed directly on a planarized surface of relaxed cap layer 14 .
  • a relaxed regrowth layer 17 having a constant composition may be formed over the planarized surface of cap layer 14 , and tensilely strained layer 18 may be subsequently formed over regrowth layer 17 .
  • Regrowth layer 17 may include, for example, Si 1 ⁇ x Ge x with a constant composition containing, for example, 10-100% Ge (i.e., 0.1 ⁇ x ⁇ 1.0) and having a thickness T 4 of, e.g., 0.1-2 ⁇ m.
  • relaxed regrowth layer 17 may include group III and group V elements, such as gallium arsenide (GaAs) and/or group II and group VI elements, such as zinc selenide (ZnSe).
  • Substrate 10 may be provided with a rough edge 20 , having a roughness greater than that of an edge polished substrate.
  • Rough edge 20 has a dull appearance, with a root-mean-square (RMS) roughness greater than, e.g., 10 ⁇ .
  • RMS root-mean-square
  • the roughness of rough edge 20 is greater than 100 ⁇ , e.g., 200 ⁇ -700 ⁇ , or even greater.
  • the roughness of rough edge 20 may be measured with a commercially available system, such as the MP2000+ Surface Analyzer, available from Chapman Instruments, Inc. (Rochester, N.Y.).
  • the roughness of rough edge 20 is inherently present in substrate 10 when, for example, substrate 10 is cut from a boule traditionally formed by the Czochralski method, and is subjected to the conventional mechanical edge shaping process described above. Preserving the roughness of rough edge 20 on substrate 10 may be preferred during the formation of relaxed graded buffer layer 12 and at least a portion of relaxed cap layer 14 because the roughness of rough edge 20 inhibits the formation of dislocation pile-ups. For example, by forming graded buffer layer 12 and relaxed cap layer 14 on substrate 10 having rough edge 20 , the dislocation pile-up density in each of graded buffer layer 12 and relaxed cap layer 14 may be less than 20/cm. This low dislocation pile-up density is enabled by the uniform creation of misfit dislocations starting at substrate rough edge 20 .
  • graded buffer layer 12 and/or relaxed cap layer 14 grown on substrate 10 having rough edge 20 will have a lower dislocation pile-up density near the wafer edge than graded buffer layer 12 and/or relaxed cap layer 14 grown on substrate 10 having polished edge 20 .
  • Defect densities may be measured in SiGe by, for example, using a conventional chromic acid-based Schimmel etch. (See, e.g., Journal of the Electrochemical Society, Vol. 126:479 (1979), incorporated herein by reference.). Threading dislocation densities are calculated by examining the etched sample with an optical microscope operated in differential interference contrast, i.e., Nomarski, mode, and counting the number of etch pits per unit area located away from dislocation pile-ups (i.e. not trapped in dislocation pile-ups), yielding units of inverse area (cm ⁇ 2 ). Dislocation pile-up densities are calculated by measuring the total length of dislocation pile-ups per unit area, yielding units of inverse length (cm ⁇ 1 ).
  • Edge 30 including substrate rough edge 20 , may be left unpolished, including after the formation of layers 11 . In some applications, however, semiconductor wafer 13 having a polished edge 30 may be preferred. Therefore, after the formation of relaxed graded buffer layer 12 on substrate 10 having rough edge 20 , edge 30 (including rough edge 20 ) may be polished to meet other requirements for further processing such as, for example, CMOS processing. Edge 30 may be polished by various techniques, to achieve a smooth surface with a shiny, mirror-like appearance. These techniques may include, but are not limited to, a caustic etch step, or a caustic etch step in combination with chemical-mechanical edge polishing with, e.g., a silica slurry.
  • polishing steps reduce the roughness of edge 30 , and thereby provide the polished edge required for some applications. Because the polishing takes place after the formation of relaxed graded buffer layer 12 , however, formation of dislocation pile-ups near wafer edge 30 in graded buffer layer 12 is avoided.
  • Polishing semiconductor wafer edge 30 after formation of relaxed graded buffer layer 12 but before the formation of tensilely strained layer 18 may provide the additional benefit of creating a higher kinetic barrier to relaxation for tensilely strained layer 18 , because polished edge 30 will make the nucleation of misfit dislocations more difficult. This may be desirable because, although graded buffer layer 12 needs to be substantially relaxed, tensilely strained layer 18 may need to remain substantially strained.
  • Edge 20 of substrate 10 or edge 30 of substrate 10 and layers 11 may be kept rough during relaxed graded buffer layer 12 formation to reduce dislocation pile-ups, as described above.
  • compressively strained layer 16 may be disposed between relaxed regrowth layer 17 and tensilely strained layer 18 .
  • semiconductor wafer 13 may include relaxed layer 14 formed directly on substrate 10 , without first forming relaxed graded buffer layer 12 (see, e.g., FIG. 1).
  • Relaxed layer 14 could be formed directly on substrate 10 by, for example, epitaxial deposition, or by wafer bonding and layer transfer.
  • Nomarski optical images illustrated a region of the wafer edge of an epitaxial structure including Si substrate 10 with a fully relaxed SiGe graded buffer layer 12 graded to 20% Ge, and a SiGe 20% relaxed cap layer 14 containing 20% Ge.
  • the epitaxial structure was grown on a polished edge 20 Si substrate 10 .
  • Graded buffer layers and cap layers were measured to be >99% relaxed by asymmetric ( 224 ) glancing-incidence- and glancing-exit-angle x-ray diffraction scans.
  • Semiconductor wafers 13 having layers 11 disposed over substrate 10 may be used for the fabrication of various devices, including, for example, CMOS devices and circuits.
  • CMOS devices including, for example, CMOS devices and circuits.
  • a p-type metal-oxide-semiconductor (PMOS) transistor 32 is formed in a first region 34 of semiconductor wafer 13 .
  • An n-type well 36 is formed in layers 11 in first region 34 .
  • An n-type metal-oxide-semiconductor (NMOS) transistor 38 is formed in a second region 40 of semiconductor wafer 13 .
  • PMOS transistor 32 and NMOS transistor 38 include, respectively, a first gate dielectric portion 42 disposed over a first portion of relaxed cap layer 14 and a second gate dielectric portion 44 disposed over a second portion of relaxed cap layer 14 .
  • First and second gate dielectric portions 42 , 44 may comprise a gate oxide such as silicon dioxide.
  • a first gate 46 is disposed over first gate dielectric portion 42
  • a second gate 48 is disposed over second gate dielectric portion 44 .
  • First and second gates 46 , 48 may be formed from a conductive material, such as doped polysilicon.
  • a first source 50 and a first drain 52 (defined for purposes of illustration by the interior boundaries) are formed in first region 34 , proximate first gate 46 .
  • First source 50 and first drain 52 may be formed by the implantation of p-type ions, such as boron.
  • PMOS transistor 32 includes first source 50 , first drain 52 , first gate 46 , and first dielectric portion 42 .
  • a second source 54 and a second drain 56 are formed in second region 40 , proximate second gate 48 .
  • Second source 54 and second drain 56 may be formed by the implantation of n-type ions, such as phosphorus.
  • NMOS transistor 38 includes second source 54 , second drain 56 , second gate 48 , and second dielectric portion 44 .
  • maintaining a rough edge on semiconductor wafer 13 may reduce dislocation pile-ups only in regions close to substrate edges 20 . It may be preferable, therefore, to control layer growth parameters to also reduce dislocation pile-up defects in a center region of semiconductor wafer 13 . Dislocation pile-up densities may be reduced not only by control of the roughness of substrate edge 20 but also by, for example, growing layers at a slower rate, grading relaxed graded buffer layer 12 at a lower rate, and/or growing layers at a higher temperature.
  • the invention is applicable to a wide range of epitaxial growth conditions, including but not limited to any combination of precursor source gases or liquids (such as, for example, silane, dichlorosilane, trichlorosilane, silicon tetrachloride, germane, and germanium tetrachloride), any growth pressure, any growth temperature, any layer growth rate, and any graded buffer layer grade rate.
  • precursor source gases or liquids such as, for example, silane, dichlorosilane, trichlorosilane, silicon tetrachloride, germane, and germanium tetrachloride

Abstract

A semiconductor structure including a cap layer formed over a semiconductor substrate having a rough edge, which discourages formation of dislocation pile-up defects.

Description

    RELATED APPLICATIONS
  • This application claims the benefit of U.S. Provisional Application 60/407,331 filed on Aug. 30, 2002, the entire disclosure of which is hereby incorporated by reference.[0001]
  • FIELD OF THE INVENTION
  • This invention relates generally to semiconductor substrates and specifically to substrates comprising relaxed lattice-mismatched semiconductor layers. [0002]
  • BACKGROUND
  • “Virtual substrates” based on silicon (Si) and germanium (Ge) provide a platform for new generations of very large scale integration (VLSI) devices that exhibit enhanced performance in comparison to devices fabricated on bulk Si substrates. The important component of a SiGe virtual substrate is a layer of SiGe that has been relaxed to its equilibrium lattice constant (i.e., one that is larger than that of Si). This relaxed SiGe layer can be directly applied to a Si substrate (e.g., by wafer bonding or direct epitaxy), or atop a relaxed graded SiGe buffer layer in which the lattice constant of the SiGe material has been increased gradually over the thickness of the layer. The SiGe virtual substrate may also incorporate buried insulating layers, in the manner of a silicon-on-insulator (SOI) wafer. To fabricate high-performance devices on these platforms, thin strained layers of semiconductors, such as Si, Ge, or SiGe, are grown on the relaxed SiGe virtual substrates. The resulting biaxial tensile or compressive strain alters the carrier mobilities in the layers, enabling the fabrication of high-speed and/or low-power-consumption devices. [0003]
  • One technique suitable for fabricating strained Si wafers can include the following steps: [0004]
  • 1. Providing a silicon substrate that has been edge polished; [0005]
  • 2. Epitaxially depositing a relaxed graded SiGe buffer layer to a final Ge composition on the silicon substrate; [0006]
  • 3. Epitaxially depositing a relaxed Si[0007] 1−xGex cap layer having a constant composition on the graded SiGe buffer layer;
  • 4. Planarizing the Si[0008] 1−xGex cap layer by, e.g., chemical mechanical polishing (CMP);
  • 5. Epitaxially depositing a relaxed Si[0009] 1−xGex regrowth layer having a constant composition on the planarized surface of the Si1−xGex cap layer; and
  • 6. Epitaxially depositing a strained silicon layer on the Si[0010] 1−xGex regrowth layer.
  • The deposition of the relaxed graded SiGe buffer layer enables engineering of the in-plane lattice constant of the SiGe cap layer (and therefore the amount of strain in the strained silicon layer), while reducing the introduction of dislocations. The lattice constant of SiGe is larger than that of Si, and is a direct function of the amount of Ge in the SiGe alloy. As the SiGe graded buffer layer is epitaxially deposited, it will initially be strained to match the in-plane lattice constant of the underlying silicon substrate. However, above a certain critical thickness, the SiGe graded buffer layer will relax to its inherently larger lattice constant. [0011]
  • The process of relaxation occurs through the formation of misfit dislocations at the interface between two lattice-mismatched layers, e.g., a Si substrate and a SiGe epitaxial layer (epilayer). Because dislocations cannot terminate inside a crystal, misfit dislocations have vertical dislocation segments at each end (termed “threading dislocations”), that may rise through the crystal to reach a top surface of the wafer. Both misfit and threading dislocations have stress fields associated with them. As explained by Eugene Fitzgerald et al., [0012] Journal of Vacuum Science and Technology B, Vol. 10, No. 4, 1992, incorporated herein by reference, the stress field associated with the network of misfit dislocations affects the localized epitaxial growth rate at the surface of the crystal. This variation in growth rates may result in a surface cross-hatch on lattice-mismatched, relaxed graded SiGe buffer layers grown on Si.
  • The stress field associated with misfit dislocations may also cause dislocation pile-ups under certain conditions. Dislocation pile-ups are a linear agglomeration of threading dislocations. Because pile-ups represent a high localized density of threading dislocations, they may render devices formed in that region unusable. Inhibiting the formation of dislocation pile-ups is, therefore, desirable. [0013]
  • Dislocation pile-ups are formed as follows. (See, e.g., Srikanth Samavedam et al., [0014] Journal of Applied Physics, Vol. 81, No. 7, 1997, incorporated herein by reference.) A high density of misfit dislocations in a particular region of a crystal will result in that region having a high localized stress field. This stress field may have two effects. First, this stress field may present a barrier to the motion of other threading dislocations attempting to glide past the misfits. This pinning or trapping of threading dislocations due to the high stress field of other misfit dislocations is known as work hardening. Second, the high stress field may strongly reduce the local epitaxial growth rate in that region, resulting in a deeper trough in the surface morphology in comparison to the rest of the surface cross-hatch. This deep trough in the surface morphology may also pin threading dislocations attempting to glide past the region of high misfit dislocations. This cycle may perpetuate itself and result in a linear region with a high density of trapped threading dislocations, i.e., dislocation pile-up.
  • Numerous theories attempt to explain the nucleation of misfit dislocations regarding where they are formed in the crystal and by what process. These theories include: formation at pre-existing substrate dislocations; heterogeneous formation at defects; and homogeneous formation, i.e., formation in defect-free, perfect crystal regions. As explained by Eugene Fitzgerald, [0015] Materials Science Reports, Vol. 7, No. 3, 1991, the activation energy for homogeneous dislocation formation is so high that it is unlikely to occur. The most likely source of misfit dislocations in the crystal is heterogeneous nucleation at defects.
  • As discussed by Petra Feichtinger et al., [0016] Journal of the Electrochemical Society, Vol. 148, No. 7, 2001, the substrate edge may provide a significant source of defects that serve as heterogeneous nucleation sites for misfit dislocations. As cut from a boule, the substrate edge may need to be further shaped to enable, for example, the substrate to withstand further mechanical handling. This shaping may be done by an edge grinding operation in which grind wheels that mirror the desired edge contour mechanically remove substrate material along the substrate edge. Various grinding grain sizes may be used, depending on the final edge contour or roughness specifications. The choice of mechanical edge shaping process, as well any additional damage removal steps, strongly influence the extent to which the substrate edge may preferentially serve as a heterogeneous source for misfit dislocation nucleation. The mechanical edge shaping process is also commonly referred to as the “edge contour grinding process” or simply the “grinding process.” Examples of additional damage removal steps include, but are not limited to, no additional steps (i.e., edge is left unpolished), a caustic etch step, or a caustic etch step plus a chemical-mechanical edge polish step. The additional damage removal process is also commonly referred to as the “edge polish process” or simply the “polish process.”
  • In Feichtinger et al., the authors investigated misfit nucleation formation for p on p+ epitaxial Si, and were interested in preventing the formation of misfit dislocations. Therefore, they preferred a substrate edge finish process that minimized edge defects, and thus minimized the number of heterogeneous misfit nucleation sources. [0017]
  • SUMMARY
  • The present invention requires the formation of misfit dislocations to fully relax a heteroepitaxial layer comprising, e.g., SiGe, to its inherent lattice constant. To reduce dislocation pile-up, it is preferable to nucleate misfit dislocations uniformly throughout the crystal, thus avoiding localized regions of high misfit dislocation density. [0018]
  • Misfits nucleate at heterogeneous sites, such as imperfections at the substrate edge. In applications, therefore, with few preferred heterogeneous nucleation sites, those particular sites will be responsible for most of the layer relaxation by nucleating a high number of misfit dislocations. The resulting non-uniformity of misfit dislocations may result in pile-ups because the regions of preferred heterogeneous nucleation will have high local concentrations of misfit dislocations. [0019]
  • One might expect that a high-quality polished substrate edge would yield the best quality epitaxial material, i.e., free of dislocation pile-ups. The edge polishing process, however, may not be perfectly uniform. As a result, some local regions along the substrate edge are relatively rougher. These local imperfections have a significantly lower energy barrier for misfit nucleation and therefore serve as effective heterogeneous nucleation sites for misfit dislocations. In this scenario, conditions favor the formation of dislocation pile-ups near the substrate edge because a very limited number of edge sites will nucleate a high number of misfit dislocations during relaxation of the graded SiGe buffer layer. Regions with locally high misfit dislocation densities result in the formation of dislocation pile-ups as discussed above. [0020]
  • In the case of a substrate with a rough edge, however, the entire substrate edge serves as an effective heterogeneous source for nucleation of misfit dislocations. As a result, misfit dislocations are nucleated uniformly everywhere from the substrate edge as the graded buffer layer relaxes. This uniformity helps prevent localized regions of high misfit densities, which in turn reduces the conditions that cause dislocation pile-ups. [0021]
  • In one aspect, the invention features a method for forming a semiconductor structure. A semiconductor substrate is provided having a rough edge. A cap layer is formed over the substrate, the cap layer being substantially relaxed and having a uniform composition, and a lattice constant different from a lattice constant of the semiconductor substrate. [0022]
  • One or more of the following features may be included. The rough edge may have a roughness greater than [0023] 10 angstroms. The roughness may be greater than 100 angstroms. The cap layer may have a density of dislocation pile-ups of less than 20/cm. The cap layer may have a threading dislocation density of less than 107/cm2. The cap layer may include at least one of a group II, a group III, a group IV, a group V, and a group VI element, such as, for example, silicon and germanium. At least a portion of the cap layer may be formed by growth at a growth temperature greater than 600° C. At least a portion of the cap layer may be annealed at a temperature greater than 600° C. The rough edge may be edge polished after at least a portion of the relaxed cap layer is formed.
  • A relaxed compositionally graded layer may be formed over the substrate, proximate the relaxed cap layer. The graded layer may have a density of dislocation pile-ups of less than 20/cm. The graded layer may have a threading dislocation density of less than 10[0024] 7/cm2. The graded layer may include at least one of a group II, a group III, a group IV, a group V, and a group VI element, such as silicon and germanium. The graded layer may have a grade rate greater than 5% germanium per micrometer and may be graded to a composition of, e.g., 20% germanium, or higher. At least a portion of the graded layer may be formed by growth at a growth temperature greater than 600° C. At least a portion of the graded layer may be annealed at a temperature greater than 600° C. The rough edge may be polished after at least a portion of the graded layer is formed.
  • Providing the semiconductor substrate with the rough edge may include roughening the edge of the semiconductor substrate. [0025]
  • A tensilely strained layer may be formed over the relaxed cap layer. At least a portion of the relaxed cap layer may be planarized prior to the formation of the tensilely strained layer. A relaxed compositionally graded layer may be formed over the substrate, proximate the relaxed cap layer. The rough edge may be edge polished after at least a portion of the graded layer is formed. The rough edge may be polished after at least a portion of the relaxed cap layer is formed. [0026]
  • In another aspect, the invention features a method for forming a semiconductor structure. A semiconductor substrate is provided having a rough edge. A cap layer is formed over the substrate, the cap layer being substantially relaxed and having a uniform composition, and a lattice constant different from a lattice constant of the semiconductor substrate. A p-type metal-oxide-semiconductor (PMOS) transistor is formed by: (i)forming a gate dielectric portion over a portion of the relaxed cap layer, (ii) forming a gate over the gate dielectric portion, the gate including a conducting layer, and (iii) forming a source and a drain proximate the gate dielectric portion, the source and drain including p-type dopants. [0027]
  • In yet another aspect, the invention features a method for forming a semiconductor structure. A semiconductor substrate is provided having a rough edge; a cap layer is formed over the substrate, the cap layer being substantially relaxed and having a uniform composition, and a lattice constant different from a lattice constant of the semiconductor substrate. An n-type metal-oxide-semiconductor (NMOS) transistor is formed by (i) forming a gate dielectric portion over a portion of the relaxed cap layer, (ii) forming a gate over the gate dielectric portion, the gate including a conducting layer, and (iii) forming a source and a drain proximate the gate dielectric portion, the source and drain including n-type dopants. [0028]
  • In another aspect, the invention features a method for forming a semiconductor structure. A semiconductor substrate is provided having a rough edge. A cap layer is formed over the substrate, the cap layer being substantially relaxed and having a uniform composition, and a lattice constant different from a lattice constant of the semiconductor substrate. A p-type metal-oxide-semiconductor (PMOS) transistor is formed by: (i) forming a first gate dielectric portion over a first portion of the relaxed cap layer, (ii) forming a first gate over the first gate dielectric portion, the first gate including a first conducting layer, and (iii) forming a first source and a first drain proximate the first gate dielectric portion, the first source and first drain including p-type dopants. An n-type metal-oxide-semiconductor (NMOS) transistor is formed by (i) forming a second gate dielectric portion over a second portion of the relaxed cap layer, (ii) forming a second gate over the second gate dielectric portion, the second gate including a second conducting layer, and (iii) forming a second source and a second drain proximate the second gate dielectric portion, the second source and second drain including n-type dopants. [0029]
  • In another aspect, the invention features a semiconductor structure. The structure includes a semiconductor substrate, and a cap layer disposed over the substrate, the cap layer being substantially relaxed, having a uniform composition, a lattice constant different from the lattice constant of the semiconductor substrate, and a lower density of dislocation pile-ups proximate an edge of the cap layer than a density of dislocation pile-ups present proximate an edge of a cap layer formed under similar conditions on a substrate having a polished edge. [0030]
  • One or more of the following features may also be included. The density of dislocation pile-ups of the cap layer may be less than the density of dislocation pile-ups present proximate an edge of a cap layer formed under similar conditions on a substrate having an edge with a roughness less than 10 angstroms. The cap layer may have a density of dislocation pile-ups of less than 20/cm. The cap layer may have a threading dislocation density of less than 10[0031] 7/cm2. The cap layer may include at least one of a group II, a group III, a group IV, a group V, and a group VI element, such as, e.g., silicon and germanium. At least a portion of the cap layer may be formed by growth at a growth temperature greater than 600° C. At least a portion of the cap layer may be annealed at a temperature greater than 600° C.
  • A compositionally graded layer may be disposed proximate the cap layer, with the graded layer being substantially relaxed. The graded layer may have a density of dislocation pile-ups of less than 20/cm. The graded layer may have a threading dislocation density of less than 10[0032] 7/cm2. The graded layer may include at least one of a group II, a group III, a group IV, a group V, and a group VI element, such as silicon and germanium. The graded layer may have a grade rate greater than 5% germanium per micrometer. The graded layer may be graded to a concentration of 20% germanium, or higher. At least a portion of the graded layer may be formed by growth at a growth temperature greater than 600° C. At least a portion of the graded layer may be annealed at a temperature greater than 600° C.
  • A tensilely strained layer may be disposed over the cap layer. The tensilely strained layer may include at least one of a group II, a group III, a group IV, a group V, and a group VI element. At least a portion of the cap layer may be planarized. A relaxed compositionally graded layer may be disposed over the substrate, proximate the cap layer. The substrate may include a polished substrate edge and the graded layer may include a polished layer edge. [0033]
  • In another aspect, the invention features a semiconductor structure including a semiconductor substrate. A cap layer is disposed over the substrate, the cap layer being substantially relaxed, and having a uniform composition, and a lattice constant different from a lattice constant of the semiconductor substrate. A p-type metal-oxide-semiconductor (PMOS) transistor is disposed over the relaxed cap layer. The PMOS transistor includes (i) a gate dielectric portion disposed over a portion of the relaxed cap layer, (ii) a gate disposed over the gate dielectric portion, the gate including a conducting layer, and (iii) a source and a drain disposed proximate the gate dielectric portion, the source and first drain including p-type dopants. [0034]
  • In another aspect, the invention features a semiconductor structure including a semiconductor substrate. A cap layer is disposed over the substrate, the cap layer being substantially relaxed, and having a uniform composition, and a lattice constant different from a lattice constant of the semiconductor substrate. An n-type metal-oxide-semiconductor (NMOS) transistor is disposed over the relaxed cap layer. The NMOS transistor includes (i) a gate dielectric portion disposed over a portion of the relaxed cap layer, (ii) a gate disposed over the gate dielectric portion, the gate including a conducting layer, and (iii) a source and a drain disposed proximate the gate dielectric portion, the source and drain including n-type dopants. [0035]
  • In another aspect, the invention features a semiconductor structure including a semiconductor substrate. A cap layer is disposed over the substrate, the cap layer being substantially relaxed, and having a uniform composition, and a lattice constant different from a lattice constant of the semiconductor substrate. A p-type metal-oxide-semiconductor (PMOS) transistor is disposed over the relaxed cap layer, the PMOS transistor including (i) a first gate dielectric portion disposed over a first portion of the relaxed cap layer, (ii) a first gate disposed over the first gate dielectric portion, the first gate including a first conducting layer, and (iii) a first source and a first drain disposed proximate the first gate dielectric portion, the first source and first drain including p-type dopants. An n-type metal-oxide-semiconductor (NMOS) transistor is disposed over the relaxed cap layer. The NMOS transistor includes (i) a second gate dielectric portion disposed over a second portion of the relaxed cap layer, (ii) a second gate disposed over the second gate dielectric portion, the second gate including a second conducting layer, and (iii) a second source and a second drain disposed proximate the second gate dielectric portion, the second source and second drain including n-type dopants.[0036]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. [0037] 1-4 are schematic cross-sectional views of alternative embodiments of a semiconductor structure fabricated on a substrate; and
  • FIG. 5 is a schematic cross-sectional view of a complementary-metal-oxide-semiconductor (CMOS) device fabricated on the substrate illustrated in FIG. 3.[0038]
  • Like-referenced features represent common features in corresponding drawings. [0039]
  • DETAILED DESCRIPTION
  • In an embodiment, creation of misfit dislocations is controlled by postponing the wafer edge-polishing step until after the growth of a relaxed graded buffer layer, preferably before a wafer-surface planarization step. Referring to FIG. 1, a [0040] substrate 10 suitable for use with the invention comprises a semiconductor, such as silicon. Several layers collectively indicated at 11 are formed on substrate 10. In contrast to conventional processes, substrate 10 is not edge polished prior to the formation of layers 11. Layers 11 may be grown, for example, in any suitable epitaxial deposition system, including, but not limited to, atmospheric-pressure CVD (APCVD), low- (or reduced-) pressure CVD (LPCVD), ultra-high-vacuum CVD (UHVCVD), or by molecular beam epitaxy (MBE). The epitaxial growth system may be a single-wafer or multiple-wafer batch reactor. The growth system may also utilize a low-energy plasma to enhance the layer growth kinetics. Layers 11 and substrate 10 may be referred to together as “semiconductor wafer 13.”
  • Layers [0041] 11 may include a relaxed graded buffer layer 12 disposed over substrate 10. Graded buffer layer 12 may include group IV elements such as SiGe, graded to a final composition of, for example, 10%-100% Ge, i.e., ranging from Si0 9Geo0.1 to pure Ge, with a grading rate of, for example, 5% Ge/micrometer (μm) of thickness, or a higher grading rate, with a thickness T1 of, for example, 0.2-20 μm, a threading dislocation density of less than, e.g., 107/cm2, and grown or annealed, for example, at temperatures higher than 600° C., including, in some embodiments, at temperatures higher than 900° C. In alternative embodiments, graded buffer layer 12 may include group III and group V elements, such as indium (In), gallium (Ga), arsenic (As), and phosphorous (P), and/or group II and group VI elements, such as zinc (Zn), cadmium (Cd), selenium (Se), and tellurium (Te).
  • A [0042] relaxed cap layer 14 may be disposed over graded buffer layer 12. Relaxed cap layer 14 may include, for example, Si1−xGex with a constant composition containing, for example, 10-100% Ge, (i.e., 0.1≦x≦1.0) having a thickness T2 of, e.g., 0.1-2 μm. Relaxed cap layer 14 may have a threading dislocation density of less than, e.g., 107/cm2, and it may be grown or annealed, for example, at temperatures higher than 600° C., including, in some embodiments, at temperatures higher than 900° C. In an alternative embodiment, relaxed cap layer 14 may be formed directly on substrate 10, without graded buffer layer 12. In some embodiments, relaxed cap layer 14 may include group III and group V elements, such as gallium arsenide (GaAs) and/or group II and group VI elements, such as zinc selenide (ZnSe). Relaxed cap layer 14 may have a lattice constant that is different from a lattice constant of substrate 10. For example, relaxed cap layer 14 may be formed from Si0.8Ge0.2 having a lattice constant of 5.472 angstroms (Å), over a substrate 10 formed of Si and having a lattice constant of 5.431 Å. In this example, the lattice constant of Si0.8Ge0 2 was calculated assuming endpoint lattice constants of 5.431 Å for Si and 5.658 Å for Ge, and using the Dismukes correction for the nonlinear dependence of the SiGe lattice constant on composition. (See, e.g., Dismukes et al., The Journal of Physical Chemistry Vol. 68, No. 10, 3021-3027, 1964, incorporated herein by reference.)
  • A tensilely [0043] strained layer 18 may be disposed over relaxed cap layer 14, sharing an interface 15 with relaxed cap layer 14. In an embodiment, tensilely strained layer 18 is formed of silicon. In other embodiments, tensilely strained layer 18 may be formed of SiGe, or at least one of a group II, a group III, a group V, and a group VI element. Tensilely strained layer 18 may have a thickness T3 of, for example, 50-500 Å.
  • In certain embodiments, [0044] relaxed cap layer 14 may be planarized prior to the formation of tensilely strained layer 18. Relaxed cap layer 14 may be planarized by, for example, CMP. In certain embodiments, tensilely strained layer 18 may be formed directly on a planarized surface of relaxed cap layer 14.
  • Referring to FIG. 2, in another embodiment, a relaxed regrowth layer [0045] 17 having a constant composition may be formed over the planarized surface of cap layer 14, and tensilely strained layer 18 may be subsequently formed over regrowth layer 17. Regrowth layer 17 may include, for example, Si1−xGex with a constant composition containing, for example, 10-100% Ge (i.e., 0.1≦x ≦1.0) and having a thickness T4 of, e.g., 0.1-2 μm. In some embodiments, relaxed regrowth layer 17 may include group III and group V elements, such as gallium arsenide (GaAs) and/or group II and group VI elements, such as zinc selenide (ZnSe).
  • [0046] Substrate 10 may be provided with a rough edge 20, having a roughness greater than that of an edge polished substrate. Rough edge 20 has a dull appearance, with a root-mean-square (RMS) roughness greater than, e.g., 10 Å. In some embodiments, the roughness of rough edge 20 is greater than 100 Å, e.g., 200 Å-700 Å, or even greater. The roughness of rough edge 20 may be measured with a commercially available system, such as the MP2000+ Surface Analyzer, available from Chapman Instruments, Inc. (Rochester, N.Y.). The roughness of rough edge 20 is inherently present in substrate 10 when, for example, substrate 10 is cut from a boule traditionally formed by the Czochralski method, and is subjected to the conventional mechanical edge shaping process described above. Preserving the roughness of rough edge 20 on substrate 10 may be preferred during the formation of relaxed graded buffer layer 12 and at least a portion of relaxed cap layer 14 because the roughness of rough edge 20 inhibits the formation of dislocation pile-ups. For example, by forming graded buffer layer 12 and relaxed cap layer 14 on substrate 10 having rough edge 20, the dislocation pile-up density in each of graded buffer layer 12 and relaxed cap layer 14 may be less than 20/cm. This low dislocation pile-up density is enabled by the uniform creation of misfit dislocations starting at substrate rough edge 20. By way of comparison, graded buffer layer 12 and/or relaxed cap layer 14 grown on substrate 10 having rough edge 20 will have a lower dislocation pile-up density near the wafer edge than graded buffer layer 12 and/or relaxed cap layer 14 grown on substrate 10 having polished edge 20.
  • Defect densities may be measured in SiGe by, for example, using a conventional chromic acid-based Schimmel etch. (See, e.g., [0047] Journal of the Electrochemical Society, Vol. 126:479 (1979), incorporated herein by reference.). Threading dislocation densities are calculated by examining the etched sample with an optical microscope operated in differential interference contrast, i.e., Nomarski, mode, and counting the number of etch pits per unit area located away from dislocation pile-ups (i.e. not trapped in dislocation pile-ups), yielding units of inverse area (cm−2). Dislocation pile-up densities are calculated by measuring the total length of dislocation pile-ups per unit area, yielding units of inverse length (cm−1).
  • In some embodiments, the as-grown boule may have a polished edge, or boule processing steps may result in a substrate with a polished edge. It may then be desirable to roughen the substrate edge prior to forming at least graded [0048] buffer layer 12. The edge could be roughened, for example, by using appropriate grit sizes in the mechanical edge shaping process.
  • [0049] Edge 30, including substrate rough edge 20, may be left unpolished, including after the formation of layers 11. In some applications, however, semiconductor wafer 13 having a polished edge 30 may be preferred. Therefore, after the formation of relaxed graded buffer layer 12 on substrate 10 having rough edge 20, edge 30 (including rough edge 20) may be polished to meet other requirements for further processing such as, for example, CMOS processing. Edge 30 may be polished by various techniques, to achieve a smooth surface with a shiny, mirror-like appearance. These techniques may include, but are not limited to, a caustic etch step, or a caustic etch step in combination with chemical-mechanical edge polishing with, e.g., a silica slurry. These polishing steps reduce the roughness of edge 30, and thereby provide the polished edge required for some applications. Because the polishing takes place after the formation of relaxed graded buffer layer 12, however, formation of dislocation pile-ups near wafer edge 30 in graded buffer layer 12 is avoided.
  • Polishing [0050] semiconductor wafer edge 30 after formation of relaxed graded buffer layer 12 but before the formation of tensilely strained layer 18 may provide the additional benefit of creating a higher kinetic barrier to relaxation for tensilely strained layer 18, because polished edge 30 will make the nucleation of misfit dislocations more difficult. This may be desirable because, although graded buffer layer 12 needs to be substantially relaxed, tensilely strained layer 18 may need to remain substantially strained.
  • Referring to FIG. 3 as well as to FIG. 1, in some embodiments, a compressively [0051] strained layer 16 may be disposed between relaxed cap layer 14 and tensilely strained layer 18, sharing an interface 19 with tensilely strained layer 18. In an embodiment, the compressively strained layer includes Si1−yGey with a Ge content (y) higher than the Ge content (x) of relaxed Si1−xGex cap layer 14. Compressively strained layer 16 may contain, for example, 30-100% Ge (i.e., 0.3≦y≦1.0) and have a thickness T5 of, e.g., 50-500 Å. Edge 20 of substrate 10 or edge 30 of substrate 10 and layers 11 may be kept rough during relaxed graded buffer layer 12 formation to reduce dislocation pile-ups, as described above. In an alternative embodiment (not shown), compressively strained layer 16 may be disposed between relaxed regrowth layer 17 and tensilely strained layer 18.
  • Referring to FIG. 4, in an alternative embodiment, [0052] semiconductor wafer 13 may include relaxed layer 14 formed directly on substrate 10, without first forming relaxed graded buffer layer 12 (see, e.g., FIG. 1). Relaxed layer 14 could be formed directly on substrate 10 by, for example, epitaxial deposition, or by wafer bonding and layer transfer.
  • The present invention has been observed by Nomarski microscopy. Nomarski optical images illustrated a region of the wafer edge of an epitaxial structure including [0053] Si substrate 10 with a fully relaxed SiGe graded buffer layer 12 graded to 20% Ge, and a SiGe 20% relaxed cap layer 14 containing 20% Ge. The epitaxial structure was grown on a polished edge 20 Si substrate 10. Graded buffer layers and cap layers were measured to be >99% relaxed by asymmetric (224) glancing-incidence- and glancing-exit-angle x-ray diffraction scans. The high density of dislocation pile-ups caused by the use of a polished-edge 20 substrate 10 was readily observed using Nomarski microscopy as non-uniformities, i.e., breaks, in the otherwise well-behaved cross-hatched surface morphology.
  • In contrast, in the same structure grown on [0054] Si substrate 10 with rough edge 20, the cross-hatch surface morphology was uniform, and no pile-ups were present, in contrast to the structure grown on Si substrate 10 with polished edge 20. The use of substrate 10 with rough edge 20 demonstrably reduced the formation of dislocation pile-ups.
  • [0055] Semiconductor wafers 13 having layers 11 disposed over substrate 10, processed as described above with reference to FIGS. 1, 2, and 3, may be used for the fabrication of various devices, including, for example, CMOS devices and circuits. Referring to FIG. 5, a p-type metal-oxide-semiconductor (PMOS) transistor 32 is formed in a first region 34 of semiconductor wafer 13. An n-type well 36 is formed in layers 11 in first region 34. An n-type metal-oxide-semiconductor (NMOS) transistor 38 is formed in a second region 40 of semiconductor wafer 13. PMOS transistor 32 and NMOS transistor 38 include, respectively, a first gate dielectric portion 42 disposed over a first portion of relaxed cap layer 14 and a second gate dielectric portion 44 disposed over a second portion of relaxed cap layer 14. First and second gate dielectric portions 42, 44 may comprise a gate oxide such as silicon dioxide. A first gate 46 is disposed over first gate dielectric portion 42, and a second gate 48 is disposed over second gate dielectric portion 44. First and second gates 46, 48 may be formed from a conductive material, such as doped polysilicon. A first source 50 and a first drain 52 (defined for purposes of illustration by the interior boundaries) are formed in first region 34, proximate first gate 46. First source 50 and first drain 52 may be formed by the implantation of p-type ions, such as boron. PMOS transistor 32 includes first source 50, first drain 52, first gate 46, and first dielectric portion 42. A second source 54 and a second drain 56 (defined for purposes of illustration by the interior boundaries) are formed in second region 40, proximate second gate 48. Second source 54 and second drain 56 may be formed by the implantation of n-type ions, such as phosphorus. NMOS transistor 38 includes second source 54, second drain 56, second gate 48, and second dielectric portion 44.
  • In some embodiments, maintaining a rough edge on [0056] semiconductor wafer 13, as described above with reference to FIGS. 1-4, may reduce dislocation pile-ups only in regions close to substrate edges 20. It may be preferable, therefore, to control layer growth parameters to also reduce dislocation pile-up defects in a center region of semiconductor wafer 13. Dislocation pile-up densities may be reduced not only by control of the roughness of substrate edge 20 but also by, for example, growing layers at a slower rate, grading relaxed graded buffer layer 12 at a lower rate, and/or growing layers at a higher temperature.
  • Notwithstanding the foregoing examples, it should be stressed that the invention is applicable to a wide range of epitaxial growth conditions, including but not limited to any combination of precursor source gases or liquids (such as, for example, silane, dichlorosilane, trichlorosilane, silicon tetrachloride, germane, and germanium tetrachloride), any growth pressure, any growth temperature, any layer growth rate, and any graded buffer layer grade rate. [0057]
  • The invention may be embodied in other specific forms without departing from the spirit of essential characteristics thereof. The foregoing embodiments are therefore to be considered in all respects illustrative rather than limiting on the invention described herein. [0058]
  • What is claimed is: [0059]

Claims (64)

1. A method for forming a semiconductor structure, comprising the steps of:
providing a semiconductor substrate having a rough edge; and
forming a cap layer over the substrate, the cap layer being substantially relaxed and having a uniform composition, and a lattice constant different from a lattice constant of the semiconductor substrate.
2. The method of claim 1, wherein the rough edge has a roughness greater than 10 angstroms.
3. The method of claim 2, wherein the roughness is greater than 100 angstroms.
4. The method of claim 1, wherein the cap layer has a density of dislocation pile-ups of less than 20/cm.
5. The method of claim 1, wherein the cap layer has a threading dislocation density of less than 107/cm2.
6. The method of claim 1, wherein the cap layer comprises at least one of a group II, a group III, a group IV, a group V, and a group VI element.
7. The method of claim 6, wherein the cap layer comprises silicon and germanium.
8. The method of claim 7, wherein the cap layer comprises approximately 20% germanium.
9. The method of claim 1, wherein at least a portion of the cap layer is formed by growth at a growth temperature greater than 600° C.
10. The method of claim 9, wherein at least a portion of the cap layer is formed at a growth temperature greater than 900° C.
11. The method of claim 1, further comprising:
annealing at least a portion of the cap layer at a temperature greater than 600° C.
12. The method of claim 11, wherein the portion of the cap layer is annealed at a temperature greater than 900° C.
13. The method of claim 1, further comprising:
edge polishing the rough edge after at least a portion of the cap layer is formed.
14. The method of claim 1, further comprising:
forming a relaxed compositionally graded layer over the substrate, proximate the relaxed cap layer.
15. The method of claim 14, wherein the graded layer has a density of dislocation pile-ups of less than 20/cm.
16. The method of claim 14, wherein the graded layer has a threading dislocation density of less than 107/cm2.
17. The method of claim 14, further comprising:
edge polishing the rough edge after at least a portion of the graded layer is formed.
18. The method of claim 14, wherein the graded layer comprises at least one of a group II, a group III, a group IV, a group V, and a group VI element.
19. The method of claim 18, wherein the graded layer comprises silicon and germanium.
20. The method of claim 19, wherein the graded layer has a grade rate greater than 5% germanium per micrometer.
21. The method of claim 17, wherein the graded layer is graded to a concentration of 20% germanium.
22. The method of claim 14, wherein at least a portion of the graded layer is formed by growth at a growth temperature greater than 600° C.
23. The method of claim 22, wherein at least a portion of the graded layer is formed at a growth temperature greater than 900° C.
24. The method of claim 14, further comprising:
annealing at least a portion of the graded layer is annealed at a temperature greater than 600° C.
25. The method of claim 24, wherein the portion of the graded layer is annealed at a temperature greater than 900° C.
26. The method of claim 1, wherein providing the semiconductor substrate with the rough edge comprises roughening the edge of the semiconductor substrate.
27. The method of claim 1, further comprising:
forming a tensilely strained layer over the relaxed cap layer.
28. The method of claim 27, further comprising:
planarizing at least a portion of the relaxed cap layer prior to the formation of the tensilely strained layer.
29. The method of claim 27, further comprising:
forming a relaxed compositionally graded layer over the substrate, proximate the relaxed cap layer.
30. The method of claim 29, further comprising:
edge polishing the rough edge after at least a portion of the graded layer is formed.
31. The method of claim 30, wherein the rough edge is polished after at least a portion of the relaxed cap layer is formed.
32. A method for forming a semiconductor structure, the method comprising the steps of:
providing a semiconductor substrate having a rough edge;
forming a cap layer over the substrate, the cap layer being substantially relaxed and having a uniform composition, and a lattice constant different from a lattice constant of the semiconductor substrate; and
forming a p-type metal-oxide-semiconductor (PMOS) transistor by:
(i) forming a gate dielectric portion over a portion of the relaxed cap layer,
(ii) forming a gate over the gate dielectric portion, the gate comprising a conducting layer,
(iii) forming a source and a drain proximate the gate dielectric portion, the source and drain including p-type dopants.
33. A method for forming a semiconductor structure, the method comprising the steps of:
providing a semiconductor substrate having a rough edge;
forming a cap layer over the substrate, the cap layer being substantially relaxed and having a uniform composition, and a lattice constant different from a lattice constant of the semiconductor substrate; and
forming an n-type metal-oxide-semiconductor (NMOS) transistor by:
(i) forming a gate dielectric portion over a portion of the relaxed cap layer,
(ii) forming a gate over the gate dielectric portion, the gate comprising a conducting layer,
(iii) forming a source and a drain proximate the gate dielectric portion, the source and drain including n-type dopants.
34. A method for forming a semiconductor structure, the method comprising the steps of:
providing a semiconductor substrate having a rough edge;
forming a cap layer over the substrate, the cap layer being substantially relaxed and having a uniform composition, and a lattice constant different from a lattice constant of the semiconductor substrate;
forming a p-type metal-oxide-semiconductor (PMOS) transistor by:
(i) forming a first gate dielectric portion over a first portion of the relaxed cap layer,
(ii) forming a first gate over the first gate dielectric portion, the first gate comprising a first conducting layer,
(iii) forming a first source and a first drain proximate the first gate dielectric portion, the first source and first drain including p-type dopants; and
forming an n-type metal-oxide-semiconductor (NMOS) transistor by:
(i) forming a second gate dielectric portion over a second portion of the relaxed cap layer,
(ii) forming a second gate over the second gate dielectric portion, the second gate comprising a second conducting layer,
(iii) forming a second source and a second drain proximate the second gate dielectric portion, the second source and second drain including n-type dopants.
35. A semiconductor structure comprising:
a semiconductor substrate; and
a cap layer disposed over the substrate, the cap layer being substantially relaxed, and having a uniform composition, a lattice constant different from the lattice constant of the semiconductor substrate, and a lower density of dislocation pile-ups proximate an edge of the cap layer than a density of dislocation pile-ups present proximate an edge of a cap layer formed under similar conditions on a substrate having a polished edge.
36. The structure of claim 35 wherein the density of dislocation pile-ups of the cap layer is less than the density of dislocation pile-ups present proximate an edge of a cap layer formed under similar conditions on a substrate having an edge with a roughness less than 10 angstroms.
37. The structure of claim 35, wherein the cap layer has a density of dislocation pile-ups of less than 20/cm.
38. The structure of claim 35, wherein the cap layer has a threading dislocation density of less than 107/cm2.
39. The structure of claim 35, wherein the cap layer comprises at least one of a group II, a group III, a group IV, a group V, and a group VI element.
40. The structure of claim 39, wherein the cap layer comprises silicon and germanium.
41. The structure of claim 40, wherein the cap layer comprises approximately 20% germanium.
42. The structure of claim 35, wherein at least a portion of the cap layer is formed by growth at a growth temperature greater than 600° C.
43. The structure of claim 42, wherein at least a portion of the cap layer is formed at a growth temperature greater than 900° C.
44. The structure of claim 35, wherein at least a portion of the cap layer is annealed at a temperature greater than 600° C.
45. The structure of claim 44, wherein the portion of the cap layer is annealed at a temperature greater than 900° C.
46. The structure of claim 35, further comprising:
a compositionally graded layer disposed proximate the cap layer, the graded layer being substantially relaxed.
47. The structure of claim 46, wherein the graded layer has a density of dislocation pile-ups of less than 20/cm.
48. The structure of claim 46, wherein the graded layer has a threading dislocation density of less than 107/cm2.
49. The structure of claim 46, wherein the graded layer comprises at least one of a group II, a group III, a group IV, a group V, and a group VI element.
50. The structure of claim 49, wherein the graded layer comprises silicon and germanium.
51. The structure of claim 50, wherein the graded layer has a grade rate greater than 5% germanium per micrometer.
52. The structure of claim 51, wherein the graded layer is graded to a concentration of 20% germanium.
53. The structure of claim 46, wherein at least a portion of the graded layer is formed by growth at a growth temperature greater than 600° C.
54. The structure of claim 53, wherein at least a portion of the graded layer is formed at a growth temperature greater than 900° C.
55. The structure of claim 46, wherein at least a portion of the graded layer is annealed at a temperature greater than 600° C.
56. The structure of claim 55, wherein at least a portion of the graded layer is annealed at a temperature greater than 900° C.
57. The structure of claim 35, further comprising:
a tensilely strained layer disposed over the cap layer.
58. The structure of claim 57, wherein the tensilely strained layer comprises at least one of a group II, a group III, a group IV, a group V, and a group VI element.
59. The structure of claim 57, wherein at least a portion of the cap layer is planarized.
60. The structure of claim 57, further comprising:
a relaxed compositionally graded layer disposed over the substrate, proximate the cap layer.
61. The structure of claim 57, wherein the substrate comprises a polished substrate edge and the graded layer comprises a polished layer edge.
62. A semiconductor structure comprising:
a semiconductor substrate;
a cap layer disposed over the substrate, the cap layer being substantially relaxed, and having a uniform composition, and a lattice constant different from a lattice constant of the semiconductor substrate; and
a p-type metal-oxide-semiconductor (PMOS) transistor disposed over the relaxed cap layer, the PMOS transistor including:
(i) a gate dielectric portion disposed over a portion of the relaxed cap layer,
(ii) a gate disposed over the gate dielectric portion, the gate comprising a conducting layer, and
(iii) a source and a drain disposed proximate the gate dielectric portion, the source and first drain including p-type dopants.
63. A semiconductor structure comprising:
a semiconductor substrate;
a cap layer disposed over the substrate, the cap layer being substantially relaxed, and having a uniform composition, and a lattice constant different from a lattice constant of the semiconductor substrate; and
an n-type metal-oxide-semiconductor (NMOS) transistor disposed over the relaxed cap layer, the NMOS transistor including:
(i) a gate dielectric portion disposed over a portion of the relaxed cap layer,
(ii) a gate disposed over the gate dielectric portion, the gate comprising a conducting layer,
(iii) a source and a drain disposed proximate the gate dielectric portion, the source and drain including n-type dopants.
64. A semiconductor structure comprising:
a semiconductor substrate;
a cap layer disposed over the substrate, the cap layer being substantially relaxed, and having a uniform composition, and a lattice constant different from a lattice constant of the semiconductor substrate; and
a p-type metal-oxide-semiconductor (PMOS) transistor disposed over the relaxed cap layer, the PMOS transistor including:
(i) a first gate dielectric portion disposed over a first portion of the relaxed cap layer,
(ii) a first gate disposed over the first gate dielectric portion, the first gate comprising a first conducting layer,
(iii) a first source and a first drain disposed proximate the first gate dielectric portion, the first source and first drain including p-type dopants; and
an n-type metal-oxide-semiconductor (NMOS) transistor disposed over the relaxed cap layer, the NMOS transistor including:
(i) a second gate dielectric portion disposed over a second portion of the relaxed cap layer,
(ii) a second gate disposed over the second gate dielectric portion, the second gate comprising a second conducting layer,
(iii) a second source and a second drain disposed proximate the second gate dielectric portion, the second source and second drain including n-type dopants.
US10/268,425 2002-08-30 2002-10-10 Reduction of dislocation pile-up formation during relaxed lattice-mismatched epitaxy Active 2025-09-20 US7594967B2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US10/268,425 US7594967B2 (en) 2002-08-30 2002-10-10 Reduction of dislocation pile-up formation during relaxed lattice-mismatched epitaxy
AU2003302164A AU2003302164A1 (en) 2002-08-30 2003-08-28 Reduction of dislocation pile-up formation during relaxed lattice-mismatched epitaxy
PCT/US2003/026782 WO2004057631A2 (en) 2002-08-30 2003-08-28 Reduction of dislocation pile-up formation during relaxed lattice-mismatched epitaxy

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US40733102P 2002-08-30 2002-08-30
US10/268,425 US7594967B2 (en) 2002-08-30 2002-10-10 Reduction of dislocation pile-up formation during relaxed lattice-mismatched epitaxy

Publications (2)

Publication Number Publication Date
US20040040493A1 true US20040040493A1 (en) 2004-03-04
US7594967B2 US7594967B2 (en) 2009-09-29

Family

ID=31981028

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/268,425 Active 2025-09-20 US7594967B2 (en) 2002-08-30 2002-10-10 Reduction of dislocation pile-up formation during relaxed lattice-mismatched epitaxy

Country Status (3)

Country Link
US (1) US7594967B2 (en)
AU (1) AU2003302164A1 (en)
WO (1) WO2004057631A2 (en)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040092051A1 (en) * 2002-10-30 2004-05-13 Amberwave Systems Corporation Methods for preserving strained semiconductor substrate layers during CMOS processing
US20050035389A1 (en) * 2001-08-13 2005-02-17 Amberwave Systems Corporation Dynamic random access memory trench capacitors
US20050164477A1 (en) * 2003-09-23 2005-07-28 Dureseti Chidambarrao Strained silicon on relaxed sige film with uniform misfit dislocation density
US20060069086A1 (en) * 2004-09-23 2006-03-30 Alexander Michalow Methods for regulating neurotransmitter systems by inducing counteradaptations
WO2006031257A3 (en) * 2004-09-14 2006-09-08 Univ Arizona State METHOD FOR GROWING Si-Ge SEMICONDUCTOR MATERIALS AND DEVICES ON SUBSTRATES
US20070226871A1 (en) * 2004-12-06 2007-10-04 Tony Hood Garment backpack
US20070297967A1 (en) * 2004-09-14 2007-12-27 Arizona Board Of Regents Hydride Compounds With Silicon And Germanium Core Atoms And Method Of Synthesizing Same
US20080045610A1 (en) * 2004-09-23 2008-02-21 Alexander Michalow Methods for regulating neurotransmitter systems by inducing counteradaptations
US20080113186A1 (en) * 2004-09-14 2008-05-15 John Kouvetakis Method for Growing Si-Ge Semiconductor Materials and Devices on Substrates
US20080135875A1 (en) * 2002-11-20 2008-06-12 International Business Machines Corporation RELAXED LOW-DEFECT SGOI FOR STRAINED Si CMOS APPLICATIONS
US20090050935A1 (en) * 2005-11-23 2009-02-26 The Arizona Board Ofg Regents, A Body Corporate Acting On Behalf Of Arizona State University Silicon-Germanium Hydrides and Methods for Making and Using Same
WO2009131660A1 (en) * 2008-04-24 2009-10-29 Corning Incorporated Image mask and image mask assembly
US20100012972A1 (en) * 2005-11-23 2010-01-21 The Arizona Board of Regents, a body corparate acting onbehalf of Arizona State University Silicon-Germanium Hydrides and Methods for Making and Using Same
US20100151666A1 (en) * 2007-04-02 2010-06-17 Arizona Board of Regents, a body corporate acting for and on behalf of Arizona State University Novel Methods for Making and Using Halosilylgermanes
GB2467935A (en) * 2009-02-19 2010-08-25 Iqe Silicon Compounds Ltd A method of forming a film of GaAs and germanium materials
US8680576B2 (en) * 2012-05-16 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of forming the same

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7915104B1 (en) 2007-06-04 2011-03-29 The Arizona Board Of Regents, A Body Corporate Of The State Of Arizona Acting For And On Behalf Of Arizona State University Methods and compositions for preparing tensile strained Ge on Ge1-ySny buffered semiconductor substrates
US20110045646A1 (en) * 2008-04-02 2011-02-24 Arizona Board Of Regents Selective deposition of sige layers from single source of si-ge hydrides
US9666486B1 (en) * 2016-05-18 2017-05-30 International Business Machines Corporation Contained punch through stopper for CMOS structures on a strain relaxed buffer substrate
US20190131454A1 (en) * 2017-11-01 2019-05-02 Qualcomm Incorporated Semiconductor device with strained silicon layers on porous silicon
US10741387B1 (en) 2019-02-07 2020-08-11 International Business Machines Corporation High percentage silicon germanium graded buffer layers with lattice matched Ga(As1-yPy) interlayers

Citations (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US14570A (en) * 1856-04-01 Improved machine for gathering seeds or grain in the field
US41798A (en) * 1864-03-01 Improved wardrobe-hook
US4010045A (en) * 1973-12-13 1977-03-01 Ruehrwein Robert A Process for production of III-V compound crystals
US4900372A (en) * 1987-11-13 1990-02-13 Kopin Corporation III-V on Si heterostructure using a thermal strain layer
US4987462A (en) * 1987-01-06 1991-01-22 Texas Instruments Incorporated Power MISFET
US4990979A (en) * 1988-05-13 1991-02-05 Eurosil Electronic Gmbh Non-volatile memory cell
US4997776A (en) * 1989-03-06 1991-03-05 International Business Machines Corp. Complementary bipolar transistor structure and method for manufacture
US5013681A (en) * 1989-09-29 1991-05-07 The United States Of America As Represented By The Secretary Of The Navy Method of producing a thin silicon-on-insulator layer
US5091767A (en) * 1991-03-18 1992-02-25 At&T Bell Laboratories Article comprising a lattice-mismatched semiconductor heterostructure
US5097630A (en) * 1987-09-14 1992-03-24 Speedfam Co., Ltd. Specular machining apparatus for peripheral edge portion of wafer
US5177583A (en) * 1990-02-20 1993-01-05 Kabushiki Kaisha Toshiba Heterojunction bipolar transistor
US5202284A (en) * 1989-12-01 1993-04-13 Hewlett-Packard Company Selective and non-selective deposition of Si1-x Gex on a Si subsrate that is partially masked with SiO2
US5207864A (en) * 1991-12-30 1993-05-04 Bell Communications Research Low-temperature fusion of dissimilar semiconductors
US5208182A (en) * 1991-11-12 1993-05-04 Kopin Corporation Dislocation density reduction in gallium arsenide on silicon heterostructures
US5210052A (en) * 1989-05-18 1993-05-11 Fujitsu Limited Method for fabricating a semiconductor substrate
US5212110A (en) * 1992-05-26 1993-05-18 Motorola, Inc. Method for forming isolation regions in a semiconductor device
US5221413A (en) * 1991-04-24 1993-06-22 At&T Bell Laboratories Method for making low defect density semiconductor heterostructure and devices made thereby
US5279687A (en) * 1989-03-10 1994-01-18 British Telecommunications Plc Preparing substrates by annealing epitaxial layers in the form of nesas and substrates so prepared
US5285086A (en) * 1990-08-02 1994-02-08 At&T Bell Laboratories Semiconductor devices with low dislocation defects
US5291439A (en) * 1991-09-12 1994-03-01 International Business Machines Corporation Semiconductor memory cell and memory array with inversion layer
US5298452A (en) * 1986-09-12 1994-03-29 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
US5308444A (en) * 1993-05-28 1994-05-03 At&T Bell Laboratories Method of making semiconductor heterostructures of gallium arsenide on germanium
US5310451A (en) * 1993-08-19 1994-05-10 International Business Machines Corporation Method of forming an ultra-uniform silicon-on-insulator layer
US5316958A (en) * 1990-05-31 1994-05-31 International Business Machines Corporation Method of dopant enhancement in an epitaxial silicon layer by using germanium
US5387796A (en) * 1993-05-26 1995-02-07 Epitaxx, Inc. Low leakage current photodetector arrays
US5399522A (en) * 1993-02-16 1995-03-21 Fujitsu Limited Method of growing compound semiconductor
US5413679A (en) * 1993-06-30 1995-05-09 The United States Of America As Represented By The Secretary Of The Navy Method of producing a silicon membrane using a silicon alloy etch stop layer
US5424243A (en) * 1993-09-20 1995-06-13 Fujitsu Limited Method of making a compound semiconductor crystal-on-substrate structure
US5426316A (en) * 1992-12-21 1995-06-20 International Business Machines Corporation Triple heterojunction bipolar transistor
US5425846A (en) * 1991-08-22 1995-06-20 At&T Corp. Removal of substrate perimeter material
US5426069A (en) * 1992-04-09 1995-06-20 Dalsa Inc. Method for making silicon-germanium devices using germanium implantation
US5484664A (en) * 1988-04-27 1996-01-16 Fujitsu Limited Hetero-epitaxially grown compound semiconductor substrate
US5523592A (en) * 1993-02-03 1996-06-04 Hitachi, Ltd. Semiconductor optical device, manufacturing method for the same, and opto-electronic integrated circuit using the same
US5596527A (en) * 1992-12-07 1997-01-21 Nippon Steel Corporation Electrically alterable n-bit per cell non-volatile memory with reference cells
US5617351A (en) * 1992-03-12 1997-04-01 International Business Machines Corporation Three-dimensional direct-write EEPROM arrays and fabrication methods
US5630905A (en) * 1995-02-06 1997-05-20 The Regents Of The University Of California Method of fabricating quantum bridges by selective etching of superlattice structures
US5633516A (en) * 1994-07-25 1997-05-27 Hitachi, Ltd. Lattice-mismatched crystal structures and semiconductor device using the same
US5714777A (en) * 1997-02-19 1998-02-03 International Business Machines Corporation Si/SiGe vertical junction field effect transistor
US5728623A (en) * 1994-03-16 1998-03-17 Nec Corporation Method of bonding a III-V group compound semiconductor layer on a silicon substrate
US5739567A (en) * 1992-11-02 1998-04-14 Wong; Chun Chiu D. Highly compact memory device with nonvolatile vertical transistor memory cell
US5759898A (en) * 1993-10-29 1998-06-02 International Business Machines Corporation Production of substrate for tensilely strained semiconductor
US5859864A (en) * 1996-10-28 1999-01-12 Picolight Incorporated Extended wavelength lasers having a restricted growth surface and graded lattice mismatch
US5877070A (en) * 1997-05-31 1999-03-02 Max-Planck Society Method for the transfer of thin layers of monocrystalline material to a desirable substrate
US5891769A (en) * 1997-04-07 1999-04-06 Motorola, Inc. Method for forming a semiconductor device having a heteroepitaxial layer
US5909708A (en) * 1995-07-18 1999-06-08 Koenig & Bauer-Albert Aktiengesellschaft Sheet-fed offset rotary printing machine
US5909951A (en) * 1994-01-11 1999-06-08 Johnsen; Audun Optical cartridge
US5912479A (en) * 1996-07-26 1999-06-15 Sony Corporation Heterojunction bipolar semiconductor device
US6010937A (en) * 1995-09-05 2000-01-04 Spire Corporation Reduction of dislocations in a heteroepitaxial semiconductor structure
US6013134A (en) * 1998-02-18 2000-01-11 International Business Machines Corporation Advance integrated chemical vapor deposition (AICVD) for semiconductor devices
US6033974A (en) * 1997-05-12 2000-03-07 Silicon Genesis Corporation Method for controlled cleaving process
US6033995A (en) * 1997-09-16 2000-03-07 Trw Inc. Inverted layer epitaxial liftoff process
US6039803A (en) * 1996-06-28 2000-03-21 Massachusetts Institute Of Technology Utilization of miscut substrates to improve relaxed graded silicon-germanium and germanium layers on silicon
US6058044A (en) * 1997-12-10 2000-05-02 Kabushiki Kaisha Toshiba Shielded bit line sensing scheme for nonvolatile semiconductor memory
US6059895A (en) * 1997-04-30 2000-05-09 International Business Machines Corporation Strained Si/SiGe layers on insulator
US6074919A (en) * 1999-01-20 2000-06-13 Advanced Micro Devices, Inc. Method of forming an ultrathin gate dielectric
US6184111B1 (en) * 1998-06-23 2001-02-06 Silicon Genesis Corporation Pre-semiconductor process implant and post-process film separation
US6191432B1 (en) * 1996-09-02 2001-02-20 Kabushiki Kaisha Toshiba Semiconductor device and memory device
US6191007B1 (en) * 1997-04-28 2001-02-20 Denso Corporation Method for manufacturing a semiconductor substrate
US6193585B1 (en) * 1994-05-23 2001-02-27 Sumitomo Electric Industries, Ltd. Method of polishing a hard material-coated wafer
US6194722B1 (en) * 1997-03-28 2001-02-27 Interuniversitair Micro-Elektronica Centrum, Imec, Vzw Method of fabrication of an infrared radiation detector and infrared detector device
US6204529B1 (en) * 1999-08-27 2001-03-20 Hsing Lan Lung 8 bit per cell non-volatile semiconductor memory structure utilizing trench technology and dielectric floating gate
US6207977B1 (en) * 1995-06-16 2001-03-27 Interuniversitaire Microelektronica Vertical MISFET devices
US6210988B1 (en) * 1999-01-15 2001-04-03 The Regents Of The University Of California Polycrystalline silicon germanium films for forming micro-electromechanical systems
US6218677B1 (en) * 1994-08-15 2001-04-17 Texas Instruments Incorporated III-V nitride resonant tunneling
US6232138B1 (en) * 1997-12-01 2001-05-15 Massachusetts Institute Of Technology Relaxed InxGa(1-x)as buffers
US6235567B1 (en) * 1999-08-31 2001-05-22 International Business Machines Corporation Silicon-germanium bicmos on soi
US6242324B1 (en) * 1999-08-10 2001-06-05 The United States Of America As Represented By The Secretary Of The Navy Method for fabricating singe crystal materials over CMOS devices
US20010003364A1 (en) * 1998-05-27 2001-06-14 Sony Corporation Semiconductor and fabrication method thereof
US6249022B1 (en) * 1999-10-22 2001-06-19 United Microelectronics Corp. Trench flash memory with nitride spacers for electron trapping
US6251755B1 (en) * 1999-04-22 2001-06-26 International Business Machines Corporation High resolution dopant/impurity incorporation in semiconductors via a scanned atomic force probe
US6335546B1 (en) * 1998-07-31 2002-01-01 Sharp Kabushiki Kaisha Nitride semiconductor structure, method for producing a nitride semiconductor structure, and light emitting device
US6339232B1 (en) * 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
US6350993B1 (en) * 1999-03-12 2002-02-26 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices
US6368733B1 (en) * 1998-08-06 2002-04-09 Showa Denko K.K. ELO semiconductor substrate
US6372356B1 (en) * 1998-06-04 2002-04-16 Xerox Corporation Compliant substrates for growing lattice mismatched films
US20020043660A1 (en) * 2000-06-27 2002-04-18 Shunpei Yamazaki Semiconductor device and fabrication method therefor
US20020052084A1 (en) * 2000-05-26 2002-05-02 Fitzgerald Eugene A. Buried channel strained silicon FET using a supply layer created through ion implantation
US6399970B2 (en) * 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US6403975B1 (en) * 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US6407406B1 (en) * 1998-06-30 2002-06-18 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6406589B1 (en) * 1998-12-22 2002-06-18 Speedfam-Ipec Co Ltd Processing apparatus for etching the edge of a silicon wafer
US20030003679A1 (en) * 2001-06-29 2003-01-02 Doyle Brian S. Creation of high mobility channels in thin-body SOI devices
US6503773B2 (en) * 2000-01-20 2003-01-07 Amberwave Systems Corporation Low threading dislocation density relaxed mismatched epilayers without high temperature growth
US20030013323A1 (en) * 2001-06-14 2003-01-16 Richard Hammond Method of selective removal of SiGe alloys
US6515335B1 (en) * 2002-01-04 2003-02-04 International Business Machines Corporation Method for fabrication of relaxed SiGe buffer layers on silicon-on-insulators and structures containing the same
US20030025131A1 (en) * 2001-08-06 2003-02-06 Massachusetts Institute Of Technology Formation of planar strained layers
US6521041B2 (en) * 1998-04-10 2003-02-18 Massachusetts Institute Of Technology Etch stop layer system
US20030034529A1 (en) * 2000-12-04 2003-02-20 Amberwave Systems Corporation CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6525338B2 (en) * 2000-08-01 2003-02-25 Mitsubishi Materials Corporation Semiconductor substrate, field effect transistor, method of forming SiGe layer and method of forming strained Si layer using same, and method of manufacturing field effect transistor
US20030057439A1 (en) * 2001-08-09 2003-03-27 Fitzgerald Eugene A. Dual layer CMOS devices
US20030077867A1 (en) * 2001-03-02 2003-04-24 Fitzergald Eugene A. Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6573126B2 (en) * 2000-08-16 2003-06-03 Massachusetts Institute Of Technology Process for producing semiconductor article using graded epitaxial growth
US20030102498A1 (en) * 2001-09-24 2003-06-05 Glyn Braithwaite RF circuits including transistors having strained material layers
US6682965B1 (en) * 1997-03-27 2004-01-27 Sony Corporation Method of forming n-and p- channel field effect transistors on the same silicon layer having a strain effect

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61291489A (en) * 1985-06-17 1986-12-22 Nippon Telegr & Teleph Corp <Ntt> Method of heteroepitaxial crystal growth of semiconductor
DE3542482A1 (en) 1985-11-30 1987-06-04 Licentia Gmbh MODULATION-Doped FIELD EFFECT TRANSISTOR
US5250445A (en) 1988-12-20 1993-10-05 Texas Instruments Incorporated Discretionary gettering of semiconductor circuits
US5241197A (en) 1989-01-25 1993-08-31 Hitachi, Ltd. Transistor provided with strained germanium layer
US5164359A (en) 1990-04-20 1992-11-17 Eaton Corporation Monolithic integrated circuit having compound semiconductor layer epitaxially grown on ceramic substrate
JPH0473930A (en) * 1990-07-13 1992-03-09 Sumitomo Metal Ind Ltd Substrate for heteroepitaxial growth
US5155571A (en) 1990-08-06 1992-10-13 The Regents Of The University Of California Complementary field effect transistors having strained superlattice structure
JPH04198095A (en) 1990-11-28 1992-07-17 Fujitsu Ltd Method for growing thin film of compound semiconductor
US5434102A (en) 1991-02-25 1995-07-18 Symetrix Corporation Process for fabricating layered superlattice materials and making electronic devices including same
US5442205A (en) 1991-04-24 1995-08-15 At&T Corp. Semiconductor heterostructure devices with strained semiconductor layers
CA2062134C (en) 1991-05-31 1997-03-25 Ibm Low Defect Densiry/Arbitrary Lattice Constant Heteroepitaxial Layers
JPH07187892A (en) 1991-06-28 1995-07-25 Internatl Business Mach Corp <Ibm> Silicon and its formation
US5166084A (en) 1991-09-03 1992-11-24 Motorola, Inc. Process for fabricating a silicon on insulator field effect transistor
FR2681472B1 (en) 1991-09-18 1993-10-29 Commissariat Energie Atomique PROCESS FOR PRODUCING THIN FILMS OF SEMICONDUCTOR MATERIAL.
JP3191972B2 (en) 1992-01-31 2001-07-23 キヤノン株式会社 Method for manufacturing semiconductor substrate and semiconductor substrate
US5461250A (en) 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
US5346848A (en) 1993-06-01 1994-09-13 Motorola, Inc. Method of bonding silicon and III-V semiconductor materials
US5792679A (en) 1993-08-30 1998-08-11 Sharp Microelectronics Technology, Inc. Method for forming silicon-germanium/Si/silicon dioxide heterostructure using germanium implant
JP2980497B2 (en) 1993-11-15 1999-11-22 株式会社東芝 Method of manufacturing dielectric-isolated bipolar transistor
KR0123434B1 (en) 1994-02-07 1997-11-26 천성순 Ring pattern formation method to reduce misfit dislocation in silicon wafer
US5534713A (en) 1994-05-20 1996-07-09 International Business Machines Corporation Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers
US5479033A (en) 1994-05-27 1995-12-26 Sandia Corporation Complementary junction heterostructure field-effect transistor
JP3361922B2 (en) 1994-09-13 2003-01-07 株式会社東芝 Semiconductor device
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
WO1996015550A1 (en) 1994-11-10 1996-05-23 Lawrence Semiconductor Research Laboratory, Inc. Silicon-germanium-carbon compositions and processes thereof
JP3120825B2 (en) * 1994-11-14 2000-12-25 信越半導体株式会社 Epitaxial wafer and method for manufacturing the same
US5777347A (en) 1995-03-07 1998-07-07 Hewlett-Packard Company Vertical CMOS digital multi-valued restoring logic device
JP3403877B2 (en) 1995-10-25 2003-05-06 三菱電機株式会社 Semiconductor memory device and manufacturing method thereof
US5943560A (en) 1996-04-19 1999-08-24 National Science Council Method to fabricate the thin film transistor
JP3217015B2 (en) 1996-07-18 2001-10-09 インターナショナル・ビジネス・マシーンズ・コーポレーション Method for forming field effect transistor
JP3320641B2 (en) * 1996-09-13 2002-09-03 株式会社東芝 Memory cell
US5847419A (en) 1996-09-17 1998-12-08 Kabushiki Kaisha Toshiba Si-SiGe semiconductor device and method of fabricating the same
EP0838858B1 (en) 1996-09-27 2002-05-15 Infineon Technologies AG CMOS integrated circuit and method of manufacturing the same
US6140687A (en) 1996-11-28 2000-10-31 Matsushita Electric Industrial Co., Ltd. High frequency ring gate MOSFET
US5808344A (en) 1996-12-13 1998-09-15 International Business Machines Corporation Single-transistor logic and CMOS inverters
KR100212693B1 (en) 1996-12-14 1999-08-02 권혁준 Si/sige mos fet transistor and its fabrication process
US5786614A (en) 1997-04-08 1998-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Separated floating gate for EEPROM application
DE19720008A1 (en) 1997-05-13 1998-11-19 Siemens Ag Integrated CMOS circuit arrangement and method for its production
US6107653A (en) * 1997-06-24 2000-08-22 Massachusetts Institute Of Technology Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization
US5981400A (en) 1997-09-18 1999-11-09 Cornell Research Foundation, Inc. Compliant universal substrate for epitaxial growth
US5966622A (en) 1997-10-08 1999-10-12 Lucent Technologies Inc. Process for bonding crystalline substrates with different crystal lattices
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
FR2773177B1 (en) 1997-12-29 2000-03-17 France Telecom PROCESS FOR OBTAINING A SINGLE-CRYSTAL GERMANIUM OR SILICON LAYER ON A SILICON OR SINGLE-CRYSTAL GERMANIUM SUBSTRATE, RESPECTIVELY, AND MULTILAYER PRODUCTS OBTAINED
EP0935280B1 (en) * 1998-02-04 2004-06-09 Canon Kabushiki Kaisha SOI substrate
US6130453A (en) 1999-01-04 2000-10-10 International Business Machines Corporation Flash memory structure with floating gate in vertical trench
US6133799A (en) 1999-02-25 2000-10-17 International Business Machines Corporation Voltage controlled oscillator utilizing threshold voltage control of silicon on insulator MOSFETS
US6103559A (en) 1999-03-30 2000-08-15 Amd, Inc. (Advanced Micro Devices) Method of making disposable channel masking for both source/drain and LDD implant and subsequent gate fabrication
DE19936905A1 (en) * 1999-07-30 2001-03-08 Hoffmann Axel Epitaxial growth of crystal, e.g. hexagonal gallium nitride, on substrate with common type of atom uses lighter substrate with rough or porous surface and thermal decomposition to release common atoms during growth
WO2001022482A1 (en) * 1999-09-20 2001-03-29 Amberwave Systems Corporation Method of producing relaxed silicon germanium layers
EP1252659A1 (en) * 2000-01-20 2002-10-30 Amberwave Systems Corporation Strained-silicon metal oxide semiconductor field effect transistors

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US41798A (en) * 1864-03-01 Improved wardrobe-hook
US14570A (en) * 1856-04-01 Improved machine for gathering seeds or grain in the field
US4010045A (en) * 1973-12-13 1977-03-01 Ruehrwein Robert A Process for production of III-V compound crystals
US5298452A (en) * 1986-09-12 1994-03-29 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
US4987462A (en) * 1987-01-06 1991-01-22 Texas Instruments Incorporated Power MISFET
US5097630A (en) * 1987-09-14 1992-03-24 Speedfam Co., Ltd. Specular machining apparatus for peripheral edge portion of wafer
US4900372A (en) * 1987-11-13 1990-02-13 Kopin Corporation III-V on Si heterostructure using a thermal strain layer
US5484664A (en) * 1988-04-27 1996-01-16 Fujitsu Limited Hetero-epitaxially grown compound semiconductor substrate
US4990979A (en) * 1988-05-13 1991-02-05 Eurosil Electronic Gmbh Non-volatile memory cell
US4997776A (en) * 1989-03-06 1991-03-05 International Business Machines Corp. Complementary bipolar transistor structure and method for manufacture
US5279687A (en) * 1989-03-10 1994-01-18 British Telecommunications Plc Preparing substrates by annealing epitaxial layers in the form of nesas and substrates so prepared
US5210052A (en) * 1989-05-18 1993-05-11 Fujitsu Limited Method for fabricating a semiconductor substrate
US5013681A (en) * 1989-09-29 1991-05-07 The United States Of America As Represented By The Secretary Of The Navy Method of producing a thin silicon-on-insulator layer
US5202284A (en) * 1989-12-01 1993-04-13 Hewlett-Packard Company Selective and non-selective deposition of Si1-x Gex on a Si subsrate that is partially masked with SiO2
US5177583A (en) * 1990-02-20 1993-01-05 Kabushiki Kaisha Toshiba Heterojunction bipolar transistor
US5316958A (en) * 1990-05-31 1994-05-31 International Business Machines Corporation Method of dopant enhancement in an epitaxial silicon layer by using germanium
US5285086A (en) * 1990-08-02 1994-02-08 At&T Bell Laboratories Semiconductor devices with low dislocation defects
US5091767A (en) * 1991-03-18 1992-02-25 At&T Bell Laboratories Article comprising a lattice-mismatched semiconductor heterostructure
US5221413A (en) * 1991-04-24 1993-06-22 At&T Bell Laboratories Method for making low defect density semiconductor heterostructure and devices made thereby
US5425846A (en) * 1991-08-22 1995-06-20 At&T Corp. Removal of substrate perimeter material
US5291439A (en) * 1991-09-12 1994-03-01 International Business Machines Corporation Semiconductor memory cell and memory array with inversion layer
US5208182A (en) * 1991-11-12 1993-05-04 Kopin Corporation Dislocation density reduction in gallium arsenide on silicon heterostructures
US5207864A (en) * 1991-12-30 1993-05-04 Bell Communications Research Low-temperature fusion of dissimilar semiconductors
US5617351A (en) * 1992-03-12 1997-04-01 International Business Machines Corporation Three-dimensional direct-write EEPROM arrays and fabrication methods
US5426069A (en) * 1992-04-09 1995-06-20 Dalsa Inc. Method for making silicon-germanium devices using germanium implantation
US5212110A (en) * 1992-05-26 1993-05-18 Motorola, Inc. Method for forming isolation regions in a semiconductor device
US5739567A (en) * 1992-11-02 1998-04-14 Wong; Chun Chiu D. Highly compact memory device with nonvolatile vertical transistor memory cell
US5596527A (en) * 1992-12-07 1997-01-21 Nippon Steel Corporation Electrically alterable n-bit per cell non-volatile memory with reference cells
US5523243A (en) * 1992-12-21 1996-06-04 International Business Machines Corporation Method of fabricating a triple heterojunction bipolar transistor
US5426316A (en) * 1992-12-21 1995-06-20 International Business Machines Corporation Triple heterojunction bipolar transistor
US5523592A (en) * 1993-02-03 1996-06-04 Hitachi, Ltd. Semiconductor optical device, manufacturing method for the same, and opto-electronic integrated circuit using the same
US5399522A (en) * 1993-02-16 1995-03-21 Fujitsu Limited Method of growing compound semiconductor
US5387796A (en) * 1993-05-26 1995-02-07 Epitaxx, Inc. Low leakage current photodetector arrays
US5308444A (en) * 1993-05-28 1994-05-03 At&T Bell Laboratories Method of making semiconductor heterostructures of gallium arsenide on germanium
US5413679A (en) * 1993-06-30 1995-05-09 The United States Of America As Represented By The Secretary Of The Navy Method of producing a silicon membrane using a silicon alloy etch stop layer
US5310451A (en) * 1993-08-19 1994-05-10 International Business Machines Corporation Method of forming an ultra-uniform silicon-on-insulator layer
US5424243A (en) * 1993-09-20 1995-06-13 Fujitsu Limited Method of making a compound semiconductor crystal-on-substrate structure
US5759898A (en) * 1993-10-29 1998-06-02 International Business Machines Corporation Production of substrate for tensilely strained semiconductor
US5909951A (en) * 1994-01-11 1999-06-08 Johnsen; Audun Optical cartridge
US6030884A (en) * 1994-03-16 2000-02-29 Nec Corporation Method of bonding a III-V group compound semiconductor layer on a silicon substrate
US6191006B1 (en) * 1994-03-16 2001-02-20 Nec Corporation Method of bonding a III-V group compound semiconductor layer on a silicon substrate
US5728623A (en) * 1994-03-16 1998-03-17 Nec Corporation Method of bonding a III-V group compound semiconductor layer on a silicon substrate
US6193585B1 (en) * 1994-05-23 2001-02-27 Sumitomo Electric Industries, Ltd. Method of polishing a hard material-coated wafer
US5633516A (en) * 1994-07-25 1997-05-27 Hitachi, Ltd. Lattice-mismatched crystal structures and semiconductor device using the same
US6218677B1 (en) * 1994-08-15 2001-04-17 Texas Instruments Incorporated III-V nitride resonant tunneling
US5630905A (en) * 1995-02-06 1997-05-20 The Regents Of The University Of California Method of fabricating quantum bridges by selective etching of superlattice structures
US6207977B1 (en) * 1995-06-16 2001-03-27 Interuniversitaire Microelektronica Vertical MISFET devices
US5909708A (en) * 1995-07-18 1999-06-08 Koenig & Bauer-Albert Aktiengesellschaft Sheet-fed offset rotary printing machine
US6010937A (en) * 1995-09-05 2000-01-04 Spire Corporation Reduction of dislocations in a heteroepitaxial semiconductor structure
US6403975B1 (en) * 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US6039803A (en) * 1996-06-28 2000-03-21 Massachusetts Institute Of Technology Utilization of miscut substrates to improve relaxed graded silicon-germanium and germanium layers on silicon
US5912479A (en) * 1996-07-26 1999-06-15 Sony Corporation Heterojunction bipolar semiconductor device
US6191432B1 (en) * 1996-09-02 2001-02-20 Kabushiki Kaisha Toshiba Semiconductor device and memory device
US6399970B2 (en) * 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US5859864A (en) * 1996-10-28 1999-01-12 Picolight Incorporated Extended wavelength lasers having a restricted growth surface and graded lattice mismatch
US5714777A (en) * 1997-02-19 1998-02-03 International Business Machines Corporation Si/SiGe vertical junction field effect transistor
US6682965B1 (en) * 1997-03-27 2004-01-27 Sony Corporation Method of forming n-and p- channel field effect transistors on the same silicon layer having a strain effect
US6194722B1 (en) * 1997-03-28 2001-02-27 Interuniversitair Micro-Elektronica Centrum, Imec, Vzw Method of fabrication of an infrared radiation detector and infrared detector device
US5891769A (en) * 1997-04-07 1999-04-06 Motorola, Inc. Method for forming a semiconductor device having a heteroepitaxial layer
US6191007B1 (en) * 1997-04-28 2001-02-20 Denso Corporation Method for manufacturing a semiconductor substrate
US6059895A (en) * 1997-04-30 2000-05-09 International Business Machines Corporation Strained Si/SiGe layers on insulator
US6033974A (en) * 1997-05-12 2000-03-07 Silicon Genesis Corporation Method for controlled cleaving process
US5877070A (en) * 1997-05-31 1999-03-02 Max-Planck Society Method for the transfer of thin layers of monocrystalline material to a desirable substrate
US6033995A (en) * 1997-09-16 2000-03-07 Trw Inc. Inverted layer epitaxial liftoff process
US6232138B1 (en) * 1997-12-01 2001-05-15 Massachusetts Institute Of Technology Relaxed InxGa(1-x)as buffers
US6058044A (en) * 1997-12-10 2000-05-02 Kabushiki Kaisha Toshiba Shielded bit line sensing scheme for nonvolatile semiconductor memory
US6013134A (en) * 1998-02-18 2000-01-11 International Business Machines Corporation Advance integrated chemical vapor deposition (AICVD) for semiconductor devices
US6521041B2 (en) * 1998-04-10 2003-02-18 Massachusetts Institute Of Technology Etch stop layer system
US20010003364A1 (en) * 1998-05-27 2001-06-14 Sony Corporation Semiconductor and fabrication method thereof
US6372356B1 (en) * 1998-06-04 2002-04-16 Xerox Corporation Compliant substrates for growing lattice mismatched films
US6184111B1 (en) * 1998-06-23 2001-02-06 Silicon Genesis Corporation Pre-semiconductor process implant and post-process film separation
US6407406B1 (en) * 1998-06-30 2002-06-18 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6335546B1 (en) * 1998-07-31 2002-01-01 Sharp Kabushiki Kaisha Nitride semiconductor structure, method for producing a nitride semiconductor structure, and light emitting device
US6368733B1 (en) * 1998-08-06 2002-04-09 Showa Denko K.K. ELO semiconductor substrate
US6406589B1 (en) * 1998-12-22 2002-06-18 Speedfam-Ipec Co Ltd Processing apparatus for etching the edge of a silicon wafer
US6210988B1 (en) * 1999-01-15 2001-04-03 The Regents Of The University Of California Polycrystalline silicon germanium films for forming micro-electromechanical systems
US6074919A (en) * 1999-01-20 2000-06-13 Advanced Micro Devices, Inc. Method of forming an ultrathin gate dielectric
US6350993B1 (en) * 1999-03-12 2002-02-26 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices
US6251755B1 (en) * 1999-04-22 2001-06-26 International Business Machines Corporation High resolution dopant/impurity incorporation in semiconductors via a scanned atomic force probe
US6242324B1 (en) * 1999-08-10 2001-06-05 The United States Of America As Represented By The Secretary Of The Navy Method for fabricating singe crystal materials over CMOS devices
US6204529B1 (en) * 1999-08-27 2001-03-20 Hsing Lan Lung 8 bit per cell non-volatile semiconductor memory structure utilizing trench technology and dielectric floating gate
US6235567B1 (en) * 1999-08-31 2001-05-22 International Business Machines Corporation Silicon-germanium bicmos on soi
US6339232B1 (en) * 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
US6249022B1 (en) * 1999-10-22 2001-06-19 United Microelectronics Corp. Trench flash memory with nitride spacers for electron trapping
US6503773B2 (en) * 2000-01-20 2003-01-07 Amberwave Systems Corporation Low threading dislocation density relaxed mismatched epilayers without high temperature growth
US6518644B2 (en) * 2000-01-20 2003-02-11 Amberwave Systems Corporation Low threading dislocation density relaxed mismatched epilayers without high temperature growth
US20020052084A1 (en) * 2000-05-26 2002-05-02 Fitzgerald Eugene A. Buried channel strained silicon FET using a supply layer created through ion implantation
US6555839B2 (en) * 2000-05-26 2003-04-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US20020043660A1 (en) * 2000-06-27 2002-04-18 Shunpei Yamazaki Semiconductor device and fabrication method therefor
US6525338B2 (en) * 2000-08-01 2003-02-25 Mitsubishi Materials Corporation Semiconductor substrate, field effect transistor, method of forming SiGe layer and method of forming strained Si layer using same, and method of manufacturing field effect transistor
US6573126B2 (en) * 2000-08-16 2003-06-03 Massachusetts Institute Of Technology Process for producing semiconductor article using graded epitaxial growth
US20030034529A1 (en) * 2000-12-04 2003-02-20 Amberwave Systems Corporation CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US20030077867A1 (en) * 2001-03-02 2003-04-24 Fitzergald Eugene A. Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US20030013323A1 (en) * 2001-06-14 2003-01-16 Richard Hammond Method of selective removal of SiGe alloys
US20030003679A1 (en) * 2001-06-29 2003-01-02 Doyle Brian S. Creation of high mobility channels in thin-body SOI devices
US20030025131A1 (en) * 2001-08-06 2003-02-06 Massachusetts Institute Of Technology Formation of planar strained layers
US20030057439A1 (en) * 2001-08-09 2003-03-27 Fitzgerald Eugene A. Dual layer CMOS devices
US20030102498A1 (en) * 2001-09-24 2003-06-05 Glyn Braithwaite RF circuits including transistors having strained material layers
US6515335B1 (en) * 2002-01-04 2003-02-04 International Business Machines Corporation Method for fabrication of relaxed SiGe buffer layers on silicon-on-insulators and structures containing the same

Cited By (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8253181B2 (en) 2001-08-13 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel dynamic random access memory devices
US20050035389A1 (en) * 2001-08-13 2005-02-17 Amberwave Systems Corporation Dynamic random access memory trench capacitors
US20050067647A1 (en) * 2001-08-13 2005-03-31 Amberwave Systems Corporation Methods of forming dynamic random access memory trench capacitors
US7410861B2 (en) * 2001-08-13 2008-08-12 Amberwave Systems Corporation Methods of forming dynamic random access memory trench capacitors
US7408214B2 (en) * 2001-08-13 2008-08-05 Amberwave Systems Corporation Dynamic random access memory trench capacitors
US20080265299A1 (en) * 2001-08-13 2008-10-30 Mayank Bulsara Strained channel dynamic random access memory devices
US7541208B2 (en) 2002-10-30 2009-06-02 Amberwave Systems Corporation Methods for preserving strained semiconductor substrate layers during CMOS processing
US7071014B2 (en) * 2002-10-30 2006-07-04 Amberwave Systems Corporation Methods for preserving strained semiconductor substrate layers during CMOS processing
US20070042538A1 (en) * 2002-10-30 2007-02-22 Amberwave Systems Corporation Methods for preserving strained semiconductor substrate layers during cmos processing
US7202121B2 (en) 2002-10-30 2007-04-10 Amberwave Systems Corporation Methods for preserving strained semiconductor substrate layers during CMOS processing
US7208332B2 (en) 2002-10-30 2007-04-24 Amberwave Systems Corporation Methods for preserving strained semiconductor substrate layers during CMOS processing
US20070161196A1 (en) * 2002-10-30 2007-07-12 Amberware Systems Methods for preserving strained semiconductor substrate layers during CMOS processing
US20050215069A1 (en) * 2002-10-30 2005-09-29 Amberwave Systems Corporation Methods for preserving strained semiconductor substrate layers during CMOS processing
US20040092051A1 (en) * 2002-10-30 2004-05-13 Amberwave Systems Corporation Methods for preserving strained semiconductor substrate layers during CMOS processing
US20080020551A1 (en) * 2002-10-30 2008-01-24 Amberwave Systems Corporation Methods for preserving strained semiconductor substrate layers during CMOS processing
US7416909B2 (en) 2002-10-30 2008-08-26 Amberwave Systems Corporation Methods for preserving strained semiconductor substrate layers during CMOS processing
US20080135875A1 (en) * 2002-11-20 2008-06-12 International Business Machines Corporation RELAXED LOW-DEFECT SGOI FOR STRAINED Si CMOS APPLICATIONS
US8227792B2 (en) * 2002-11-20 2012-07-24 International Business Machines Corporation Relaxed low-defect SGOI for strained SI CMOS applications
US7964865B2 (en) * 2003-09-23 2011-06-21 International Business Machines Corporation Strained silicon on relaxed sige film with uniform misfit dislocation density
US20050164477A1 (en) * 2003-09-23 2005-07-28 Dureseti Chidambarrao Strained silicon on relaxed sige film with uniform misfit dislocation density
US20080113186A1 (en) * 2004-09-14 2008-05-15 John Kouvetakis Method for Growing Si-Ge Semiconductor Materials and Devices on Substrates
US7981392B2 (en) 2004-09-14 2011-07-19 The Arizona Board Of Regents, A Body Corporate Of The State Of Arizona Acting For And On Behalf Of Arizona State University Hydride compounds with silicon and germanium core atoms and method of synthesizing same
US8821635B2 (en) 2004-09-14 2014-09-02 Arizona Board Of Regents On Behalf Of Arizona State University Method for growing Si-Ge semiconductor materials and devices on substrates
US8568681B2 (en) 2004-09-14 2013-10-29 Arizona Board Of Regents, A Body Corporate Of The State Of Arizona Acting For And On Behalf Of Arizona State University Hydride compounds with silicon and germanium core atoms and method of synthesizing same
KR101292435B1 (en) * 2004-09-14 2013-07-31 아리조나 보드 오브 리전트스, 아리조나주의 아리조나 주립대 대행법인 Method for growing si-ge semiconductor materials and devices on substrates
US20070297967A1 (en) * 2004-09-14 2007-12-27 Arizona Board Of Regents Hydride Compounds With Silicon And Germanium Core Atoms And Method Of Synthesizing Same
WO2006031257A3 (en) * 2004-09-14 2006-09-08 Univ Arizona State METHOD FOR GROWING Si-Ge SEMICONDUCTOR MATERIALS AND DEVICES ON SUBSTRATES
US20080045610A1 (en) * 2004-09-23 2008-02-21 Alexander Michalow Methods for regulating neurotransmitter systems by inducing counteradaptations
US20100173926A1 (en) * 2004-09-23 2010-07-08 Alexander Michalow Methods for Regulating Neurotransmitter Systems by Inducing Counteradaptations
US20100234360A1 (en) * 2004-09-23 2010-09-16 Alexander Michalow Methods for Regulating Neurotransmitter Systems by Inducing Counteradaptations
US20060069086A1 (en) * 2004-09-23 2006-03-30 Alexander Michalow Methods for regulating neurotransmitter systems by inducing counteradaptations
US20070226871A1 (en) * 2004-12-06 2007-10-04 Tony Hood Garment backpack
US7886368B2 (en) 2004-12-06 2011-02-15 Tony Hood Garment backpack
US8133802B2 (en) 2005-11-23 2012-03-13 Arizona Board Of Regents Silicon-germanium hydrides and methods for making and using same
US8518360B2 (en) 2005-11-23 2013-08-27 Arizona Board Of Regents, A Corporate Body Organized Under Arizona Law, Acting On Behalf Of Arizona State University Silicon-germanium hydrides and methods for making and using same
US20090050935A1 (en) * 2005-11-23 2009-02-26 The Arizona Board Ofg Regents, A Body Corporate Acting On Behalf Of Arizona State University Silicon-Germanium Hydrides and Methods for Making and Using Same
US8524582B2 (en) 2005-11-23 2013-09-03 The Arizona Board Of Regents Silicon-germanium hydrides and methods for making and using same
US8216537B2 (en) * 2005-11-23 2012-07-10 Arizona Board Of Regents Silicon-germanium hydrides and methods for making and using same
US20100012972A1 (en) * 2005-11-23 2010-01-21 The Arizona Board of Regents, a body corparate acting onbehalf of Arizona State University Silicon-Germanium Hydrides and Methods for Making and Using Same
US20100151666A1 (en) * 2007-04-02 2010-06-17 Arizona Board of Regents, a body corporate acting for and on behalf of Arizona State University Novel Methods for Making and Using Halosilylgermanes
US8043980B2 (en) 2007-04-02 2011-10-25 Arizona Board of Regents, a body corporate acting for and on behalf of Arizona State University Methods for making and using halosilylgermanes
US20090269680A1 (en) * 2008-04-24 2009-10-29 Jeffrey Mathew Clark Image mask and image mask assembly
WO2009131660A1 (en) * 2008-04-24 2009-10-29 Corning Incorporated Image mask and image mask assembly
US8062812B2 (en) 2008-04-24 2011-11-22 Corning Incorporated Image mask and image mask assembly
GB2467935A (en) * 2009-02-19 2010-08-25 Iqe Silicon Compounds Ltd A method of forming a film of GaAs and germanium materials
GB2467935B (en) * 2009-02-19 2013-10-30 Iqe Silicon Compounds Ltd Formation of thin layers of GaAs and germanium materials
US9048289B2 (en) 2009-02-19 2015-06-02 Iqe Silicon Compounds Limited Formation of thin layers of semiconductor materials
US8680576B2 (en) * 2012-05-16 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of forming the same
US8927362B2 (en) 2012-05-16 2015-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of forming the same

Also Published As

Publication number Publication date
AU2003302164A8 (en) 2004-07-14
US7594967B2 (en) 2009-09-29
WO2004057631A2 (en) 2004-07-08
WO2004057631A3 (en) 2005-03-10
AU2003302164A1 (en) 2004-07-14

Similar Documents

Publication Publication Date Title
US7594967B2 (en) Reduction of dislocation pile-up formation during relaxed lattice-mismatched epitaxy
US9934964B2 (en) Semiconductor heterostructures having reduced dislocation pile-ups and related methods
US7541208B2 (en) Methods for preserving strained semiconductor substrate layers during CMOS processing
EP1570511A2 (en) RELAXED SiGe LAYERS ON Si OR SILICON-ON-INSULATOR SUBSTRATES BY ION IMPLANTATION AND THERMAL ANNEALING
EP2337062A2 (en) Method for making semiconductor structures with structural homogeneity
WO2006007396A1 (en) Strained silicon-on-silicon by wafer bonding and layer transfer
EP1437765B1 (en) Production method for semiconductor substrate and production method for field effect transistor

Legal Events

Date Code Title Description
AS Assignment

Owner name: AMBERWAVE SYSTEMS CORPORATION, NEW HAMPSHIRE

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:VINEIS, CHRISTOPHER J.;WESTHOFF, RICHARD;BULSARA, MAYANK;REEL/FRAME:013651/0260

Effective date: 20021219

STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:AMBERWAVE SYSTEMS CORPORATION;REEL/FRAME:023848/0183

Effective date: 20091122

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12