US20040082274A1 - Polishing slurry used for copper chemical mechanical polishing (CMP) process - Google Patents

Polishing slurry used for copper chemical mechanical polishing (CMP) process Download PDF

Info

Publication number
US20040082274A1
US20040082274A1 US10/279,351 US27935102A US2004082274A1 US 20040082274 A1 US20040082274 A1 US 20040082274A1 US 27935102 A US27935102 A US 27935102A US 2004082274 A1 US2004082274 A1 US 2004082274A1
Authority
US
United States
Prior art keywords
slurry
polishing
copper
barrier
cmp
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/279,351
Inventor
Yaojian Leng
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Priority to US10/279,351 priority Critical patent/US20040082274A1/en
Assigned to TEXAS INSTRUMENTS INCORPORATED reassignment TEXAS INSTRUMENTS INCORPORATED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LENG, YAOJIAN
Publication of US20040082274A1 publication Critical patent/US20040082274A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]

Definitions

  • the present invention relates in general to the field of chemical-mechanical polishing (CMP) processes used in the semiconductor integrated circuit manufacturing, and more particularly, to compositions and methods of slurries used in, e.g., copper CMP.
  • CMP chemical-mechanical polishing
  • openings in a dielectric layer are formed through patterning and etching process.
  • the openings are trench or via, and in a dual damascene structure, the openings are trench and via together.
  • the openings are then coated with a barrier layer, such as Ta or TaN, to prevent copper diffusion and to improve adhesion, followed by formation of the copper seed layer.
  • the openings are then filled with copper through, e.g., an electroplating process.
  • the chemical mechanical polishing (CMP) process is used to remove excess portions of copper and to planarize the surface.
  • a copper CMP process is typically a multi-step process.
  • a slurry with a high polishing rate for copper and low polishing rate for the barrier is used to remove a majority or all of the redundant copper from the wafer surface.
  • the high selectivity of copper removal rate to barrier removal rate is designed so the polish stops at the barrier layer. Hence, the non-uniformity from electro-chemical plating will not be transferred into the final copper thickness variation.
  • the barrier layer is removed to completely cut off un-designed connections among wires in the layer.
  • the slurry used in the barrier removal step is called barrier slurry and is typically different from that used in the first step.
  • HSS high-selectivity slurry
  • LSS low-selectivity slurry
  • the HSS slurry has a higher removal rate for the barrier than that for the copper and dielectric layer.
  • the LSS slurry polishes copper, dielectric material, and barrier layer at similar rate.
  • a third step, called the buff step is used to improve defectivity.
  • copper CMP barrier slurries typically contain abrasive material (such as silica or alumina), a corrosion inhibitor (such as benzotriazole (BTA)), an oxidizer (such as hydrogen peroxide, potassium iodate), and one or more of a long list of additives including surfactant, stabilizer, complexing agent, biocides, et al.
  • abrasive material such as silica or alumina
  • BTA benzotriazole
  • an oxidizer such as hydrogen peroxide, potassium iodate
  • additives such as surfactant, stabilizer, complexing agent, biocides, et al.
  • the various chemicals and abrasive particles are used to balance the polishing and passivation reactions at the wafer/pad interface to achieve desired selectivity and lower defectivity.
  • barrier slurry used in copper CMP process has disadvantages.
  • One problem encountered with current slurries used in the industry is polishing induced defects. Scratching from the CMP process is a major source of defects, and copper is more susceptible to CMP scratches due to its material characteristics. Because of its susceptibility to sheering, most barrier slurry with fuimed silica is difficult to handle (pump and filter) in a manufacturing environment. Large undesirable particles (agglomerate) are considered to be the major sources of defectivity.
  • LSS low selectivity slurry
  • an oxidizing agent to the slurry to increase the copper removal rate.
  • some stabilizing agent is typically also added to the slurry.
  • the fumed silica abrasive material is replaced with colloid silica in the barrier slurry.
  • the oxidizer is removed from barrier slurry and the desired selectivity on patterned wafers is achieved through the control of mix ratio between the abrasive particle (colloid silica) and corrosion inhibitor (BTA).
  • One advantage of an embodiment of the polishing slurry of the current invention is the lower defectivity.
  • the use of colloid silica allows more aggressive filtration at the slurry re-circulation loop and point of use (POU).
  • a second advantage of the slurry of to the present invention is a reduction in the undesirable attack of copper by the barrier slurry.
  • Two more advantages of the present invention are the ability to achieve desired selectivity on the patterned wafers and the significant improvement of pot life.
  • Yet another advantage of the present invention is a reduction of cost associated with manufacture and delivery of the barrier slurry.
  • the present invention provides a polishing slurry comprising a dispersing medium, a colloidal silicon suspended in the dispersing medium, and a corrosion inhibitor in the polishing slurry medium.
  • the present invention also provides a method of polishing a damascene structure using a chemical-mechanical polishing (CMP) apparatus.
  • a damascene structure is provided, as well as a polishing pad.
  • a polishing slurry is applied to the interface between the damascene structure and the polishing pad. Polishing is then performed using polishing parameters of the CMP apparatus, removing at least a portion of the metal layer.
  • CMP chemical-mechanical polishing
  • FIG. 1 is a diagram illustrative of a cross-section of a damascene structure before a copper CMP process
  • FIG. 2 is diagram illustrative of a cross-section of a damascene structure after first step of copper CMP, polish stops on barrier;
  • FIG. 3 is diagram illustrative of a cross-section of a damascene structure after barrier removal
  • FIG. 4 is a graph that shows the removal rate and its dependence on varying concentrations of the compositions according to the present invention.
  • FIG. 1 is a cross sectional view of a wafer 10 depicting a conventional single damascene structure for trench.
  • the damascene structure was built on the top of the inter-level dielectric layer (ILD) 11 .
  • the intra-metal dielectric layer (IMD) 14 is depicted on the top of the ILD layer 11 . Patterning and etching are performed to create trench 16 .
  • a barrier layer 18 is deposited, as a way to improve the adhesion between the metal layer 12 and the dielectric layer 14 .
  • the barrier layer 18 also acts as a diffusion barrier is for the metal layer 12 .
  • the wafer 10 is coated with a thin conductive layer of copper, called a seed layer, and immersed in a solution containing cupric ions for electroplating.
  • the plated metal layer 12 covers the entire wafer surface 10 , and fills the trench 16 .
  • a CMP process is then used to remove the excess portions of copper and planarize the surface.
  • a copper CMP process is typically a multi-step process. In the first step, a slurry of high selectivity of copper to barrier is used to removal majority or all redundant copper from the wafer surface. The high selectivity of copper removal rate to barrier removal rate is designed so the polish can stop at the barrier layer. Hence, the non-uniformity form electro-chemical deposition will not be transferred into the final copper thickness variation.
  • HSS high-selectivity slurry
  • LSS low-selectivity slurry
  • an oxidizer is typically added to the barrier slurry to increase the polishing rate for copper, and to achieve desired selectivity.
  • One problem with the prior art is that oxidizer will decay over time, causing a reduction of the copper polish rate over time. This will impose a limited pot life on the mixed slurry.
  • Another problem with the prior art is that oxidizer attacks the copper thereby causes undesirable copper corrosion.
  • the third problem with the prior art is that the test for slurry polishing rate was typically performed on pilot wafers (blank wafers without any pattern).
  • the present invention recognizes that the removal rate on pilot wafers is not necessary equal to that on the patterned wafers. It is possible for a barrier slurry to achieve desired selectivity on patterned wafers without the addition of oxidizer.
  • a barrier slurry is achieved through on-platen mix of abrasive component of colloid silica slurry (e.g., Rodel CUS1201A, 30% solids) and BTA component (e.g., 330 ppm by weight) with different mix ratio.
  • the mix ratio is, in one exemplary case, of 150 ml/min of abrasive component, and 50 ml/min of BTA component, in another exemplary case, of 100 ml/min of abrasive component, and 100 ml/min of BTA component, and in a third exemplary case, of 50 ml/min of abrasive component, and 150 ml/min of BTA component.
  • the rate on pilot wafer is obtained by measuring the thickness difference before and after polish. The method to obtain the polish rate on patterned wafers is illustrated in FIG. 4.
  • a series of patterned wafers may be polished by the primary slurry (e.g., Cabot Microelectronics iCueTM 5001), and stopped by the optical end point signal, which indicates that the copper is cleared.
  • the wafers may then be polished with the designed barrier slurry with different polish times (e.g., 0.25 min (15 sec), 0.5 min (30 sec), 0.75 min (45 sec), and 1 min (60 sec)).
  • the resulting thickness is measured and plotted against the polish time, as illustrated for exemplary process in FIG. 4.
  • the horizontal axis is the polishing time in the unit of minute
  • the vertical axis is the post thickness in the unit of angstrom.
  • the slope of the plot represents the removal rate on a patterned wafer, in the unit of angstroms/minute.
  • a barrier slurry is pre mixed from abrasive component of colloid silica slurry (e.g., Rodel CUS1201A, 30% solids) and BTA component.
  • the pre mixed slurry has, for example, 15% of solids, but different BTA concentration (e.g., 200 ppm, 800 ppm, and 1000 ppm, all by weight).

Abstract

A polishing slurry for the chemical-mechanical polishing (CMP) process used in the integrated circuit manufacture process is disclosed. The slurry of the present invention may comprise colloid silica (SiO2) as abrasive particles, and a corrosion inhibitor (e.g., benzotriazole (BTA)). The slurry does not require any oxidizing agent. The slurry may be used as a barrier slurry in copper CMP processes; and it has a similar polishing rate for copper, barrier, and dielectric materials on patterned wafers. The slurry of the present invention also reduces CMP defectivity on polished wafers.

Description

    FIELD OF THE INVENTION
  • The present invention relates in general to the field of chemical-mechanical polishing (CMP) processes used in the semiconductor integrated circuit manufacturing, and more particularly, to compositions and methods of slurries used in, e.g., copper CMP. [0001]
  • BACKGROUND OF THE INVENTION
  • Without limiting the scope of the invention, its background is described in connection with chemical-mechanical polishing and polishing slurry, as an example. [0002]
  • Developments in semiconductor technology have led to the fabrication of integrated circuit (IC) wafers with circuits having multiple levels of interconnections. In order to reduce RC delay caused by interconnect, a variety of new materials have been introduced into the IC manufacturing process. For example, copper is used to replace aluminum due to its lower resistance and better electromigration resistance. Low dielectric constant materials (low k), such as fluorosilicate glass (FSG), hydrogen silsesquioxane (HSQ), organosilicate glass (OSG), Black Diamond™, SiLK™ are used to reduce the capacitance coupling among wires. Due to the difficulty of etching copper in a plasma etch chamber, a damascene process is typically used to create copper wiring. [0003]
  • In the damascene process, openings in a dielectric layer are formed through patterning and etching process. In a single damascene structure, the openings are trench or via, and in a dual damascene structure, the openings are trench and via together. The openings are then coated with a barrier layer, such as Ta or TaN, to prevent copper diffusion and to improve adhesion, followed by formation of the copper seed layer. The openings are then filled with copper through, e.g., an electroplating process. The chemical mechanical polishing (CMP) process is used to remove excess portions of copper and to planarize the surface. [0004]
  • In a CMP process, material removal is achieved through the interaction between polishing pad, polishing slurry and wafer. The polishing slurry is a critical part of the polishing system. To a large extent, it determines the polishing performance. A copper CMP process is typically a multi-step process. In the first step, a slurry with a high polishing rate for copper and low polishing rate for the barrier is used to remove a majority or all of the redundant copper from the wafer surface. The high selectivity of copper removal rate to barrier removal rate is designed so the polish stops at the barrier layer. Hence, the non-uniformity from electro-chemical plating will not be transferred into the final copper thickness variation. In the second step of the CMP process, the barrier layer is removed to completely cut off un-designed connections among wires in the layer. The slurry used in the barrier removal step is called barrier slurry and is typically different from that used in the first step. [0005]
  • There are currently two types of barrier slurry, the high-selectivity slurry (HSS) and the low-selectivity slurry (LSS). The HSS slurry has a higher removal rate for the barrier than that for the copper and dielectric layer. The LSS slurry polishes copper, dielectric material, and barrier layer at similar rate. Sometimes, a third step, called the buff step is used to improve defectivity. [0006]
  • Typically, copper CMP barrier slurries contain abrasive material (such as silica or alumina), a corrosion inhibitor (such as benzotriazole (BTA)), an oxidizer (such as hydrogen peroxide, potassium iodate), and one or more of a long list of additives including surfactant, stabilizer, complexing agent, biocides, et al. The various chemicals and abrasive particles are used to balance the polishing and passivation reactions at the wafer/pad interface to achieve desired selectivity and lower defectivity. [0007]
  • SUMMARY OF THE INVENTION
  • It has been found, however, that present barrier slurry used in copper CMP process has disadvantages. One problem encountered with current slurries used in the industry is polishing induced defects. Scratching from the CMP process is a major source of defects, and copper is more susceptible to CMP scratches due to its material characteristics. Because of its susceptibility to sheering, most barrier slurry with fuimed silica is difficult to handle (pump and filter) in a manufacturing environment. Large undesirable particles (agglomerate) are considered to be the major sources of defectivity. [0008]
  • Another problem encountered with current barrier slurry is the selectivity. For low selectivity slurry (LSS), it is desirable that the polishing rate for copper, barrier and dielectric material is similar. In order to achieve such polish rate parity, it is a current common practice to add an oxidizing agent to the slurry to increase the copper removal rate. In order to maintain the polishing rate for copper and to reduce the decay of oxidizer, some stabilizing agent is typically also added to the slurry. [0009]
  • However, it has been recognized in the current invention that the addition of oxidizer, even in the presence of stabilizer, can cause polish rate instability and limited slurry pot life. It has also been recognized that the oxidizer will chemically attack copper, causing undesirable copper corrosion. It has further been recognized that the polish rate parity among copper, barrier, and dielectrics achieved on pilot wafers may not be realized on patterned wafers. [0010]
  • Therefore, what is needed in copper CMP is a barrier slurry that provides lower defectivity and desired selectivity for the patterned wafers. In one embodiment of the present invention, the fumed silica abrasive material is replaced with colloid silica in the barrier slurry. In another embodiment of the present invention, the oxidizer is removed from barrier slurry and the desired selectivity on patterned wafers is achieved through the control of mix ratio between the abrasive particle (colloid silica) and corrosion inhibitor (BTA). [0011]
  • One advantage of an embodiment of the polishing slurry of the current invention is the lower defectivity. The use of colloid silica allows more aggressive filtration at the slurry re-circulation loop and point of use (POU). A second advantage of the slurry of to the present invention is a reduction in the undesirable attack of copper by the barrier slurry. Two more advantages of the present invention are the ability to achieve desired selectivity on the patterned wafers and the significant improvement of pot life. Yet another advantage of the present invention is a reduction of cost associated with manufacture and delivery of the barrier slurry. [0012]
  • More specifically, the present invention provides a polishing slurry comprising a dispersing medium, a colloidal silicon suspended in the dispersing medium, and a corrosion inhibitor in the polishing slurry medium. [0013]
  • The present invention also provides a method of polishing a damascene structure using a chemical-mechanical polishing (CMP) apparatus. A damascene structure is provided, as well as a polishing pad. A polishing slurry is applied to the interface between the damascene structure and the polishing pad. Polishing is then performed using polishing parameters of the CMP apparatus, removing at least a portion of the metal layer.[0014]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • For a more complete understanding of the features and advantages of the present invention, reference is now made to the detailed description of the invention along with the accompanying figures in which corresponding numerals in the different figures refer to corresponding parts and in which: [0015]
  • FIG. 1 is a diagram illustrative of a cross-section of a damascene structure before a copper CMP process; [0016]
  • FIG. 2 is diagram illustrative of a cross-section of a damascene structure after first step of copper CMP, polish stops on barrier; [0017]
  • FIG. 3 is diagram illustrative of a cross-section of a damascene structure after barrier removal; and [0018]
  • FIG. 4 is a graph that shows the removal rate and its dependence on varying concentrations of the compositions according to the present invention. [0019]
  • DETAILED DESCRIPTION OF THE INVENTION
  • While the making and using of various embodiments of the present invention are discussed in detail below, it should be appreciated that the present invention provides many applicable inventive concepts that may be embodied in a wide variety of specific contexts. The specific embodiments discussed herein are merely illustrative of specific ways to make and use the invention and do not delimit the scope of the invention. [0020]
  • For the sake of simplicity, in FIG. 1, a single damascene trench structure is illustrated. The situation for single damascene of via, or dual damascene, where trench and via are formed together is similar. FIG. 1 is a cross sectional view of a [0021] wafer 10 depicting a conventional single damascene structure for trench. The damascene structure was built on the top of the inter-level dielectric layer (ILD) 11. The intra-metal dielectric layer (IMD) 14 is depicted on the top of the ILD layer 11. Patterning and etching are performed to create trench 16. A barrier layer 18 is deposited, as a way to improve the adhesion between the metal layer 12 and the dielectric layer 14. The barrier layer 18 also acts as a diffusion barrier is for the metal layer 12. The wafer 10 is coated with a thin conductive layer of copper, called a seed layer, and immersed in a solution containing cupric ions for electroplating. The plated metal layer 12 covers the entire wafer surface 10, and fills the trench 16.
  • A CMP process is then used to remove the excess portions of copper and planarize the surface. A copper CMP process is typically a multi-step process. In the first step, a slurry of high selectivity of copper to barrier is used to removal majority or all redundant copper from the wafer surface. The high selectivity of copper removal rate to barrier removal rate is designed so the polish can stop at the barrier layer. Hence, the non-uniformity form electro-chemical deposition will not be transferred into the final copper thickness variation. [0022]
  • FIG. 2 is a cross-sectional view of a damascene structure after the first step of a typical copper CMP process. Typically, after the removal of the excess copper of [0023] copper layer 12, some of the copper layer disposed inside the trench is also removed due to bending of the polishing pad. The undesirable thinning of the copper inside trench, known as “dishing” is shown as dishing 20. In the second step of the CMP process the barrier layer is removed to completely cut off un-designed or unintended connections among wires in the layer. The slurry used in the barrier removal step is called a barrier slurry, which is typically different from that used in the first step. There are currently two types of barrier slurry, the high-selectivity slurry (HSS), and the low-selectivity slurry (LSS). The HSS slurry has a higher removal rate for the barrier than that for the copper and dielectric layer. The LSS slurry polishes copper, dielectric material and barrier layer at similar rate. The low selectivity slurry polishes off some copper residue left from previous step, providing a relatively wider process margin. It also planarizes the surface, reducing the dishing at the cost of dielectric material removal.
  • FIG. 3 is diagram illustrative of a cross-section of a damascene structure after the barrier removal with LSS approach. The thinning of [0024] dielectric layer 14 and reduction of dishing 20 are illustrated. The slurry used for barrier polish in the copper CMP process needs to provide lower defectivity and designed selectivity on the patterned wafers. The present invention provides such a low defectivity slurry. In the current invention, a colloidal silica is used to provide abrasive particles, in place of fumed silica typically used in the slurry. The use of colloid silica enables the aggressive filtration at the slurry re-circulation loop and point-of-use (POU) with less risk of shearing.
  • In the prior art, an oxidizer is typically added to the barrier slurry to increase the polishing rate for copper, and to achieve desired selectivity. One problem with the prior art is that oxidizer will decay over time, causing a reduction of the copper polish rate over time. This will impose a limited pot life on the mixed slurry. Another problem with the prior art is that oxidizer attacks the copper thereby causes undesirable copper corrosion. The third problem with the prior art is that the test for slurry polishing rate was typically performed on pilot wafers (blank wafers without any pattern). [0025]
  • The present invention recognizes that the removal rate on pilot wafers is not necessary equal to that on the patterned wafers. It is possible for a barrier slurry to achieve desired selectivity on patterned wafers without the addition of oxidizer. [0026]
  • In one embodiment of the present invention, a barrier slurry is achieved through on-platen mix of abrasive component of colloid silica slurry (e.g., Rodel CUS1201A, 30% solids) and BTA component (e.g., 330 ppm by weight) with different mix ratio. The mix ratio is, in one exemplary case, of 150 ml/min of abrasive component, and 50 ml/min of BTA component, in another exemplary case, of 100 ml/min of abrasive component, and 100 ml/min of BTA component, and in a third exemplary case, of 50 ml/min of abrasive component, and 150 ml/min of BTA component. The rate on pilot wafer is obtained by measuring the thickness difference before and after polish. The method to obtain the polish rate on patterned wafers is illustrated in FIG. 4. [0027]
  • To obtain the polish rate on patterned wafers, a series of patterned wafers may be polished by the primary slurry (e.g., Cabot Microelectronics iCue™ 5001), and stopped by the optical end point signal, which indicates that the copper is cleared. The wafers may then be polished with the designed barrier slurry with different polish times (e.g., 0.25 min (15 sec), 0.5 min (30 sec), 0.75 min (45 sec), and 1 min (60 sec)). The resulting thickness is measured and plotted against the polish time, as illustrated for exemplary process in FIG. 4. In FIG. 4, the horizontal axis is the polishing time in the unit of minute, and the vertical axis is the post thickness in the unit of angstrom. The slope of the plot represents the removal rate on a patterned wafer, in the unit of angstroms/minute. [0028]
  • In another embodiment of the present invention, a barrier slurry is pre mixed from abrasive component of colloid silica slurry (e.g., Rodel CUS1201A, 30% solids) and BTA component. The pre mixed slurry has, for example, 15% of solids, but different BTA concentration (e.g., 200 ppm, 800 ppm, and 1000 ppm, all by weight). [0029]
  • While this invention has been described in reference to illustrative embodiments, this description is not intended to be construed in a limiting sense. Various modifications and combinations of the illustrative embodiments, as well as other embodiments of the invention, will be apparent to persons skilled in the art upon reference to the description. It is therefore intended that the appended claims encompass any such modifications or embodiments. [0030]

Claims (17)

What is claimed is:
1. A polishing slurry comprising:
a dispersing medium;
a colloidal silicon suspended in the dispersing medium; and
a corrosion inhibitor in the dispersing medium.
2. The polishing slurry of claim 1 wherein the slurry does not contain an oxidizing agent.
3. The polishing slurry of claim 1 wherein the ratio of colloidal silica to corrosion inhibitor is adjustable to a desired selectivity.
4. The polishing slurry of claim 1 wherein slurry is a pre-blended or on-platen point-of-use mix.
5. The polishing slurry of claim 1 wherein surfactant is added to the slurry.
6. The polishing slurry of claim 1 wherein the slurry may be aged before polish.
7. The polishing slurry of claim 1 wherein the corrosion inhibitor comprises benzotriazole.
8. The polishing slurry of claim 1, comprising about 0.005 to 0.2% by weight of BTA and about 1 to 30% by weight of SiO2.
9. A method of polishing a damascene structure using a chemical-mechanical polishing apparatus, comprising the steps of:
providing a damascene structure;
providing a polishing pad;
applying a polishing slurry to the interface between the damascene structure and the polishing pad; and
performing polishing using polishing parameters of the CMP apparatus, wherein at least a portion of the metal layer is removed.
10. The method of claim 9, wherein the slurry comprises colloidal silicon and corrosion inhibitors.
11. The method of claim 9 wherein the slurry does not contain an oxidizing agent.
12. The method of claim 9 wherein the ratio of colloidal silica to corrosion inhibitor in the slurry is adjustable to a desired selectivity.
13. The method of claim 9 wherein the slurry can be pre-blended or on-platen point-of-use mixed.
14. The method of claim 9 wherein surfactant is added to the slurry.
15. The method of claim 9 wherein the slurry may be aged before polish.
16. The method of claim 9 wherein the corrosion inhibitor comprises benzotriazole.
17. The method of claim 9, wherein the slurry contains about 0.005 to 0.2% by weight of BTA and about 1 to 30% by weight of SiO2.
US10/279,351 2002-10-24 2002-10-24 Polishing slurry used for copper chemical mechanical polishing (CMP) process Abandoned US20040082274A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/279,351 US20040082274A1 (en) 2002-10-24 2002-10-24 Polishing slurry used for copper chemical mechanical polishing (CMP) process

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/279,351 US20040082274A1 (en) 2002-10-24 2002-10-24 Polishing slurry used for copper chemical mechanical polishing (CMP) process

Publications (1)

Publication Number Publication Date
US20040082274A1 true US20040082274A1 (en) 2004-04-29

Family

ID=32106685

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/279,351 Abandoned US20040082274A1 (en) 2002-10-24 2002-10-24 Polishing slurry used for copper chemical mechanical polishing (CMP) process

Country Status (1)

Country Link
US (1) US20040082274A1 (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060186089A1 (en) * 2005-02-23 2006-08-24 Jsr Corporation Chemical mechanical polishing method
US20070228011A1 (en) * 2006-03-31 2007-10-04 Buehler Mark F Novel chemical composition to reduce defects
US20080096390A1 (en) * 2006-03-23 2008-04-24 Cabot Microelectronics Corporation Halide anions for metal removal rate control
US20100130101A1 (en) * 2008-11-26 2010-05-27 Applied Materials, Inc. Two-line mixing of chemical and abrasive particles with endpoint control for chemical mechanical polishing
US8545715B1 (en) 2012-10-09 2013-10-01 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition and method
CN103897602A (en) * 2012-12-24 2014-07-02 安集微电子(上海)有限公司 Chemical mechanical polishing liquid and polishing method
US8980122B2 (en) 2011-07-08 2015-03-17 General Engineering & Research, L.L.C. Contact release capsule useful for chemical mechanical planarization slurry
CN104650739A (en) * 2013-11-22 2015-05-27 安集微电子(上海)有限公司 Chemical-mechanical polishing solution for polishing silica substrates
US20160237315A1 (en) * 2015-02-12 2016-08-18 Air Products And Chemicals, Inc. Dishing reducing in tungsten chemical mechanical polishing
US20200102475A1 (en) * 2018-09-28 2020-04-02 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mecahnical polishing composition and method of polishing silcon dioxide over silicon nitiride

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6261158B1 (en) * 1998-12-16 2001-07-17 Speedfam-Ipec Multi-step chemical mechanical polishing
US6315803B1 (en) * 1999-09-20 2001-11-13 Fujimi Incorporated Polishing composition and polishing process
US6676718B2 (en) * 2001-01-12 2004-01-13 Rodel Holdings, Inc. Polishing of semiconductor substrates
US6740591B1 (en) * 2000-11-16 2004-05-25 Intel Corporation Slurry and method for chemical mechanical polishing of copper

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6261158B1 (en) * 1998-12-16 2001-07-17 Speedfam-Ipec Multi-step chemical mechanical polishing
US6315803B1 (en) * 1999-09-20 2001-11-13 Fujimi Incorporated Polishing composition and polishing process
US6740591B1 (en) * 2000-11-16 2004-05-25 Intel Corporation Slurry and method for chemical mechanical polishing of copper
US6676718B2 (en) * 2001-01-12 2004-01-13 Rodel Holdings, Inc. Polishing of semiconductor substrates

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI413172B (en) * 2005-02-23 2013-10-21 Jsr Corp Chemical mechanical polishing method
US7560384B2 (en) * 2005-02-23 2009-07-14 Jsr Corporation Chemical mechanical polishing method
US20060186089A1 (en) * 2005-02-23 2006-08-24 Jsr Corporation Chemical mechanical polishing method
US20090181540A1 (en) * 2005-02-23 2009-07-16 Jsr Corporation Chemical mechanical polishing method
US8591763B2 (en) * 2006-03-23 2013-11-26 Cabot Microelectronics Corporation Halide anions for metal removal rate control
US20080096390A1 (en) * 2006-03-23 2008-04-24 Cabot Microelectronics Corporation Halide anions for metal removal rate control
US20070228011A1 (en) * 2006-03-31 2007-10-04 Buehler Mark F Novel chemical composition to reduce defects
WO2007117395A1 (en) * 2006-03-31 2007-10-18 Intel Corporation A novel chemical composition to reduce defects
US20100130101A1 (en) * 2008-11-26 2010-05-27 Applied Materials, Inc. Two-line mixing of chemical and abrasive particles with endpoint control for chemical mechanical polishing
US8980122B2 (en) 2011-07-08 2015-03-17 General Engineering & Research, L.L.C. Contact release capsule useful for chemical mechanical planarization slurry
US9334422B2 (en) 2011-07-08 2016-05-10 General Engineering & Research, L.L.C. Contact release capsule useful for chemical mechanical planarization slurry
US8545715B1 (en) 2012-10-09 2013-10-01 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition and method
CN103897602A (en) * 2012-12-24 2014-07-02 安集微电子(上海)有限公司 Chemical mechanical polishing liquid and polishing method
CN104650739A (en) * 2013-11-22 2015-05-27 安集微电子(上海)有限公司 Chemical-mechanical polishing solution for polishing silica substrates
US20160237315A1 (en) * 2015-02-12 2016-08-18 Air Products And Chemicals, Inc. Dishing reducing in tungsten chemical mechanical polishing
US10570313B2 (en) * 2015-02-12 2020-02-25 Versum Materials Us, Llc Dishing reducing in tungsten chemical mechanical polishing
US20200102475A1 (en) * 2018-09-28 2020-04-02 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mecahnical polishing composition and method of polishing silcon dioxide over silicon nitiride

Similar Documents

Publication Publication Date Title
US6696358B2 (en) Viscous protective overlayers for planarization of integrated circuits
EP2539411B1 (en) Chemical-mechanical planarization of substrates containing copper, ruthenium, and tantalum layers
US6375693B1 (en) Chemical-mechanical planarization of barriers or liners for copper metallurgy
DE60311569T2 (en) Tantalum barrier removal solution
US6638326B2 (en) Compositions for chemical mechanical planarization of tantalum and tantalum nitride
KR101335946B1 (en) CMP slurry composition for tungsten
JP2011205113A (en) Polishing slurry and polishing method
EP1080495A1 (en) Dual-damascene interconnect structures employing low-k dielectric materials
JP2012004588A (en) Chemical mechanical polishing slurry useful for copper substrates
US6787061B1 (en) Copper polish slurry for reduced interlayer dielectric erosion and method of using same
WO2008005164A1 (en) Silicon oxide polishing method utilizing colloidal silica
KR20030048058A (en) Slurry for chemical-mechanical polishing copper damascene structures
US20040082274A1 (en) Polishing slurry used for copper chemical mechanical polishing (CMP) process
KR20070112469A (en) Semiconductor device and process for producing the same
US8906123B2 (en) CMP slurry/method for polishing ruthenium and other films
US20020106900A1 (en) Polishing slurry for the chemical-mechanical polishing of metal and dielectric structures
US6660627B2 (en) Method for planarization of wafers with high selectivities
US6919276B2 (en) Method to reduce dishing and erosion in a CMP process
JP2010108985A (en) Polishing method
KR100553802B1 (en) Chemical mechanical polishing slurry to control polishing velocity and to inhibit over-polishing of copper-based metal layer
US20060138087A1 (en) Copper containing abrasive particles to modify reactivity and performance of copper CMP slurries
US20030203705A1 (en) Chemical-mechanical polishing slurry with improved defectivity
US7422700B1 (en) Compositions and methods of electrochemical removal of material from a barrier layer of a wafer
CN111378382B (en) Chemical mechanical polishing solution and application thereof
JP2005294283A (en) Slurry for polishing used for chemical mechanical polishing (cmp) of copper

Legal Events

Date Code Title Description
AS Assignment

Owner name: TEXAS INSTRUMENTS INCORPORATED, TEXAS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:LENG, YAOJIAN;REEL/FRAME:013431/0958

Effective date: 20021021

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION