US20040160970A1 - Methods and apparatus for event-driven routing - Google Patents

Methods and apparatus for event-driven routing Download PDF

Info

Publication number
US20040160970A1
US20040160970A1 US10/673,912 US67391203A US2004160970A1 US 20040160970 A1 US20040160970 A1 US 20040160970A1 US 67391203 A US67391203 A US 67391203A US 2004160970 A1 US2004160970 A1 US 2004160970A1
Authority
US
United States
Prior art keywords
router
output
virtual
input
channels
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/673,912
Inventor
William Dally
Philip Carvey
Larry Dennison
P. King
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Avici Systems Inc
Original Assignee
Avici Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US08/918,556 external-priority patent/US6370145B1/en
Application filed by Avici Systems Inc filed Critical Avici Systems Inc
Priority to US10/673,912 priority Critical patent/US20040160970A1/en
Publication of US20040160970A1 publication Critical patent/US20040160970A1/en
Priority to US11/704,776 priority patent/US8325715B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L49/00Packet switching elements
    • H04L49/60Software-defined switches
    • H04L49/602Multilayer or multiprotocol switching, e.g. IP switching
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L49/00Packet switching elements
    • H04L49/25Routing or path finding in a switch fabric
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L45/00Routing or path finding of packets in data switching networks
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L45/00Routing or path finding of packets in data switching networks
    • H04L45/02Topology update or discovery
    • H04L45/06Deflection routing, e.g. hot-potato routing
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L45/00Routing or path finding of packets in data switching networks
    • H04L45/02Topology update or discovery
    • H04L45/10Routing in connection-oriented networks, e.g. X.25 or ATM
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L45/00Routing or path finding of packets in data switching networks
    • H04L45/14Routing performance; Theoretical aspects
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L45/00Routing or path finding of packets in data switching networks
    • H04L45/24Multipath
    • H04L45/247Multipath using M:N active or standby paths
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L45/00Routing or path finding of packets in data switching networks
    • H04L45/40Wormhole routing
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L45/00Routing or path finding of packets in data switching networks
    • H04L45/60Router architectures
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L47/00Traffic control in data switching networks
    • H04L47/10Flow control; Congestion control
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L47/00Traffic control in data switching networks
    • H04L47/10Flow control; Congestion control
    • H04L47/12Avoiding congestion; Recovering from congestion
    • H04L47/122Avoiding congestion; Recovering from congestion by diverting traffic away from congested entities
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L47/00Traffic control in data switching networks
    • H04L47/10Flow control; Congestion control
    • H04L47/30Flow control; Congestion control in combination with information about buffer occupancy at either end or at transit nodes
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L49/00Packet switching elements
    • H04L49/10Packet switching elements characterised by the switching fabric construction
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L49/00Packet switching elements
    • H04L49/10Packet switching elements characterised by the switching fabric construction
    • H04L49/112Switch control, e.g. arbitration
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L49/00Packet switching elements
    • H04L49/25Routing or path finding in a switch fabric
    • H04L49/251Cut-through or wormhole routing
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L49/00Packet switching elements
    • H04L49/30Peripheral units, e.g. input or output ports
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L69/00Network arrangements, protocols or services independent of the application payload and not provided for in the other groups of this subclass
    • H04L69/16Implementation or adaptation of Internet protocol [IP], of transmission control protocol [TCP] or of user datagram protocol [UDP]
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L69/00Network arrangements, protocols or services independent of the application payload and not provided for in the other groups of this subclass
    • H04L69/16Implementation or adaptation of Internet protocol [IP], of transmission control protocol [TCP] or of user datagram protocol [UDP]
    • H04L69/161Implementation details of TCP/IP or UDP/IP stack architecture; Specification of modified or new header fields
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L69/00Network arrangements, protocols or services independent of the application payload and not provided for in the other groups of this subclass
    • H04L69/16Implementation or adaptation of Internet protocol [IP], of transmission control protocol [TCP] or of user datagram protocol [UDP]
    • H04L69/166IP fragmentation; TCP segmentation
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L69/00Network arrangements, protocols or services independent of the application payload and not provided for in the other groups of this subclass
    • H04L69/16Implementation or adaptation of Internet protocol [IP], of transmission control protocol [TCP] or of user datagram protocol [UDP]
    • H04L69/168Implementation or adaptation of Internet protocol [IP], of transmission control protocol [TCP] or of user datagram protocol [UDP] specially adapted for link layer protocols, e.g. asynchronous transfer mode [ATM], synchronous optical network [SONET] or point-to-point protocol [PPP]
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L49/00Packet switching elements
    • H04L49/10Packet switching elements characterised by the switching fabric construction
    • H04L49/101Packet switching elements characterised by the switching fabric construction using crossbar or matrix
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L49/00Packet switching elements
    • H04L49/15Interconnection of switching modules
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L49/00Packet switching elements
    • H04L49/15Interconnection of switching modules
    • H04L49/1515Non-blocking multistage, e.g. Clos
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L49/00Packet switching elements
    • H04L49/30Peripheral units, e.g. input or output ports
    • H04L49/3009Header conversion, routing tables or routing tags
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L49/00Packet switching elements
    • H04L49/50Overload detection or protection within a single switching element
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L49/00Packet switching elements
    • H04L49/50Overload detection or protection within a single switching element
    • H04L49/505Corrective measures

Definitions

  • IP internet protocol
  • TCP/IP Transmission Control Protocol
  • the Internet is arranged as a hierarchy of networks.
  • a typical end-user has a workstation 22 connected to a local-area network or LAN 24 .
  • the LAN is connected via a router R to a regional network 26 that is maintained and operated by a Regional Network Provider or RNP.
  • the connection is often made through an Internet Service Provider or ISP.
  • the regional network connects to the backbone network 28 at a Network Access Point (NAP).
  • NAP Network Access Point
  • the NAPs are usually located only in major cities.
  • the network is made up of links and routers.
  • the links are usually fiber optic communication channels operating using the SONET (synchronous optical network) protocol.
  • SONET links operate at a variety of data rates ranging from OC-3 (155 Mb/s) to OC-192 (9.9 Gb/s). These links, sometimes called trunks, move data from one point to another, often over considerable distances.
  • Routers connect a group of links together and perform two functions: forwarding and routing.
  • a data packet arriving on one link of a router is forwarded by sending it out on a different link depending on its eventual destination and the state of the output links.
  • the router participates in a routing protocol where all of the routers on the Internet exchange information about the connectivity of the network and compute routing tables based on this information.
  • a common bus (FIG. 2) or a crossbar switch (FIG. 3).
  • a given SONET link 30 is connected to a line-interface module 32 .
  • This module extracts the packets from the incoming SONET stream.
  • the line interface reads the packet header, and using this information, determines the output port (or ports) to which the packet is to be forwarded.
  • the line interface module arbitrates for the common bus 34 .
  • the bus is granted, the packet is transmitted over the bus to the output line interface module.
  • the module subsequently transmits the packet on an outgoing SONET link 30 to the next hop on the route to its destination.
  • Bus-based routers have limited bandwidth and scalability.
  • the central bus becomes a bottleneck through which all traffic must flow.
  • a very fast bus for example, operates a 128-bit wide datapath at 50 MHz giving an aggregate bandwidth of 6.4 Gb/s, far short of the Terabits per second needed by a backbone switch.
  • the fan-out limitations of the bus interfaces limit the number of ports on a bus-based switch to typically no more than 32.
  • the bandwidth limitation of a bus may be overcome by using a crossbar switch as illustrated in FIG. 3.
  • the switch contains N(N-1) crosspoints, each denoted by a circle.
  • Each line interface can select any of the other line interfaces as its input by connecting the two lines that meet at the appropriate crosspoint 38 .
  • a line interface arbitrates for the required output line interface. When the request is granted, the appropriate crosspoint is closed and data is transmitted from the input module to the output module. Because the crossbar can simultaneously connect many inputs to many outputs, this organization provides many times the bandwidth of a bus-based switch.
  • the internet router receives data packets from a plurality of internet links and analyzes header information in the data packets to route the data packets to output internet links.
  • the internet router comprises a fabric of fabric links joined by fabric routers, the number of fabric links to each fabric router being substantially less than the number of internet links served by the internet router.
  • the fabric links and fabric routers provide data communication between internet links through one or more hops through the fabric.
  • a preferred embodiment of the present invention relates to an event-driven technique for handling virtual channels in a router that routes data packets.
  • the router includes input physical channels that receive portions of the data packets, output physical channels, and data buffers that are coupled with the input and output physical channels.
  • the data buffers store the portions of the data packets.
  • the router further includes control circuitry that is coupled with the input and output physical channels and the data buffers.
  • the control circuitry generates channel assignments in response to queued events, and outputs the portions of the data packets through the output physical channels according to the generated channel assignments.
  • the control circuitry assigns virtual channels to the data packets, assigns the output physical channels to the virtual channels in response to the queued events.
  • the router further includes a line interface coupled with an input physical channel and an output physical channel such that the router forms an internet switch fabric router.
  • the router further includes a multicomputer interface coupled with an input physical channel and an output physical channel such that the router forms a multicomputer router for a multicomputer system.
  • control circuitry includes output controllers that correspond to the output physical channels.
  • Each output controller has a state table that records states of output virtual channels, and identifies input virtual channels connected with the output virtual channels. The input virtual channels hold the portions of the data packets.
  • Each output controller further includes an arbiter that is adapted to select arrival events from multiple arrival queues, and state table logic that accesses that output controller's state table to assign output virtual channels in response to the selected arrival events.
  • Each state table includes state vectors that correspond to output virtual channels.
  • Each state vector includes a busy indication that indicates whether that state vector's corresponding output virtual channel is assigned to a data packet. Additionally, each state vector includes a wait field that indicates which of the input physical channels have received at least portions of data packets awaiting assignment to that state vector's corresponding output virtual channel. Each wait field further indicates an order in which the input physical channels received the data packet portions. Each state vector further includes a present field that indicates a number of portions of a data packet present for transferring through that state vector's output virtual channel to a downstream router. Furthermore, each state vector includes a credit field that indicates an amount of buffer space available in a downstream router coupled to that state vector's corresponding output virtual channel.
  • Each output controller further includes a transport circuit that queues transport requests when that output controller's state table is accessed in response to the queued events, and forwards data packets through that output controller's output physical channel according to the queued transport requests.
  • the portions of the data packets are flits of the data packets.
  • Each transport circuit transmits a flit in response to a queued transport request.
  • Each output controller receives credit events from a downstream router and, in response to the received credit events, queues a transport request to transport a portion of a data packet over the corresponding output physical channel.
  • the queued events include tail credit events, and the output controllers free virtual channels only in response to the tail credit events.
  • control circuitry can be shared by multiple virtual channels and activated to handle a particular virtual channel in response to an event.
  • control circuitry is adapted to generate virtual channel assignments that assign virtual channels to the data packets, and generate physical channel assignments that assign the output physical channels to the virtual channels.
  • Each of the assignments can be generated in response to queued arrival and credit events.
  • the portions of the data packets are forwarded from the data buffers to the output physical channels according to the generated virtual and physical channel assignments.
  • FIG. 1 illustrates an internet configuration of routers to which the present invention may be applied.
  • FIG. 2 is a prior art bus-based internet router.
  • FIG. 3 is a prior art crossbar switch internet router.
  • FIG. 4 illustrates a two-dimensional torus array previously used in direct multiprocessor networks.
  • FIG. 5 illustrates an indirect network
  • FIG. 6 illustrates tree saturation of a network.
  • FIG. 7 illustrates a three-dimensional fabric embodying the present invention.
  • FIG. 8 illustrates the line interface module of a node in the array of FIG. 7.
  • FIG. 9 illustrates a fabric router used in the embodiment of FIGS. 7 and 8.
  • FIGS. 10A and 10B illustrate buffers, registers and control vectors used in the router of FIG. 9.
  • FIGS. 11A and 11B illustrate alternative allocation control logic provided in input and output controllers, respectively, of the router of FIG. 9.
  • FIG. 12 illustrates a virtual channel state table used in the router of FIG. 9.
  • FIG. 13 illustrates a loop used to demonstrate dispersion routing.
  • each internet router is itself configured as either a direct or indirect network.
  • Multicomputers and multiprocessors have for many years used direct and indirect interconnection networks to send addresses and data for memory accesses between processors and memory banks or to send messages between processors.
  • Early multicomputers were constructed using the bus and crossbar interconnects shown in FIGS. 2 and 3. However, to permit these machines to scale to larger numbers of processors they switched to the use of direct and indirect interconnection networks.
  • a direct network is comprised of a set of processing nodes 40 , each of which includes a router, R, along with a processor, P, and some memory, M.
  • These multicomputer routers should not be confused with the IP routers described above. They perform only forwarding functions and only in the very constrained environment of a multicomputer interconnection network. Each multicomputer router has some number, four in the example, of connections to other routers in the network.
  • a processing node may send a message or make a memory access to any other node in the system. It is not limited to communicating only with the immediately adjacent nodes. Messages to nodes that are further away are forwarded by the routers along the path between the source and destination nodes.
  • FIG. 4 The network shown in FIG. 4 is said to be direct since the channels are made directly between the processing nodes of the system.
  • FIG. 5 shows an indirect network in which the connections between process nodes 42 are made indirectly, via a set of router-only switch nodes 44 .
  • Direct networks are generally preferred for large machines because of the scalability. While an indirect network is usually built for a fixed number of nodes, a direct network grows with the nodes. As more nodes are added, more network is added as well since a small piece of the network, one router, is included within each node.
  • Multicomputer networks are described in detail in Dally, W.J., “Network and Processor Architectures for Message-Driven Computing,” VLSI and PARALLEL COMPUTATION , Edited by Suaya and Birtwistle, Morgan Kaufmann Publishers, Inc., 1990, pp. 140-218. It should be stressed that multicomputer networks are local to a single cabinet or room as opposed to the Internet backbone network which spans the continent.
  • Direct and indirect multicomputer networks are scalable. For most common topologies the fan-in and fan-out of each node is constant, independent of the size of the machine. Also, the traffic load on each link is either constant or a very slowly increasing function of machine size. Because of this scalability, these networks have been successfully used to construct parallel computers with thousands of processing nodes.
  • multicomputer networks are scalable, they give up the two properties of crossbar networks that were crucial to IP switching: non-blocking behavior and stiff backpressure.
  • Most economical direct and indirect networks are blocking. Because links are shared between multiple source-destination pairs, a busy connection between a pair of nodes can block the establishment of a new connection between a completely separate pair of nodes. Because packets in multicomputer networks are forwarded over multiple links with considerable queuing at each link, the backpressure, if any, from an overloaded destination node to a transmitting source node is late and soft if present at all.
  • An IP switch is not self-throttling. If some channels in the network become blocked or congested, the offered traffic is not reduced. Packets continue to arrive over the input links to the switch regardless of the state of the network. Because of this, an IP switch or router built from an unmodified multicomputer network is likely to become tree-saturated, and deny service to many nodes not involved in the original blockage. Moreover transient conditions often exist in IP routers where, due to an error in computing routing tables, a single output node can be overloaded for a sustained period of time. This causes no problems with a crossbar router as other nodes are unaffected. With a multicomputer network, however, this causes tree saturation.
  • node ( 3 , 3 ) labeled a is overloaded with arriving messages. As it is unable to accept messages off the channels at the rate they are arriving, all four input channels to the node (b,a), (c,a), (d,a), (e,a), become congested and are blocked. Traffic arriving at nodes b-e that must be forwarded across these blocked links cannot make progress and will back up along the edges into nodes b-e. For example, traffic into node b backs up along (f,b), (g,b), and (h,b). If the blockage persists, the channels into f-h and related nodes become blocked as well and so on. If the overload on node a persists, eventually most of the channels in the network will become blocked as a tree of saturation expands outward from node a.
  • a packet from ( 1 , 4 ) to ( 5 , 3 ) for example may be routed along a path (dotted line) that includes (fb) and (b,a) for example. Since these links are blocked, traffic from node ( 1 , 4 ) to node ( 5 , 3 ) is blocked even though neither of these nodes is overloaded.
  • the router of the present invention overcomes the bandwidth and scalability limitations of prior-art bus- and crossbar- based routers by using a multi-hop interconnection network, in particular a 3-dimensional torus network, as a router.
  • a multi-hop interconnection network in particular a 3-dimensional torus network
  • each router in the wide-area backbone network in effect contains a small in-cabinet network.
  • the small network internal to each router as the switching fabric and the routers and links within this network as the fabric routers and fabric links.
  • the switching fabric network is non-blocking and provides stiff backpressure. These crossbar-like attributes are achieved by providing a separate virtual network for each destination node in the network.
  • Typical packets forwarded through the internet range from 50 bytes to 1.5 Kbytes.
  • the packets are divided into segments, or flits, each of 36 bytes.
  • At least the header included in the first flit of a packet is modified for control of data transfer through the fabric of the router.
  • the data is transferred through the fabric in accordance with a wormhole routing protocol.
  • Each virtual network comprises a set of buffers.
  • One or more buffers for each virtual network are provided on each node in the fabric.
  • Each buffer is sized to hold at least one flow-control digit or flit of a message.
  • the virtual networks all share the single set of physical channels between the nodes of the real fabric network.
  • a fair arbitration policy is used to multiplex the use of the physical channels over the competing virtual networks.
  • Each virtual network has a different set of buffers available for holding the flits of its messages.
  • the set of buffers assigned to A contains at least one buffer that is not assigned to B.
  • A is able to make progress by forwarding messages using this buffer that is not shared with B although it may be shared with some other virtual network.
  • the preferred router is a 3-dimensional torus network of nodes as illustrated in FIG. 7.
  • Each node N comprises a line interface module that connects to incoming and outgoing SONET internet links.
  • Each of these line-interface nodes contains a switch-fabric router that includes fabric links to its six neighboring nodes in the torus. IP packets that arrive over one SONET link, say on node A, are examined to determine the SONET link on which they should leave the internet router, say node B, and are then forwarded from A to B via the 3-D torus switch fabric.
  • each node or line-interface module is illustrated in FIG. 8.
  • Packets arrive over the incoming SONET link 46 , and the line interface circuit 48 converts the optical input to electrical signals and extracts the packets and their headers from the incoming stream. Arriving packets are then passed to the forwarding engine hardware 50 and are stored in the packet memory 52 .
  • the forwarding engine uses the header of each packet to look up the required output link for that packet. In conventional IP router fashion, this lookup is performed by traversing a tree indexed by the header fields. The leaves of the tree contain the required output link, as in a conventional IP router, and additionally include the route through the switch fabric to the output link.
  • the packet along with its destination and route are passed to the fabric router 54 of the node for forwarding through the fabric to the output node.
  • the packet is delivered through the packet buffer 52 of that node and through the line interface circuit 48 to the output link 56 .
  • Packets in the internet router are forwarded from the line-interface module associated with the input trunk to the line-interface module associated with the output trunk using source routing.
  • source routing the route of links through intermediate fabric routers is determined by a table lookup in the input module. This lookup is performed by the forwarding engine before presenting the packet to the fabric router.
  • Alternative paths allow for fault tolerance and load balancing.
  • the source route is a 10-element vector where each element is a 3-bit hop field. Each hop field encodes the output link to be taken by the packet for one step of its route, one of the six inter-node links or the seventh link to the packet buffer of the present node. The eighth encoding is unused.
  • This 10-element vector can be used to encode all routes of up to 10 hops which is sufficient to route between any pair of nodes in a 6 ⁇ 10 ⁇ 10 torus. Note that all 10 elements need not be used for shorter routes. The last used element selects the link to the packet buffer 52 or may be implied for a 10-hop route.
  • the local forwarding vector entry for that packet is set equal to the leftmost element of the source route.
  • the source route is then shifted left three bits to discard this element and to present the next element of the route to the next router.
  • the 3-bit code corresponding to the packet buffer of the present node is shifted in from the right. Subsequent flits in that packet follow the routing stored for that packet in the router.
  • the route is encoded as a three-bit preferred direction followed by a multiplicity of two-bit hop fields.
  • the three-bit field encodes the preferred direction (either positive or negative) for each dimension of the network (x, y, and z).
  • the fourth encoding of the two-bit hop field ( 3 ) is used as an escape code.
  • the next hop field is used to determine the route. If this second hop field contains a dimension specifier (0-2), the hop is taken in the specified dimension in the direction opposite to the preferred direction and the preferred direction is reversed. If the second hop field contains a second escape code, the packet is forwarded to the exit port of the fabric router. With this encoding, as packets arrive at a fabric node, the local forwarding vector entry for that packet is computed from the preferred direction field and the leftmost hop field. The hop fields are then shifted left two bits to discard this field and to present the next field to the next router.
  • FIG. 9 A fabric router used to forward a packet over the switch fabric from the module associated with its input link to the module associated with its output link is illustrated in FIG. 9.
  • the router has seven input links 58 and seven output links 60 . Six of the links connect to adjacent nodes in the 3-D torus network of FIG. 7.
  • the seventh input link accepts packets from the forwarding engine 50 and the seventh output link sends packets to the packet output buffer 52 in this router's line interface module.
  • Each input link 58 is associated with an input buffer 62 and each output link 60 is associated with an output register 64 .
  • the input buffers and output registers are connected together by a 7 ⁇ 7 crossbar switch 66 .
  • the present invention can be practiced in fabric networks with different topologies and different numbers of dimensions.
  • more than one link may be provided to and from the line interface.
  • two output links are provided from the fabric to the line interface bringing the total number of output links, and hence output registers, to eight.
  • the input buffers and output registers are connected by a 7 ⁇ 8 crossbar switch.
  • the second output link provides additional bandwidth to drain packets from the fabric network when a single node receives traffic simultaneously from many directions.
  • a virtual network is provided for each pair of output nodes.
  • Each of the seven input buffers 62 contains a buffer, of for example one flit, for each virtual network in the machine.
  • a 6 ⁇ 10 ⁇ 10 torus fabric provides 600 nodes.
  • a single virtual network is assigned to a pair of maximally distant output nodes in the network as minimal routes between these two nodes are guaranteed not to share any links and thus are guaranteed not to interfere with one another.
  • two virtual networks are provided for each pair of nodes to allow for two priorities in serving different classes of traffic.
  • there are 600 virtual networks two virtual networks for each of 300 pairs of nodes.
  • Each input buffer 62 contains space for 600 36-byte flits (21,600 bytes total).
  • each input buffer has storage for two flits for each virtual channel.
  • the size of a flit determines the maximum duty factor of a single virtual channel and the fragmentation loss associated with rounding up packets to a whole number of flits.
  • the maximum bandwidth on a single fabric link that can be used by a single virtual channel can be no more than the flit size times the number of flits per virtual channel buffer divided by the time for a header flit to propagate through a router.
  • a flit is 36 Bytes, there is a single flit per buffer, and it takes ten 10 ns clocks for a header flit to propagate through a router, the maximum bandwidth per virtual channel is 360 MBytes/s. If the link bandwidth is 1200 MBytes/s, a single virtual channel can use at most 30% of the link bandwidth. If the flit buffer capacity is at least as large as the link bandwidth divided by the router latency (120 Bytes in this case), a single virtual channel can use all of the link capacity.
  • flit size As large as possible both to maximize the link bandwidth that a single virtual channel can use and also to amortize the overhead of flit processing over a larger payload.
  • a large flit reduces efficiency by causing internal fragmentation when small packets must be rounded up to a multiple of the flit size. For example, if the flit size is 64 Bytes, a 65 Byte packet must be rounded up to 128 Bytes, incurring nearly 50% fragmentation overhead.
  • One method for gaining the advantages of a large flit size without incurring the fragmentation overhead is to group adjacent flits into pairs that are handled as if they were a single double-sized flit. For all but the last flit of an odd-length message, all flit processing is done once for each flit pair, halving the flit processing overhead. The last odd flit is handled by itself. However, these odd single-flits are rare and so their increased processing overhead is averaged out. In effect, flit pairing is equivalent to having two sizes of flits—regular sized and double sized.
  • flits are 36 Bytes in length and are grouped into pairs of 72 Bytes total length.
  • a virtual channel of a fabric router destined for an output node is free when the head flit of a packet arrives for that virtual channel, the channel is assigned to that packet for the duration of the packet, that is, until the worm passes. However, multiple packets may be received at a router for the same virtual channel through multiple inputs. If a virtual channel is already assigned, the new head flit must wait in its flit buffer. If the channel is not assigned, but two head flits for that channel arrive together, a fair arbitration must take place. With limited buffer space assigned to each virtual channel, a block at an output node from the fabric is promptly seen through backpressure to the input line interface for each packet on that virtual network. The input line interface can then take appropriate action to reroute subsequent packets. With assignment of different destinations to different virtual networks, interference between destinations is avoided. Traffic is isolated.
  • a flit is not enabled for transfer across a link until a signal is received from the downstream node that an input buffer at that node is available for the virtual channel.
  • FIGS. 9, 10A and 10 B An elementary flow control process is illustrated in FIGS. 9, 10A and 10 B.
  • a number M of the enabled flits in each input buffer are selected by a fair arbitration process 68 to compete for access to their requested output links.
  • the selected flits forward their output link requests to a second arbiter 70 associated with the requested output link.
  • This arbiter selects at most one flit to be forwarded to each output link.
  • the winning flits are then forwarded over the crossbar switch to the output register and then transmitted over the output link to the next router in the switch fabric.
  • flits remain in the input buffer, backpressure being applied upstream.
  • the fabric router at each line-interface module uses credit-based flow-control to regulate the flow of flits through the fabric network.
  • a presence vector, P and an enabled vector, E. V, as illustrated in FIG. 10A, is the number of virtual networks and hence the number of entries in the buffer.
  • a bit of the presence vector, P[v,i], is set if the input buffer i contains a flit from virtual network v.
  • Bit E[v,i] is set if this flit is enabled to take the next hop of the route to its destination link.
  • each output register associated with each output register is a V-bit credit vector, C, that mirrors the complement of the presence vector on the opposite end of the fabric link at the receiving node. That is, C[v,j] is set at a given outputj if P[v,i] is clear at the input port on the opposite side of the link. If C[v,j] is set, then the output register has a credit for the empty buffer at the opposite end of the link.
  • the input buffer storage is allocated separately to each virtual network while the output registers and associated physical channels are shared by the virtual networks.
  • the credit-based flow control method guarantees that a virtual network that is blocked or congested will not indefinitely tie up the physical channels since only enabled flits can compete in the arbitration for output links. Further, because only one or two flits per virtual network are stored in each input buffer, stiff backpressure is applied from any blocked output node to the forwarding engine of the input node.
  • Arbitration and flow control can be seen as an allocation problem which involves assigning virtual channels to packets, arriving from different input nodes and destined to common output nodes, and assigning physical channel bandwidth to flits destined to the same next node in the fabric path.
  • packets composed of one or more flits advance from their source to their destination through one or more fabric routers.
  • the head flit of a message arrives at a node on an input virtual channel. It can advance no further until it is assigned an output virtual channel.
  • each packet may route on only one virtual channel. If the virtual channel is free when the packet arrives, it is assigned to the arriving packet. If, however, the virtual channel is occupied when the packet arrives, the packet must wait until the output virtual channel becomes free. If one or more packets are waiting on a virtual channel when it is released, an arbitration is performed and the channel is assigned to one of the waiting packets.
  • a packet After a packet succeeds in acquiring the virtual channel it must compete for physical channel bandwidth to advance its flits to the next node of its route.
  • a packet can only compete for bandwidth when two conditions hold. First, at least one flit of the packet must be present in the node. Second, there must be at least one flit of buffer space available on the next node. If these two conditions do not hold, there is either no flit to forward or no space in which to put the flit at the next hop. If both conditions hold for a given packet, then that packet is enabled to transmit a flit. However, before a flit can be sent, the packet must win two arbitrations. Among all the enabled packets, for a flit of the packet to advance to the next node of the route, a packet must be granted both an output port from the input flit buffer and the output physical channel.
  • a bit of state, H is associated with each of V input virtual channels on each of K input controllers. This bit is set if the input virtual channel contains a head flit that has not yet been assigned an output virtual channel.
  • the bit array H [1:V, 1:K] determines the demand for virtual channels.
  • a bit of state, B is associated with each of V output virtual channels in each of K output controllers. This bit is set if the output virtual channel is busy.
  • the bit array B[1:V,1:K] determines the allocation status of the virtual channels.
  • a packet is enabled to forward a flit when it is not waiting for a virtual channel, when there is at least one flit present in its buffer, and when there is at least one flit of storage available at the next hop.
  • all of the enabled channels in the input buffer arbitrate for the M output ports of the input buffer. This requires a V-input M-output arbiter.
  • the winners of each local arbitration arbitrate for the output virtual channels, this takes K, MK-input arbiters.
  • the P and C arrays are also 4200 elements each. Between the C-multiplexers and the arbiters, each element requires about 40 gates. Thus the bandwidth allocation requires an additional 160,000 logic gates.
  • the logic required to perform allocation can be greatly reduced by observing that for large numbers of virtual channels, the state of most virtual channels is unchanged from one cycle to the next. During a given flit interval, at most one virtual channel of a given input controller can have a flit arrive, and at most M virtual channels can have a flit depart. The remaining V-M-1 virtual channels are unchanged.
  • a third type of event determines which virtual channels participate in arbitration for physical channel bandwidth. Each time a flit arrives at a node, an arrival event is queued to check the state of the virtual channel associated with that flit. A similar check is made in response to a credit event which is enqueued each time the downstream buffer state of a virtual channel is changed. Examining the state of a virtual channel may lead to allocation of the channel to a packet and/or scheduling a flit for transport to the downstream node. In the latter case, a transport event is generated and enqueued. Only virtual channels with pending transport events participate in the arbitration for input buffer output ports and output physical channels. Once a flit wins both arbitrations and is in fact transported, the corresponding transport event is dequeued.
  • FIGS. 11A and 11B Logic to implement event-driven channel allocation is illustrated in FIGS. 11A and 11B.
  • FIG. 11A shows one of seven input controllers while FIG. 11B shows one of seven output controllers.
  • Each input controller is connected to each output controller at the three points shown.
  • Each input controller includes a destination table 72 , an arrival queue 74 , a credit queue 76 and a flit buffer 62 .
  • a virtual channel state table 80 and a transport queue 82 are included in each output controller.
  • the Figures show an event-driven arrangement where the virtual channel state is associated with each output controller. It is also possible to associate the state with the input controllers. Placing the state table in the output controller has the advantage that virtual channel allocation (which must be performed at the output controller) and bandwidth allocation (which can be performed at either end) can be performed using the same mechanism.
  • the destination tables, flit buffers, and virtual-channel state tables have entries for each virtual channel, while the three queues require only a small number of entries.
  • the destination table records the output port required by the current packet on that input channel, if any, (i.e., F a ), the flit buffer 62 provides storage for one or more flits of the packet, and the state of the output virtual channel is recorded in the state table.
  • the arrival, credit, and transport queues contain entries for each event that has occurred but has not yet been processed.
  • the dual-ported arrival queue, credit queue, and flit buffer also serve as a synchronization point as illustrated by the dashed line in FIG. 11A.
  • the left port of these three structures, and all logic to the left of the dotted line (including the destination table), operates in the clock domain of the input channel.
  • the right port of these three structures, and all logic to the right of the dotted line, including FIG. 11B, operate in the internal clock domain of the router.
  • arriving flits are synchronized to the local clock domain before accessing the arrival queue or destination table.
  • an allocation of a virtual channel or a physical channel flit cycle is performed through a three-event sequence of arrival, transport, and credit.
  • An arriving flit arbitrates for access to the state table for its output virtual channel.
  • the table is updated to account for the arriving flit and, if the channel is allocated to its input controller and a credit is available, a transport request is queued to move the flit.
  • the transport request arbitrates for access to the input flit buffer.
  • access is granted the flit is removed from the buffer and forwarded to the next node.
  • a flit is removed from the flit buffer a credit is queued to be transmitted to the previous node.
  • credits arrive at a node they update the virtual channel state table and enable any flits that are waiting on zero credits.
  • the arrival of a tail flit at a node updates the virtual channel state to free the channel.
  • the destination table 72 is accessed, and an arrival event, tagged with the required output port number, is enqueued at 74 .
  • the destination table is updated by the head flit of each packet to record the packet's output port and then consulted by the remaining flits of a packet to retrieve the stored port number.
  • An arrival event includes a virtual channel identifier (10 bits), a head bit, and an output port identifier (3 bits).
  • arrival events at the heads of each of the K input controller's arrival queues are distributed to arbiters 84 at each output controller.
  • arrival events, that require that output port arbitrate for access to the state table 80 .
  • the winning arrival events are dequeued and processed.
  • the losing events remain queued and compete again for access to the state table on the subsequent cycle.
  • the virtual channel state table 80 maintains a state vector, S[v,k] containing:
  • the first three of these (B,I,W) are associated with the allocation of output virtual channels to input virtual channels while the last two (C,P) are associated with the allocation of physical channel bandwidth to flits.
  • the number of flits in each element of the state vector may be varied as appropriate. For example, if more flit buffers are available on each node, then more bits would be allocated to the C and P field. Much of the state here corresponds directly to the state bits in the combinational logic approach.
  • the B, C, and P bits are identical.
  • the W bits correspond to the H bits, qualified by required output channel.
  • the number of bits in the waiting vector, W can be increased to provide improved fairness of arbitration. With just a single bit, a random or round-robin arbitration can be performed. If 3-bits are stored for each entry, a queuing arbitration can be performed with the input virtual channels serviced in the order that their requests arrived. Each virtual channel in effect “takes a number” when it arrives at the state table, and this number is stored in its entry of the W vector. When the channel becomes free, the “next” number is served.
  • Tail flits here result in a pair of actions: the tail flit is first processed as a body flit to allocate the bandwidth to move the tail flit, the tail flit is then processed as a tail flit to free the channel and possibly move a pending head flit. Unless the transport queue can accept two inputs simultaneously, this must be done sequentially as a tail flit arrival may enqueue two flits for transport: the tail flit itself, and the head flit of a waiting packet.
  • Each entry in the transport queue (v,i,k) is a request to move the contents of flit buffer v on input controller i to output k. Before the request can be honored, it must first arbitrate at 86 for access to flit buffer i. On each cycle, the transport requests at the head of the queues in each of the K output controllers are presented to their requested input buffers where they arbitrate for access to the M ports. The winning transport requests are dequeued and their flits forwarded to the appropriate output multiplexer 88 . The other requests remain in the transport queues. There is no need to arbitrate for a fabric link here, as the output controller associated with each of the outgoing fabric links makes at most one request per cycle.
  • a credit is generated to reflect the space vacated in the input flit buffer. This credit is enqueued in a credit queue 76 for transmission to the output controller of the previous node.
  • a credit for virtual channel v arrives at output controller k of a node, it reads the state vector, S[v,k], to check if any flits are waiting on credits. It proceeds as follows depending on the state of the presence count.
  • the output controller processes body flits and tail flits differently.
  • the output controller processes body flits according to techniques 4 and 5, and processes tail flits according to techniques 6, 7 and 8, described above.
  • a head flit of a data packet can follow directly on the heels of a tail flit of a previous data packet.
  • a data packet can occupy a virtual channel while one or more data packets (i.e., one or more head flits) wait for that virtual channel.
  • the output controller queues the tail flit for transmission to the next fabric router downstream, and allocates the virtual channel to one of the waiting data packets (i.e., one of the waiting head flits). Accordingly, the output controller grants the virtual channel to a new data packet as soon as the fabric router queues the tail flit for transmission.
  • the output controller processes body flits and tail flits similarly.
  • the output controller processes both body and tail flits according to techniques 4 and 5, as described above.
  • the output controller queues the tail flit for transmission without freeing the virtual channel or allocating the virtual channel to a waiting data packet.
  • a fabric router that is downstream from the present fabric router receives, processes and forwards the tail flit, the downstream fabric router generates a special tail credit in place of the normal credit. The downstream fabric router sends this tail credit upstream to the present fabric router.
  • the output controller of the present fabric router When the output controller of the present fabric router receives the tail credit, the output controller increments the credit count of the virtual channel in a manner similar to that for normal credits, and frees the virtual channel. At this point, if there are data packets waiting for the virtual channel, the output controller performs an arbitration procedure to assign the virtual channel to one of the waiting data packets.
  • the fabric router according to the alternative event-driven embodiment has slower performance than the fabric router of the event-driven embodiment that processes body and tail flits differently.
  • the fabric router of the alternative embodiment queues a transport request for transmission of a tail flit to a downstream router, the virtual channel assigned to the data packet of that tail flit becomes idle. The virtual channel is not available for use by another data packet until the fabric router receives a tail credit from the downstream fabric router.
  • the alternative event-driven embodiment results in considerably simpler logic for several reasons.
  • a head flit of a next packet can follow directly on the heels of the tail flit of a present packet, and two or more packets may be queued in a single virtual channel's flit buffer at the same time.
  • Each event-driven method of allocation described here reduces the size and complexity of the logic required for allocation in two ways.
  • the state information for the virtual channels can be stored in a RAM array with over 10 x the density of the flip-flop storage required by the combinational logic approach.
  • the selection and arbitration logic is reduced by a factor of V. Arbitration for access to the virtual channel buffers is only performed on the channels for which changes have occurred (flit or credit arrival), rather than on all V channels.
  • virtual networks may be constructed with overlapping buffer assignments by using dispersion codes.
  • dispersion codes For example a network with N nodes (and hence N virtual networks) and V virtual channels (flit buffers) on each node.
  • Each node, j is assigned a dispersion code, a V-bit bit vector that specifies which of the V virtual channels this virtual network is permitted to use. That is, the vector contains a 1 in each bit position that corresponds to a permitted virtual channel and 0s in all other positions.
  • the dispersion codes must be assigned so that for every pair of virtual networks, A and B, the bit vector corresponding to A contains a 1 in a position at which the bit vector corresponding to B contains a zero.
  • VN virtual network
  • the span of a virtual network is the set of channels used by the virtual network. With minimal routing, the span of each VN covers half of the channels in the cycle.
  • the span of the VN rooted at the shaded node in the clockwise direction consists of the three heavily shaded channels. Its span in the other direction consists of the channels that run in the opposite direction to the three lightly shaded channels.
  • a sufficient condition to avoid deadlock is for each VN to have at least one VC that it shares only with VNs that overlap either entirely or not at all. With this approach, each VC is always able to make progress (within one dimension). It is possible to avoid deadlock with a less restrictive assignment of VCs to VNs since it is only necessary to break the deadlock at one point in the cycle.
  • a deadlock can form if a packet making a NW turn blocks on a packet making a WS turn which in turn blocks on a packet making a SE turn, which in turn blocks on a packet making an EN turn, which in turn blocks on the original packet.
  • each VN is itself deadlock-free as in each quadrant about the destination node, only two directions, and hence only two (of eight possible) turns, are used.
  • packets In the region NE of the destination node, for example, packets only travel S and W and hence only SW and WS turns are allowed. This is one turn from the clockwise cycle and one turn from the counterclockwise cycle. If VNs share VCs, however, deadlock can occur as the turns missing from one VN may be present in other VNs sharing the same VCs.
  • a sufficient method for preventing inter-dimension deadlock is to (1) make the dimensions individually deadlock free and (2) to require that each VN (a) disallow one of the four turns in both the CW and CCW directions, and (b) have at least one VC that is shared only with VNs disallowing the same turn. This is quite restrictive as it forces two of the four quadrants about the destination node to route in dimension order.
  • a strategy that permits more flexible routing, but a more costly one in terms of VCs is to associate two VNs with each destination node, one for all quadrants but the NW that disallows the SE and ES turns and one for all quadrants but the SE that disallows the NW and WN turns. VNs from each class can then share VCs without restriction as long as they remain deadlock free in each dimension independently.
  • Each destination is assigned a VC pair (one VC that disallows SE/ES and one that disallows NW/WN) associated with its x-coordinate (mod k x /2), where k x is the number of nodes in the x-dimension. Assigning this VC pair guarantees non-overlap and hence single-dimension deadlock freedom in the x-dimension.
  • Each destination is assigned a VC pair associated with its y-coordinate (mod k y /2). This guarantees single-dimension deadlock freedom in the y-dimension.
  • the routing tables are built so that nodes in the NW quadrant of a destination are restricted to the VN containing the VCs that disallow NW/WN and nodes in the SE quadrant are restricted to the other VN. Nodes in the NE and SW quadrants may use either VN.
  • VN excludes the turns associated with the NWU (North, West, Up) octant (SE,ES,SD,DS,ED,DE) while the other excludes the turns associated with the SED (South,East,Down) octant.
  • An example 1024-node network organized as 8 ⁇ 8 ⁇ 16 needs a minimum of 16VC pairs (32VCs) to assign one VC pair to each symmetric pair of planes in the network.
  • Deflection routing is another method for making traffic destined for different fabric outputs substantially non-blocking. With deflection routing all of the packets are allowed to share virtual channels without restriction. When a packet blocks, however, rather than waiting for the required virtual channel to become available, it is misrouted or “deflected” to the packet memory of the present fabric router's line interface. It is reinjected into the fabric at a later time. Because a packet destined for fabric output A is never allowed to block, it cannot indefinitely delay a packet destined for fabric output B.
  • Deflection routing has several properties that make it less desirable than using virtual networks to achieve isolation between packets destined for different outputs.
  • deflection routing greatly increases the bandwidth requirements of the packet memory as this memory must have sufficient bandwidth to handle deflected packets and their reinjection in addition to their normal input and output.
  • deflection routing is limited by the finite size of the packet memory on each line interface. Under very high congestion, as often occurs in IP routers, the packet memory may be completely filled with deflected packets. When this occurs, packets must be dropped to avoid interference and possibly deadlock.
  • the event-driven allocation logic described in connection with FIGS. 11A, 11B and 12 is suitable for use in an internet switch fabric router such as that shown in FIG. 8. It should be understood that the event-driven allocation logic is also suitable for use in a multicomputer router. For example, with reference to FIG. 8, using a multicomputer interface as the line interface circuit 48 in combination with the event-driven allocation logic forms a multicomputer router for a multicomputer system such as that shown in FIG. 4.
  • the event-driven allocation logic is suitable for assigning input physical channels to output physical channels directly.
  • a single copy of the allocation logic is used.
  • the logic is activated by the occurrence of an event.
  • portions of the state vectors for the virtual channel state table 80 have been described as including individual bits for indicating particular information such as busy or wait information.
  • Other structures can be used in place of such bits such as scalar state fields that encode the information.
  • each input physical channel is shared by multiple input virtual channels, and each output physical channel is shared by multiple output virtual channels.
  • the allocation logic is suitable for providing a single virtual channel for each physical channel. In such a case, each input physical channel is used by only one input virtual channel, and each output physical channel is used by only one output virtual channel.
  • the state table logic essentially generates assignments that associate input physical channels with output physical channels.

Abstract

A router routes data packets. The router includes input physical channels for incrementally receiving portions of the data packets, and output physical channels. The router further includes data buffers, coupled with the input and output physical channels, for storing the portions of the data packets. The router further includes control circuitry, coupled with the input and output physical channels and the data buffers, for generating virtual channel assignments that assign virtual channels to the data packets, and generating physical channel assignments that assign the output physical channels to the virtual channels. Each of the assignments is generated in response to queued arrival and credit events. The portions of the data packets are forwarded from the data buffers to the output physical channels according to the generate virtual and physical channel assignments.

Description

    RELATED APPLICATIONS
  • This application is a continuation of application Ser. No. 09/887,960, filed Jun. 22, 2001, which is a continuation of application Ser. No. 09/084,636, filed May 26, 1998, which is a continuation-in-part of application Ser. No. 08/918,556 filed Aug. 22, 1997. The entire teachings of the above applications are incorporated herein by reference.[0001]
  • BACKGROUND OF THE INVENTION
  • Data communication between computer systems for applications such as web browsing, electronic mail, file transfer, and electronic commerce is often performed using a family of protocols known as IP (internet protocol) or sometimes TCP/IP. As applications that use extensive data communication become more popular, the traffic demands on the backbone IP network are increasing exponentially. It is expected that IP routers with several hundred ports operating with aggregate bandwidth of Terabits per second will be needed over the next few years to sustain growth in backbone demand. [0002]
  • As illustrated in FIG. 1, the Internet is arranged as a hierarchy of networks. A typical end-user has a [0003] workstation 22 connected to a local-area network or LAN 24. To allow users on the LAN to access the rest of the internet, the LAN is connected via a router R to a regional network 26 that is maintained and operated by a Regional Network Provider or RNP. The connection is often made through an Internet Service Provider or ISP. To access other regions, the regional network connects to the backbone network 28 at a Network Access Point (NAP). The NAPs are usually located only in major cities.
  • The network is made up of links and routers. In the network backbone, the links are usually fiber optic communication channels operating using the SONET (synchronous optical network) protocol. SONET links operate at a variety of data rates ranging from OC-3 (155 Mb/s) to OC-192 (9.9 Gb/s). These links, sometimes called trunks, move data from one point to another, often over considerable distances. [0004]
  • Routers connect a group of links together and perform two functions: forwarding and routing. A data packet arriving on one link of a router is forwarded by sending it out on a different link depending on its eventual destination and the state of the output links. To compute the output link for a given packet, the router participates in a routing protocol where all of the routers on the Internet exchange information about the connectivity of the network and compute routing tables based on this information. [0005]
  • Most prior art Internet routers are based on a common bus (FIG. 2) or a crossbar switch (FIG. 3). In the bus-based switch of FIG. 2, for example, a given SONET [0006] link 30 is connected to a line-interface module 32. This module extracts the packets from the incoming SONET stream. For each incoming packet, the line interface reads the packet header, and using this information, determines the output port (or ports) to which the packet is to be forwarded. To forward the packet, the line interface module arbitrates for the common bus 34. When the bus is granted, the packet is transmitted over the bus to the output line interface module. The module subsequently transmits the packet on an outgoing SONET link 30 to the next hop on the route to its destination.
  • Bus-based routers have limited bandwidth and scalability. The central bus becomes a bottleneck through which all traffic must flow. A very fast bus, for example, operates a 128-bit wide datapath at 50 MHz giving an aggregate bandwidth of 6.4 Gb/s, far short of the Terabits per second needed by a backbone switch. Also, the fan-out limitations of the bus interfaces limit the number of ports on a bus-based switch to typically no more than 32. [0007]
  • The bandwidth limitation of a bus may be overcome by using a crossbar switch as illustrated in FIG. 3. For [0008] N line interfaces 36, the switch contains N(N-1) crosspoints, each denoted by a circle. Each line interface can select any of the other line interfaces as its input by connecting the two lines that meet at the appropriate crosspoint 38. To forward a packet with this organization, a line interface arbitrates for the required output line interface. When the request is granted, the appropriate crosspoint is closed and data is transmitted from the input module to the output module. Because the crossbar can simultaneously connect many inputs to many outputs, this organization provides many times the bandwidth of a bus-based switch.
  • Despite their increased bandwidth, crossbar-based routers still lack the scalability and bandwidth needed for an IP backbone router. The fan-out and fan-in required by the crossbar connection, where every input is connected to every output, limits the number of ports to typically no more than 32. This limited scalability also results in limited bandwidth. For example, a state-of-the-art crossbar might operate 32 32-bit channels simultaneously at 200 MHz giving a peak bandwidth of 200 Gb/s. This is still short of the bandwidth demanded by a backbone IP router. [0009]
  • SUMMARY OF THE INVENTION
  • In a previous related application (application Ser. No. 08/918,556, filed Aug. 22, 1997) which has been incorporated by reference, a novel Internet Switch Router was presented. The internet router receives data packets from a plurality of internet links and analyzes header information in the data packets to route the data packets to output internet links. The internet router comprises a fabric of fabric links joined by fabric routers, the number of fabric links to each fabric router being substantially less than the number of internet links served by the internet router. The fabric links and fabric routers provide data communication between internet links through one or more hops through the fabric. [0010]
  • A preferred embodiment of the present invention relates to an event-driven technique for handling virtual channels in a router that routes data packets. The router includes input physical channels that receive portions of the data packets, output physical channels, and data buffers that are coupled with the input and output physical channels. The data buffers store the portions of the data packets. The router further includes control circuitry that is coupled with the input and output physical channels and the data buffers. The control circuitry generates channel assignments in response to queued events, and outputs the portions of the data packets through the output physical channels according to the generated channel assignments. Preferably, the control circuitry assigns virtual channels to the data packets, assigns the output physical channels to the virtual channels in response to the queued events. In one embodiment, the router further includes a line interface coupled with an input physical channel and an output physical channel such that the router forms an internet switch fabric router. In another embodiment, the router further includes a multicomputer interface coupled with an input physical channel and an output physical channel such that the router forms a multicomputer router for a multicomputer system. [0011]
  • According to the preferred embodiment, the control circuitry includes output controllers that correspond to the output physical channels. Each output controller has a state table that records states of output virtual channels, and identifies input virtual channels connected with the output virtual channels. The input virtual channels hold the portions of the data packets. [0012]
  • Each output controller further includes an arbiter that is adapted to select arrival events from multiple arrival queues, and state table logic that accesses that output controller's state table to assign output virtual channels in response to the selected arrival events. Each state table includes state vectors that correspond to output virtual channels. [0013]
  • Each state vector includes a busy indication that indicates whether that state vector's corresponding output virtual channel is assigned to a data packet. Additionally, each state vector includes a wait field that indicates which of the input physical channels have received at least portions of data packets awaiting assignment to that state vector's corresponding output virtual channel. Each wait field further indicates an order in which the input physical channels received the data packet portions. Each state vector further includes a present field that indicates a number of portions of a data packet present for transferring through that state vector's output virtual channel to a downstream router. Furthermore, each state vector includes a credit field that indicates an amount of buffer space available in a downstream router coupled to that state vector's corresponding output virtual channel. [0014]
  • Each output controller further includes a transport circuit that queues transport requests when that output controller's state table is accessed in response to the queued events, and forwards data packets through that output controller's output physical channel according to the queued transport requests. The portions of the data packets are flits of the data packets. Each transport circuit transmits a flit in response to a queued transport request. [0015]
  • Each output controller receives credit events from a downstream router and, in response to the received credit events, queues a transport request to transport a portion of a data packet over the corresponding output physical channel. In one embodiment, the queued events include tail credit events, and the output controllers free virtual channels only in response to the tail credit events. [0016]
  • The control circuitry can be shared by multiple virtual channels and activated to handle a particular virtual channel in response to an event. [0017]
  • Preferably, the control circuitry is adapted to generate virtual channel assignments that assign virtual channels to the data packets, and generate physical channel assignments that assign the output physical channels to the virtual channels. Each of the assignments can be generated in response to queued arrival and credit events. The portions of the data packets are forwarded from the data buffers to the output physical channels according to the generated virtual and physical channel assignments.[0018]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The foregoing and other objects, features and advantages of the invention will be apparent from the following more particular description of preferred embodiments of the invention, as illustrated in the accompanying drawings in which like reference characters refer to the same parts throughout the different views. The drawings are not necessarily to scale, emphasis instead being placed upon illustrating the principles of the invention. [0019]
  • FIG. 1 illustrates an internet configuration of routers to which the present invention may be applied. [0020]
  • FIG. 2 is a prior art bus-based internet router. [0021]
  • FIG. 3 is a prior art crossbar switch internet router. [0022]
  • FIG. 4 illustrates a two-dimensional torus array previously used in direct multiprocessor networks. [0023]
  • FIG. 5 illustrates an indirect network. [0024]
  • FIG. 6 illustrates tree saturation of a network. [0025]
  • FIG. 7 illustrates a three-dimensional fabric embodying the present invention. [0026]
  • FIG. 8 illustrates the line interface module of a node in the array of FIG. 7. [0027]
  • FIG. 9 illustrates a fabric router used in the embodiment of FIGS. 7 and 8. [0028]
  • FIGS. 10A and 10B illustrate buffers, registers and control vectors used in the router of FIG. 9. [0029]
  • FIGS. 11A and 11B illustrate alternative allocation control logic provided in input and output controllers, respectively, of the router of FIG. 9. [0030]
  • FIG. 12 illustrates a virtual channel state table used in the router of FIG. 9. [0031]
  • FIG. 13 illustrates a loop used to demonstrate dispersion routing.[0032]
  • DETAILED DESCRIPTION OF THE INVENTION
  • A description of preferred embodiments of the invention follows. [0033]
  • In implementing an internet router, the present invention borrows from multiprocessor technology and modifies that technology to meet the unique characteristics and requirements of internet routers. In particular, each internet router is itself configured as either a direct or indirect network. [0034]
  • Multicomputers and multiprocessors have for many years used direct and indirect interconnection networks to send addresses and data for memory accesses between processors and memory banks or to send messages between processors. Early multicomputers were constructed using the bus and crossbar interconnects shown in FIGS. 2 and 3. However, to permit these machines to scale to larger numbers of processors they switched to the use of direct and indirect interconnection networks. [0035]
  • A direct network, as illustrated in FIG. 4, is comprised of a set of [0036] processing nodes 40, each of which includes a router, R, along with a processor, P, and some memory, M. These multicomputer routers should not be confused with the IP routers described above. They perform only forwarding functions and only in the very constrained environment of a multicomputer interconnection network. Each multicomputer router has some number, four in the example, of connections to other routers in the network. A processing node may send a message or make a memory access to any other node in the system. It is not limited to communicating only with the immediately adjacent nodes. Messages to nodes that are further away are forwarded by the routers along the path between the source and destination nodes.
  • The network shown in FIG. 4 is said to be direct since the channels are made directly between the processing nodes of the system. In contrast, FIG. 5 shows an indirect network in which the connections between [0037] process nodes 42 are made indirectly, via a set of router-only switch nodes 44. Direct networks are generally preferred for large machines because of the scalability. While an indirect network is usually built for a fixed number of nodes, a direct network grows with the nodes. As more nodes are added, more network is added as well since a small piece of the network, one router, is included within each node.
  • Multicomputer networks are described in detail in Dally, W.J., “Network and Processor Architectures for Message-Driven Computing,” [0038] VLSI and PARALLEL COMPUTATION, Edited by Suaya and Birtwistle, Morgan Kaufmann Publishers, Inc., 1990, pp. 140-218. It should be stressed that multicomputer networks are local to a single cabinet or room as opposed to the Internet backbone network which spans the continent.
  • Direct and indirect multicomputer networks are scalable. For most common topologies the fan-in and fan-out of each node is constant, independent of the size of the machine. Also, the traffic load on each link is either constant or a very slowly increasing function of machine size. Because of this scalability, these networks have been successfully used to construct parallel computers with thousands of processing nodes. [0039]
  • Unfortunately, while multicomputer networks are scalable, they give up the two properties of crossbar networks that were crucial to IP switching: non-blocking behavior and stiff backpressure. Most economical direct and indirect networks are blocking. Because links are shared between multiple source-destination pairs, a busy connection between a pair of nodes can block the establishment of a new connection between a completely separate pair of nodes. Because packets in multicomputer networks are forwarded over multiple links with considerable queuing at each link, the backpressure, if any, from an overloaded destination node to a transmitting source node is late and soft if present at all. [0040]
  • The blocking nature of these switches and the soft nature of this backpressure is not a problem for a multicomputer because multicomputer traffic is self-throttling. After a processor has sent a small number of messages or memory requests (typically 1-8), it cannot send any further messages until it receives one or more replies. Thus, when the network slows down because of blocking or congestion, the traffic offered to the network is automatically reduced as the processors stall awaiting replies. [0041]
  • An IP switch, on the other hand, is not self-throttling. If some channels in the network become blocked or congested, the offered traffic is not reduced. Packets continue to arrive over the input links to the switch regardless of the state of the network. Because of this, an IP switch or router built from an unmodified multicomputer network is likely to become tree-saturated, and deny service to many nodes not involved in the original blockage. Moreover transient conditions often exist in IP routers where, due to an error in computing routing tables, a single output node can be overloaded for a sustained period of time. This causes no problems with a crossbar router as other nodes are unaffected. With a multicomputer network, however, this causes tree saturation. [0042]
  • Consider the situation illustrated in FIG. 6. A single node in a 2-dimensional mesh network, node ([0043] 3,3) labeled a, is overloaded with arriving messages. As it is unable to accept messages off the channels at the rate they are arriving, all four input channels to the node (b,a), (c,a), (d,a), (e,a), become congested and are blocked. Traffic arriving at nodes b-e that must be forwarded across these blocked links cannot make progress and will back up along the edges into nodes b-e. For example, traffic into node b backs up along (f,b), (g,b), and (h,b). If the blockage persists, the channels into f-h and related nodes become blocked as well and so on. If the overload on node a persists, eventually most of the channels in the network will become blocked as a tree of saturation expands outward from node a.
  • The major problem with tree saturation is that it affects traffic that is not destined for node a. A packet from ([0044] 1,4) to (5,3) for example may be routed along a path (dotted line) that includes (fb) and (b,a) for example. Since these links are blocked, traffic from node (1,4) to node (5,3) is blocked even though neither of these nodes is overloaded.
  • The router of the present invention overcomes the bandwidth and scalability limitations of prior-art bus- and crossbar- based routers by using a multi-hop interconnection network, in particular a 3-dimensional torus network, as a router. With this arrangement, each router in the wide-area backbone network in effect contains a small in-cabinet network. To avoid confusion we will refer to the small network internal to each router as the switching fabric and the routers and links within this network as the fabric routers and fabric links. [0045]
  • Unlike multicomputer networks, the switching fabric network is non-blocking and provides stiff backpressure. These crossbar-like attributes are achieved by providing a separate virtual network for each destination node in the network. [0046]
  • Typical packets forwarded through the internet range from 50 bytes to 1.5 Kbytes. For transfer through the fabric network of the internet router of the present invention, the packets are divided into segments, or flits, each of 36 bytes. At least the header included in the first flit of a packet is modified for control of data transfer through the fabric of the router. In the preferred router, the data is transferred through the fabric in accordance with a wormhole routing protocol. [0047]
  • Each virtual network comprises a set of buffers. One or more buffers for each virtual network are provided on each node in the fabric. Each buffer is sized to hold at least one flow-control digit or flit of a message. The virtual networks all share the single set of physical channels between the nodes of the real fabric network. A fair arbitration policy is used to multiplex the use of the physical channels over the competing virtual networks. Each virtual network has a different set of buffers available for holding the flits of its messages. [0048]
  • For each pair of virtual networks A and B, the set of buffers assigned to A contains at least one buffer that is not assigned to B. Thus if network B is blocked, A is able to make progress by forwarding messages using this buffer that is not shared with B although it may be shared with some other virtual network. [0049]
  • One simple method for constructing virtual networks is to provide a separate flit buffer, a virtual channel, on each node for each virtual network and thus for each destination. For example, in a machine with N=512 nodes and hence 512 destinations, each node would contain 512 distinct flit buffers. Buffer i on each node is used only to hold flits of messages destined for node i. This assignment clearly satisfies the constraints above as each virtual network is associated with a singleton set of buffers on each node with no sharing of any buffers between virtual networks. If a single virtual network becomes congested, only its buffers are affected, and traffic continues on the other virtual networks without interference. An alternative dispersive approach is discussed below. [0050]
  • The preferred router is a 3-dimensional torus network of nodes as illustrated in FIG. 7. Each node N comprises a line interface module that connects to incoming and outgoing SONET internet links. Each of these line-interface nodes contains a switch-fabric router that includes fabric links to its six neighboring nodes in the torus. IP packets that arrive over one SONET link, say on node A, are examined to determine the SONET link on which they should leave the internet router, say node B, and are then forwarded from A to B via the 3-D torus switch fabric. [0051]
  • The organization of each node or line-interface module is illustrated in FIG. 8. Packets arrive over the [0052] incoming SONET link 46, and the line interface circuit 48 converts the optical input to electrical signals and extracts the packets and their headers from the incoming stream. Arriving packets are then passed to the forwarding engine hardware 50 and are stored in the packet memory 52. The forwarding engine uses the header of each packet to look up the required output link for that packet. In conventional IP router fashion, this lookup is performed by traversing a tree indexed by the header fields. The leaves of the tree contain the required output link, as in a conventional IP router, and additionally include the route through the switch fabric to the output link. Finally, the packet along with its destination and route are passed to the fabric router 54 of the node for forwarding through the fabric to the output node. From the fabric router 54 of the output node, the packet is delivered through the packet buffer 52 of that node and through the line interface circuit 48 to the output link 56.
  • Packets in the internet router are forwarded from the line-interface module associated with the input trunk to the line-interface module associated with the output trunk using source routing. With source routing, the route of links through intermediate fabric routers is determined by a table lookup in the input module. This lookup is performed by the forwarding engine before presenting the packet to the fabric router. Alternative paths allow for fault tolerance and load balancing. [0053]
  • The source route is a 10-element vector where each element is a 3-bit hop field. Each hop field encodes the output link to be taken by the packet for one step of its route, one of the six inter-node links or the seventh link to the packet buffer of the present node. The eighth encoding is unused. This 10-element vector can be used to encode all routes of up to 10 hops which is sufficient to route between any pair of nodes in a 6×10×10 torus. Note that all 10 elements need not be used for shorter routes. The last used element selects the link to the [0054] packet buffer 52 or may be implied for a 10-hop route.
  • As the packet arrives at each fabric node along the route, the local forwarding vector entry for that packet is set equal to the leftmost element of the source route. The source route is then shifted left three bits to discard this element and to present the next element of the route to the next router. During this shift, the 3-bit code corresponding to the packet buffer of the present node is shifted in from the right. Subsequent flits in that packet follow the routing stored for that packet in the router. [0055]
  • One skilled in the art will understand that there are many possible encodings of the fabric route. In an alternative embodiment, the fact that packets tend to travel in a preferred direction in each dimension may be exploited to give a more compact encoding of the fabric route. In this embodiment, the route is encoded as a three-bit preferred direction followed by a multiplicity of two-bit hop fields. The three-bit field encodes the preferred direction (either positive or negative) for each dimension of the network (x, y, and z). For each step or hop of the route, a two-bit field selects the dimension over which the next hop is to be taken (0=x, 1=y, or 2=z). The direction of this hop is determined by the preferred direction field. The fourth encoding of the two-bit hop field ([0056] 3) is used as an escape code. When a hop field contains an escape code, the next hop field is used to determine the route. If this second hop field contains a dimension specifier (0-2), the hop is taken in the specified dimension in the direction opposite to the preferred direction and the preferred direction is reversed. If the second hop field contains a second escape code, the packet is forwarded to the exit port of the fabric router. With this encoding, as packets arrive at a fabric node, the local forwarding vector entry for that packet is computed from the preferred direction field and the leftmost hop field. The hop fields are then shifted left two bits to discard this field and to present the next field to the next router. During this shift, the two-bit escape code is shifted into the rightmost hop field. For packets that travel primarily in the preferred direction, this encoding results in a more compact fabric route as only two bits, rather than three, are needed to encode each hop of the route.
  • A fabric router used to forward a packet over the switch fabric from the module associated with its input link to the module associated with its output link is illustrated in FIG. 9. The router has seven [0057] input links 58 and seven output links 60. Six of the links connect to adjacent nodes in the 3-D torus network of FIG. 7. The seventh input link accepts packets from the forwarding engine 50 and the seventh output link sends packets to the packet output buffer 52 in this router's line interface module. Each input link 58 is associated with an input buffer 62 and each output link 60 is associated with an output register 64. The input buffers and output registers are connected together by a 7×7 crossbar switch 66.
  • One skilled in the art will understand that the present invention can be practiced in fabric networks with different topologies and different numbers of dimensions. Also, more than one link may be provided to and from the line interface. In an alternative embodiment two output links are provided from the fabric to the line interface bringing the total number of output links, and hence output registers, to eight. In this case, the input buffers and output registers are connected by a 7×8 crossbar switch. The second output link provides additional bandwidth to drain packets from the fabric network when a single node receives traffic simultaneously from many directions. [0058]
  • A virtual network is provided for each pair of output nodes. Each of the seven [0059] input buffers 62 contains a buffer, of for example one flit, for each virtual network in the machine. In one embodiment, a 6×10×10 torus fabric provides 600 nodes. A single virtual network is assigned to a pair of maximally distant output nodes in the network as minimal routes between these two nodes are guaranteed not to share any links and thus are guaranteed not to interfere with one another. Further, two virtual networks are provided for each pair of nodes to allow for two priorities in serving different classes of traffic. Thus, in the router, there are 600 virtual networks: two virtual networks for each of 300 pairs of nodes. Each input buffer 62 contains space for 600 36-byte flits (21,600 bytes total).
  • As an improvement, each input buffer has storage for two flits for each virtual channel. The size of a flit determines the maximum duty factor of a single virtual channel and the fragmentation loss associated with rounding up packets to a whole number of flits. The maximum bandwidth on a single fabric link that can be used by a single virtual channel can be no more than the flit size times the number of flits per virtual channel buffer divided by the time for a header flit to propagate through a router. For example, if a flit is 36 Bytes, there is a single flit per buffer, and it takes ten 10 ns clocks for a header flit to propagate through a router, the maximum bandwidth per virtual channel is 360 MBytes/s. If the link bandwidth is 1200 MBytes/s, a single virtual channel can use at most 30% of the link bandwidth. If the flit buffer capacity is at least as large as the link bandwidth divided by the router latency (120 Bytes in this case), a single virtual channel can use all of the link capacity. [0060]
  • One would like to make the flit size as large as possible both to maximize the link bandwidth that a single virtual channel can use and also to amortize the overhead of flit processing over a larger payload. On the other hand, a large flit reduces efficiency by causing internal fragmentation when small packets must be rounded up to a multiple of the flit size. For example, if the flit size is 64 Bytes, a 65 Byte packet must be rounded up to 128 Bytes, incurring nearly 50% fragmentation overhead. [0061]
  • One method for gaining the advantages of a large flit size without incurring the fragmentation overhead is to group adjacent flits into pairs that are handled as if they were a single double-sized flit. For all but the last flit of an odd-length message, all flit processing is done once for each flit pair, halving the flit processing overhead. The last odd flit is handled by itself. However, these odd single-flits are rare and so their increased processing overhead is averaged out. In effect, flit pairing is equivalent to having two sizes of flits—regular sized and double sized. The result is that long messages see the low processing overhead of double-sized flits and short messages see the low fragmentation overhead of regular sized flits. In the preferred embodiment, flits are 36 Bytes in length and are grouped into pairs of 72 Bytes total length. [0062]
  • If a virtual channel of a fabric router destined for an output node is free when the head flit of a packet arrives for that virtual channel, the channel is assigned to that packet for the duration of the packet, that is, until the worm passes. However, multiple packets may be received at a router for the same virtual channel through multiple inputs. If a virtual channel is already assigned, the new head flit must wait in its flit buffer. If the channel is not assigned, but two head flits for that channel arrive together, a fair arbitration must take place. With limited buffer space assigned to each virtual channel, a block at an output node from the fabric is promptly seen through backpressure to the input line interface for each packet on that virtual network. The input line interface can then take appropriate action to reroute subsequent packets. With assignment of different destinations to different virtual networks, interference between destinations is avoided. Traffic is isolated. [0063]
  • Once assigned an output virtual channel, a flit is not enabled for transfer across a link until a signal is received from the downstream node that an input buffer at that node is available for the virtual channel. [0064]
  • An elementary flow control process is illustrated in FIGS. 9, 10A and [0065] 10B. Each cycle, a number M of the enabled flits in each input buffer are selected by a fair arbitration process 68 to compete for access to their requested output links. The selected flits forward their output link requests to a second arbiter 70 associated with the requested output link. This arbiter selects at most one flit to be forwarded to each output link. The winning flits are then forwarded over the crossbar switch to the output register and then transmitted over the output link to the next router in the switch fabric. Until selected in this two-step arbitration process, flits remain in the input buffer, backpressure being applied upstream.
  • The fabric router at each line-interface module uses credit-based flow-control to regulate the flow of flits through the fabric network. Associated with each set of input buffers [0066] 62 are two V-bit vectors; a presence vector, P, and an enabled vector, E. V, as illustrated in FIG. 10A, is the number of virtual networks and hence the number of entries in the buffer. A bit of the presence vector, P[v,i], is set if the input buffer i contains a flit from virtual network v. Bit E[v,i] is set if this flit is enabled to take the next hop of the route to its destination link.
  • As illustrated in FIG. 10B, associated with each output register is a V-bit credit vector, C, that mirrors the complement of the presence vector on the opposite end of the fabric link at the receiving node. That is, C[v,j] is set at a given outputj if P[v,i] is clear at the input port on the opposite side of the link. If C[v,j] is set, then the output register has a credit for the empty buffer at the opposite end of the link. [0067]
  • Flits in an input buffer are enabled to take their next hop when their requested output link has a credit for their virtual network. For example, suppose the packet in virtual network v of input buffer i has selected output link j for the next hop of its route. We denote this as F[v,i]=j, where F is the forwarding vector. The flit in this input buffer is enabled to take its next hop when two conditions are met. First, it must be present, P[v,i]=1, and second, there must be a credit for buffer space at the next hop, C[v,j]=1. [0068]
  • The input buffer storage is allocated separately to each virtual network while the output registers and associated physical channels are shared by the virtual networks. The credit-based flow control method guarantees that a virtual network that is blocked or congested will not indefinitely tie up the physical channels since only enabled flits can compete in the arbitration for output links. Further, because only one or two flits per virtual network are stored in each input buffer, stiff backpressure is applied from any blocked output node to the forwarding engine of the input node. [0069]
  • Allocation [0070]
  • Arbitration and flow control can be seen as an allocation problem which involves assigning virtual channels to packets, arriving from different input nodes and destined to common output nodes, and assigning physical channel bandwidth to flits destined to the same next node in the fabric path. [0071]
  • In a multistage switching fabric, packets composed of one or more flits advance from their source to their destination through one or more fabric routers. At each hop, the head flit of a message arrives at a node on an input virtual channel. It can advance no further until it is assigned an output virtual channel. In the switch fabric of the preferred embodiment each packet may route on only one virtual channel. If the virtual channel is free when the packet arrives, it is assigned to the arriving packet. If, however, the virtual channel is occupied when the packet arrives, the packet must wait until the output virtual channel becomes free. If one or more packets are waiting on a virtual channel when it is released, an arbitration is performed and the channel is assigned to one of the waiting packets. [0072]
  • Once a packet succeeds in acquiring the virtual channel it must compete for physical channel bandwidth to advance its flits to the next node of its route. A packet can only compete for bandwidth when two conditions hold. First, at least one flit of the packet must be present in the node. Second, there must be at least one flit of buffer space available on the next node. If these two conditions do not hold, there is either no flit to forward or no space in which to put the flit at the next hop. If both conditions hold for a given packet, then that packet is enabled to transmit a flit. However, before a flit can be sent, the packet must win two arbitrations. Among all the enabled packets, for a flit of the packet to advance to the next node of the route, a packet must be granted both an output port from the input flit buffer and the output physical channel. [0073]
  • For small numbers of virtual channels, the allocation problem can be solved in parallel for the elementary case of FIGS. 9, 10[0074] a and 10B using combinational logic.
  • Consider first the virtual channel allocation problem. A bit of state, H, is associated with each of V input virtual channels on each of K input controllers. This bit is set if the input virtual channel contains a head flit that has not yet been assigned an output virtual channel. The bit array H [1:V, 1:K] determines the demand for virtual channels. A bit of state, B, is associated with each of V output virtual channels in each of K output controllers. This bit is set if the output virtual channel is busy. The bit array B[1:V,1:K] determines the allocation status of the virtual channels. [0075]
  • To allocate a virtual channel, v, in output controller, k, an arbitration must first be performed across virtual channel v in each of the k input controllers with input controller i only competing if (1) H[v,i] is set and (2) the destination of the channel, F[v,i]=k. The input that wins the arbitration is granted the virtual channel only if B[v,k]=0. [0076]
  • The situation is similar for allocation of physical channel bandwidth to flits. The buffer status of each input virtual channel is indicated by a presence bit, P, that is set when one or more flits are in the present node. Each output virtual channel looks ahead and keeps a credit bit, C, that is set when one or more empty buffers are available in the next node. Suppose we choose to do the allocation serially (which is sub-optimal); first arbitrating for an output port of the input controller and then arbitrating for an output channel. Suppose each input buffer has M output ports. Then for input buffer i, we first determine which virtual channels are enabled. An enabled vector, E[v,i] is calculated as E[v,i]=[0077]
    Figure US20040160970A1-20040819-P00900
    H[v,i]ΛP[v,i]ΛC[v,j] where
    Figure US20040160970A1-20040819-P00900
    denotes logical negation, Λ denotes a logical AND operation, and j is the destination of the packet on virtual channel v of input controller i. Thus, a packet is enabled to forward a flit when it is not waiting for a virtual channel, when there is at least one flit present in its buffer, and when there is at least one flit of storage available at the next hop. Next, all of the enabled channels in the input buffer arbitrate for the M output ports of the input buffer. This requires a V-input M-output arbiter. Finally, the winners of each local arbitration arbitrate for the output virtual channels, this takes K, MK-input arbiters.
  • With large numbers of virtual channels a combinational realization of the allocation logic requires a prohibitive number of gates. The preferred switch fabric has V=600 virtual channels and K=7 ports. To implement this allocation method having combinational logic thus requires 4200 elements of vectors H and B, 4200 3:8 decoders to qualify the arbitrations, and 4200 7-input arbiters to select the winners. Between the flip-flops to hold the state, the decoders, and the arbiters, about 50 2-input gates are required for each of the 4200 virtual channels for a total of over 200,000 logic gates, a prohibitive number. [0078]
  • For the preferred router, the P and C arrays are also 4200 elements each. Between the C-multiplexers and the arbiters, each element requires about 40 gates. Thus the bandwidth allocation requires an additional 160,000 logic gates. [0079]
  • While quite reasonable for routers with small numbers of virtual channels, V less than or equal to 8, combinational allocation is clearly not feasible for the router with V=600. [0080]
  • Event-Driven Allocation [0081]
  • The logic required to perform allocation can be greatly reduced by observing that for large numbers of virtual channels, the state of most virtual channels is unchanged from one cycle to the next. During a given flit interval, at most one virtual channel of a given input controller can have a flit arrive, and at most M virtual channels can have a flit depart. The remaining V-M-1 virtual channels are unchanged. [0082]
  • The sparse nature of changes to the virtual channel state can be exploited to advantage through the use of event-driven allocation logic. With this approach, a single copy (or a small number of copies) of the virtual channel state update, and allocation logic is multiplexed across a large number of virtual channels. Only active virtual channels, as identified by the occurrence of events, have their state examined and updated and participate in arbitration. [0083]
  • Two types of events, arrival events and credit events, activate the virtual channel state update logic. A third type of event, a transport event, determines which virtual channels participate in arbitration for physical channel bandwidth. Each time a flit arrives at a node, an arrival event is queued to check the state of the virtual channel associated with that flit. A similar check is made in response to a credit event which is enqueued each time the downstream buffer state of a virtual channel is changed. Examining the state of a virtual channel may lead to allocation of the channel to a packet and/or scheduling a flit for transport to the downstream node. In the latter case, a transport event is generated and enqueued. Only virtual channels with pending transport events participate in the arbitration for input buffer output ports and output physical channels. Once a flit wins both arbitrations and is in fact transported, the corresponding transport event is dequeued. [0084]
  • Logic to implement event-driven channel allocation is illustrated in FIGS. 11A and 11B. FIG. 11A shows one of seven input controllers while FIG. 11B shows one of seven output controllers. Each input controller is connected to each output controller at the three points shown. Each input controller includes a destination table [0085] 72, an arrival queue 74, a credit queue 76 and a flit buffer 62. A virtual channel state table 80 and a transport queue 82 are included in each output controller. The Figures show an event-driven arrangement where the virtual channel state is associated with each output controller. It is also possible to associate the state with the input controllers. Placing the state table in the output controller has the advantage that virtual channel allocation (which must be performed at the output controller) and bandwidth allocation (which can be performed at either end) can be performed using the same mechanism.
  • The destination tables, flit buffers, and virtual-channel state tables have entries for each virtual channel, while the three queues require only a small number of entries. For each virtual channel, the destination table records the output port required by the current packet on that input channel, if any, (i.e., F[0086] a), the flit buffer 62 provides storage for one or more flits of the packet, and the state of the output virtual channel is recorded in the state table. The arrival, credit, and transport queues contain entries for each event that has occurred but has not yet been processed.
  • On the input side, the dual-ported arrival queue, credit queue, and flit buffer also serve as a synchronization point as illustrated by the dashed line in FIG. 11A. The left port of these three structures, and all logic to the left of the dotted line (including the destination table), operates in the clock domain of the input channel. The right port of these three structures, and all logic to the right of the dotted line, including FIG. 11B, operate in the internal clock domain of the router. [0087]
  • In an alternative embodiment arriving flits are synchronized to the local clock domain before accessing the arrival queue or destination table. [0088]
  • With the arrangement shown in FIGS. 11A and 11B, an allocation of a virtual channel or a physical channel flit cycle is performed through a three-event sequence of arrival, transport, and credit. An arriving flit arbitrates for access to the state table for its output virtual channel. When granted, the table is updated to account for the arriving flit and, if the channel is allocated to its input controller and a credit is available, a transport request is queued to move the flit. The transport request arbitrates for access to the input flit buffer. When access is granted the flit is removed from the buffer and forwarded to the next node. Whenever a flit is removed from the flit buffer a credit is queued to be transmitted to the previous node. When credits arrive at a node, they update the virtual channel state table and enable any flits that are waiting on zero credits. Finally, the arrival of a tail flit at a node updates the virtual channel state to free the channel. [0089]
  • Each time a flit arrives at an input controller, the contents of the flit are stored in the [0090] flit buffer 62. At the same time, the destination table 72 is accessed, and an arrival event, tagged with the required output port number, is enqueued at 74. The destination table is updated by the head flit of each packet to record the packet's output port and then consulted by the remaining flits of a packet to retrieve the stored port number. An arrival event includes a virtual channel identifier (10 bits), a head bit, and an output port identifier (3 bits). The arrival events at the heads of each of the K input controller's arrival queues (along with input port identifiers (3 bits)) are distributed to arbiters 84 at each output controller. At each output controller the arrival events, that require that output port, arbitrate for access to the state table 80. Each cycle, the winning arrival events are dequeued and processed. The losing events remain queued and compete again for access to the state table on the subsequent cycle.
  • As shown in FIG. 12, for each output virtual channel, v, on output k, the virtual channel state table [0091] 80 maintains a state vector, S[v,k] containing:
  • 1. The allocation status of the channel, B, idle (0), busy (1) or tail pending (2). [0092]
  • 2. The input controller assigned to this channel (if B is set), I, (3 bits). [0093]
  • 3. A bit vector of input controllers waiting on this channel, W, (7 bits). [0094]
  • 4. The number of credits (empty buffers on the next node), C, (1 bit). [0095]
  • 5. The number of flits present on this node, P, (1 bit).[0096]
  • The first three of these (B,I,W) are associated with the allocation of output virtual channels to input virtual channels while the last two (C,P) are associated with the allocation of physical channel bandwidth to flits. The number of flits in each element of the state vector may be varied as appropriate. For example, if more flit buffers are available on each node, then more bits would be allocated to the C and P field. Much of the state here corresponds directly to the state bits in the combinational logic approach. The B, C, and P bits are identical. The W bits correspond to the H bits, qualified by required output channel. [0097]
  • The number of bits in the waiting vector, W, can be increased to provide improved fairness of arbitration. With just a single bit, a random or round-robin arbitration can be performed. If 3-bits are stored for each entry, a queuing arbitration can be performed with the input virtual channels serviced in the order that their requests arrived. Each virtual channel in effect “takes a number” when it arrives at the state table, and this number is stored in its entry of the W vector. When the channel becomes free, the “next” number is served. [0098]
  • When an arrival event associated with virtual channel v, from input controller I, arrives at the state table for output k, it reads S[v,k] and performs one of the following actions depending on the type of event (heads vs. body) and the state of the channel.[0099]
  • 1. If the flit is a head, the channel is idle, B=0, and there are downstream credits, C≠0, (a) the channel is assigned to the input by setting B=1, 1=i, (b) a downstream buffer is allocated by decrementing C, and (c) a transport request is queued for (v,i,k) at [0100] 82.
  • 2. If the flit is a head, the channel is idle, but there are no downstream credits, the channel is assigned to the input, and the presence count, P, is incremented. No downstream buffer is allocated and no transport request is queued. [0101]
  • 3. If the flit is a head and the channel is busy, B=1, the virtual channel request is queued by setting the ith bit of the wait vector, W. [0102]
  • 4. If the flit is a body flit, and there are downstream credits, a downstream buffer is allocated and a transport request is queued. [0103]
  • 5. If the flit is a body flit, and there are no downstream credits, the presence count is incremented. [0104]
  • 6. If the flit is a tail and W=0, no waiting heads, then, if there is a credit available the tail flit is queued for transport and the channel is marked idle, B=0. Otherwise, if no credit is available, the channel is marked tail pending, B=2, so the arrival of a credit will transmit the tail and free the channel. [0105]
  • 7. If the flit is a tail, a credit is available (C≠0), and there are packets waiting (W≠0), the tail flit is queued for transport as in [0106] cases 1 and 4 above. An arbitration is performed to select one of the waiting inputs, j. The channel is assigned to that input (B=1, I=j), and, if there is an additional credit available, this new head flit is queued for transport; otherwise it is marked present.
  • 8. If the flit is a tail and a credit is not available, (C=0), the presence count is incremented and the status of the channel is marked “tail pending,” (B=2).[0107]
  • If there is just a single flit buffer per virtual channel, when a body flit arrives there is no need to check the virtual channel allocation status (B, I and W) as the flit could only arrive if the channel were already allocated to its packet (B=1, I=i). If there is more than one flit buffer per virtual channel, the virtual channel of each body flit arrival must be checked. Flits arriving for channels that are waiting for an output virtual channel will generate events that must be ignored. Also, the number of flits buffered in a waiting virtual channel must be communicated to the state table [0108] 80 when the output channel is allocated to the waiting channel. This can be accomplished, for example, by updating the flit count in the state table from the count in the flit buffer whenever a head flit is transported. Note that in case 1 above, we both allocate the virtual channel and allocate the channel bandwidth for the head flit in a single operation on the state table. Tail flits here result in a pair of actions: the tail flit is first processed as a body flit to allocate the bandwidth to move the tail flit, the tail flit is then processed as a tail flit to free the channel and possibly move a pending head flit. Unless the transport queue can accept two inputs simultaneously, this must be done sequentially as a tail flit arrival may enqueue two flits for transport: the tail flit itself, and the head flit of a waiting packet.
  • Each entry in the transport queue (v,i,k) is a request to move the contents of flit buffer v on input controller i to output k. Before the request can be honored, it must first arbitrate at [0109] 86 for access to flit buffer i. On each cycle, the transport requests at the head of the queues in each of the K output controllers are presented to their requested input buffers where they arbitrate for access to the M ports. The winning transport requests are dequeued and their flits forwarded to the appropriate output multiplexer 88. The other requests remain in the transport queues. There is no need to arbitrate for a fabric link here, as the output controller associated with each of the outgoing fabric links makes at most one request per cycle.
  • Each time a transport request successfully forwards a flit to an output, a credit is generated to reflect the space vacated in the input flit buffer. This credit is enqueued in a [0110] credit queue 76 for transmission to the output controller of the previous node. When a credit for virtual channel v arrives at output controller k of a node, it reads the state vector, S[v,k], to check if any flits are waiting on credits. It proceeds as follows depending on the state of the presence count.
  • 1. If there are no flits waiting, P=0, the credit count is incremented, C=C+1. [0111]
  • 2. If there are flits waiting, P≠0, the number of waiting flits is decremented, P=P-1, and a transport request for the first waiting flit is enqueued. [0112]
  • 3. If there is a tail flit pending (B=2), a transport request for the tail flit is queued.[0113]
  • If no head flits are waiting on the channel (W=0), the channel is set idle (B=0). Otherwise, if there are head flits waiting (W≠0), an arbitration is performed to select a waiting channel, say from input controller j, the channel is allocated to this channel (B=1, I=j), and the head flit is marked present (P=1) so the next arriving credit will cause the head flit to be transmitted.[0114]
  • In the above-described event-driven embodiment, the output controller processes body flits and tail flits differently. In particular, the output controller processes body flits according to [0115] techniques 4 and 5, and processes tail flits according to techniques 6, 7 and 8, described above.
  • As described in [0116] technique 7, a head flit of a data packet can follow directly on the heels of a tail flit of a previous data packet. For example, a data packet can occupy a virtual channel while one or more data packets (i.e., one or more head flits) wait for that virtual channel. When an arrival event for a tail flit of the occupying data packet reaches the output controller, the output controller queues the tail flit for transmission to the next fabric router downstream, and allocates the virtual channel to one of the waiting data packets (i.e., one of the waiting head flits). Accordingly, the output controller grants the virtual channel to a new data packet as soon as the fabric router queues the tail flit for transmission.
  • In an alternative event-driven embodiment, the output controller processes body flits and tail flits similarly. In particular, the output controller processes both body and tail flits according to [0117] techniques 4 and 5, as described above. As such, when an arrival event for a tail flit reaches the output controller, and when a credit is available, the output controller queues the tail flit for transmission without freeing the virtual channel or allocating the virtual channel to a waiting data packet. When a fabric router that is downstream from the present fabric router receives, processes and forwards the tail flit, the downstream fabric router generates a special tail credit in place of the normal credit. The downstream fabric router sends this tail credit upstream to the present fabric router. When the output controller of the present fabric router receives the tail credit, the output controller increments the credit count of the virtual channel in a manner similar to that for normal credits, and frees the virtual channel. At this point, if there are data packets waiting for the virtual channel, the output controller performs an arbitration procedure to assign the virtual channel to one of the waiting data packets.
  • The fabric router according to the alternative event-driven embodiment has slower performance than the fabric router of the event-driven embodiment that processes body and tail flits differently. In particular, after the fabric router of the alternative embodiment queues a transport request for transmission of a tail flit to a downstream router, the virtual channel assigned to the data packet of that tail flit becomes idle. The virtual channel is not available for use by another data packet until the fabric router receives a tail credit from the downstream fabric router. [0118]
  • However, the alternative event-driven embodiment results in considerably simpler logic for several reasons. First, it simplifies the handling of events by reducing the complexity of handling a tail-flit arrival event. The work is instead spread between the tail-flit arrival and the tail-credit events. Furthermore, it simplifies the logic by ensuring that only a single packet is in a given virtual channel's flit buffer at any point in time. This is guaranteed by not granting the virtual channel to a new packet until the tail of the previous packet has cleared the flit buffer—as signaled by the tail credit. In contrast, in the event-driven embodiment that processes body flits and tail flits differently, a head flit of a next packet can follow directly on the heels of the tail flit of a present packet, and two or more packets may be queued in a single virtual channel's flit buffer at the same time. [0119]
  • Each event-driven method of allocation described here reduces the size and complexity of the logic required for allocation in two ways. First, the state information for the virtual channels can be stored in a RAM array with over [0120] 10x the density of the flip-flop storage required by the combinational logic approach. Second, the selection and arbitration logic is reduced by a factor of V. Arbitration for access to the virtual channel buffers is only performed on the channels for which changes have occurred (flit or credit arrival), rather than on all V channels.
  • Only the flit buffer, the state table, and the destination table in FIGS. 11A and 11B need to have V entries. A modest number of entries in the bid, transport, and credit queues will suffice to smooth out the speed mismatches between the various components of the system. If a queue fills, operation of the unit filling the queue is simply suspended until an entry is removed from the queue. Deadlock can be avoided by breaking the cycle between event queues. For example, by dropping transport events when the transport queue fills, the state table is able to continue to consume credit and arrival events. Lost events can be regenerated by periodically scanning the state table. Alternately, one of the N queues, e.g., the transport queue, can be made large enough to handle all possible simultaneous events, usually V times N (where N is the number of flits in each channel's input buffer). [0121]
  • Dispersion [0122]
  • While assigning a separate virtual channel to each virtual network is a simple solution, it is costly and has limited scalability. The number of buffers required in each interconnection network router increases linearly with the number of nodes in the system. With 512 virtual networks the number of flit buffers required is pushing the physical limits of what can be economically constructed on the integrated circuits making up the router's switch fabric. [0123]
  • To reduce the number of buffers, and hence the cost, of the switch fabric and to provide for greater scalability, virtual networks may be constructed with overlapping buffer assignments by using dispersion codes. Consider for example a network with N nodes (and hence N virtual networks) and V virtual channels (flit buffers) on each node. Each node, j, is assigned a dispersion code, a V-bit bit vector that specifies which of the V virtual channels this virtual network is permitted to use. That is, the vector contains a 1 in each bit position that corresponds to a permitted virtual channel and 0s in all other positions. The dispersion codes must be assigned so that for every pair of virtual networks, A and B, the bit vector corresponding to A contains a 1 in a position at which the bit vector corresponding to B contains a zero. [0124]
  • Care must be taken in assigning dispersion codes to avoid channel-dependence deadlocks between virtual networks. An assignment of dispersion codes for a 3-D torus network that is guaranteed to be deadlock-free may be made as follows: [0125]
  • Consider a 1-D bidirectional ring network. Associated with each destination is a virtual network (VN) that is permitted to use C virtual channels with a maximum overlap of S virtual channels between any pair of VNs. [0126]
  • In each direction around the loop, the span of a virtual network is the set of channels used by the virtual network. With minimal routing, the span of each VN covers half of the channels in the cycle. In FIG. 13, for example, the span of the VN rooted at the shaded node in the clockwise direction consists of the three heavily shaded channels. Its span in the other direction consists of the channels that run in the opposite direction to the three lightly shaded channels. [0127]
  • In networks having a radix, k, of 5 or more, and unrestricted assignment of virtual channels, a dependent-cycle of three VNs with overlapping spans on the ring can cause deadlock. With dispersion routing, 3N VNs (where N=floor(C/S)) are required to generate a deadlocked configuration as a packet must block on N separate blocked VNs to deadlock. [0128]
  • A sufficient condition to avoid deadlock is for each VN to have at least one VC that it shares only with VNs that overlap either entirely or not at all. With this approach, each VC is always able to make progress (within one dimension). It is possible to avoid deadlock with a less restrictive assignment of VCs to VNs since it is only necessary to break the deadlock at one point in the cycle. [0129]
  • In a multidimension network it is possible to deadlock even if all dimensions are individually deadlock free. Consider the two-dimensional case which is easily extended to three dimensions. A deadlock can form if a packet making a NW turn blocks on a packet making a WS turn which in turn blocks on a packet making a SE turn, which in turn blocks on a packet making an EN turn, which in turn blocks on the original packet. This forms a cycle (NW,WS,SE,EN); C. J. Glass and L. M. Ni, “The Turn Model for Adaptive Routing,” Proceedings of the 19th International Symposium on Computer Architecture, May 1992, pp. 278-287. [0130]
  • If minimal routing is used, each VN is itself deadlock-free as in each quadrant about the destination node, only two directions, and hence only two (of eight possible) turns, are used. In the region NE of the destination node, for example, packets only travel S and W and hence only SW and WS turns are allowed. This is one turn from the clockwise cycle and one turn from the counterclockwise cycle. If VNs share VCs, however, deadlock can occur as the turns missing from one VN may be present in other VNs sharing the same VCs. [0131]
  • A sufficient method for preventing inter-dimension deadlock is to (1) make the dimensions individually deadlock free and (2) to require that each VN (a) disallow one of the four turns in both the CW and CCW directions, and (b) have at least one VC that is shared only with VNs disallowing the same turn. This is quite restrictive as it forces two of the four quadrants about the destination node to route in dimension order. [0132]
  • A strategy that permits more flexible routing, but a more costly one in terms of VCs, is to associate two VNs with each destination node, one for all quadrants but the NW that disallows the SE and ES turns and one for all quadrants but the SE that disallows the NW and WN turns. VNs from each class can then share VCs without restriction as long as they remain deadlock free in each dimension independently. [0133]
  • One workable method for assigning VCs in two dimensions is as follows:[0134]
  • 1. Each destination is assigned a VC pair (one VC that disallows SE/ES and one that disallows NW/WN) associated with its x-coordinate (mod k[0135] x/2), where kx is the number of nodes in the x-dimension. Assigning this VC pair guarantees non-overlap and hence single-dimension deadlock freedom in the x-dimension.
  • 2. Each destination is assigned a VC pair associated with its y-coordinate (mod k[0136] y/2). This guarantees single-dimension deadlock freedom in the y-dimension.
  • 3. Any additional VC pairs are assigned arbitrarily subject to the restriction that no more than S VCs are shared between any two destinations. [0137]
  • 4. The routing tables are built so that nodes in the NW quadrant of a destination are restricted to the VN containing the VCs that disallow NW/WN and nodes in the SE quadrant are restricted to the other VN. Nodes in the NE and SW quadrants may use either VN.[0138]
  • As an example, for a 2-D network of 64-nodes (8×8) this assignment requires a minimum of 8VC pairs (16VCs). [0139]
  • To extend this approach to three dimensions we need to exclude additional turns to avoid 3-D inter-dimension cycles. However, we can accomplish this with just two VNs per destination as above. One VN excludes the turns associated with the NWU (North, West, Up) octant (SE,ES,SD,DS,ED,DE) while the other excludes the turns associated with the SED (South,East,Down) octant. [0140]
  • An example 1024-node network organized as 8×8×16 needs a minimum of 16VC pairs (32VCs) to assign one VC pair to each symmetric pair of planes in the network. [0141]
  • When a single destination receives an excessive amount of traffic, all VCs associated with its two VNs will quickly saturate and back up to the source. To first approximation it is as if these VCs were removed from the network. With the channel assignment suggested above, where each destination node has two VNs with three VCs each, this leaves four VCs to route on. [0142]
  • Deflection Routing [0143]
  • Deflection routing is another method for making traffic destined for different fabric outputs substantially non-blocking. With deflection routing all of the packets are allowed to share virtual channels without restriction. When a packet blocks, however, rather than waiting for the required virtual channel to become available, it is misrouted or “deflected” to the packet memory of the present fabric router's line interface. It is reinjected into the fabric at a later time. Because a packet destined for fabric output A is never allowed to block, it cannot indefinitely delay a packet destined for fabric output B. [0144]
  • Deflection routing has several properties that make it less desirable than using virtual networks to achieve isolation between packets destined for different outputs. First, deflection routing provides no backpressure. When an output becomes congested, packets destined for that output are simply deflected and the fabric inputs sending packets to the congested output remain unaware of any problem. Second, while there is no blocking, there is significant interference between packets destined for different outputs. If an output, A, is congested, the links adjacent to A will be heavily utilized and a packet destined for output B that traverses one of these links will have a very high probability of being deflected. Third, the use of deflection routing greatly increases the bandwidth requirements of the packet memory as this memory must have sufficient bandwidth to handle deflected packets and their reinjection in addition to their normal input and output. Finally, deflection routing is limited by the finite size of the packet memory on each line interface. Under very high congestion, as often occurs in IP routers, the packet memory may be completely filled with deflected packets. When this occurs, packets must be dropped to avoid interference and possibly deadlock. [0145]
  • While this invention has been particularly shown and described with references to preferred embodiments thereof, it will be understood by those skilled in the art that various changes in form and details may be made therein without departing from the spirit and scope of the invention as defined by the appended claims. Those skilled in the art will recognize or be able to ascertain using no more than routine experimentation, many equivalents to the specific embodiments of the invention described specifically herein. Such equivalents are intended to be encompassed in the scope of the claims. [0146]
  • For example, the event-driven allocation logic described in connection with FIGS. 11A, 11B and [0147] 12 is suitable for use in an internet switch fabric router such as that shown in FIG. 8. It should be understood that the event-driven allocation logic is also suitable for use in a multicomputer router. For example, with reference to FIG. 8, using a multicomputer interface as the line interface circuit 48 in combination with the event-driven allocation logic forms a multicomputer router for a multicomputer system such as that shown in FIG. 4.
  • Furthermore, it should be understood that the event-driven allocation logic is suitable for assigning input physical channels to output physical channels directly. Preferably, a single copy of the allocation logic is used. The logic is activated by the occurrence of an event. [0148]
  • Additionally, it should be understood that portions of the state vectors for the virtual channel state table [0149] 80 (see FIG. 12) have been described as including individual bits for indicating particular information such as busy or wait information. Other structures can be used in place of such bits such as scalar state fields that encode the information.
  • In connection with the event-driven allocation logic described in FIGS. 11A, 11B and [0150] 12, it should be understood that each input physical channel is shared by multiple input virtual channels, and each output physical channel is shared by multiple output virtual channels. The allocation logic is suitable for providing a single virtual channel for each physical channel. In such a case, each input physical channel is used by only one input virtual channel, and each output physical channel is used by only one output virtual channel. As such, the state table logic essentially generates assignments that associate input physical channels with output physical channels.

Claims (13)

What is claimed is:
1. A router for routing data packets comprising:
input physical channels for receiving at least portions of the data packets;
output physical channels;
data buffers, coupled with the input and output physical channels, for storing the portions of the data packets; and
control circuitry, coupled with the input and output physical channels and the data buffers, for generating channel assignments in response to queued events, and outputting the portions of the data packets through the output physical channels according to the generated channel assignments.
2. The router of claim 1 wherein the control circuitry assigns virtual channels to the data packets in response to the queued events.
3. The router of claim 2 wherein the control circuitry is shared by multiple virtual channels and activated to handle a particular virtual channel in response to an event.
4. The router of claim 2 wherein the control circuitry further assigns the output physical channels to the virtual channels in response to the queued events.
5. The router of claim 4 wherein the control circuitry is shared by multiple virtual channels and activated to handle a particular virtual channel in response to an event.
6. The router of claim 1 wherein the control circuitry is adapted to generate physical channel assignments in response to the queued events.
7. The router of claim 6 wherein the control circuitry is shared by multiple virtual channels and activated to handle a particular virtual channel in response to an event.
8. The router of claim 1 further comprising:
a multicomputer interface coupled with an input physical channel and an output physical channel such that the router forms a multicomputer router for a multicomputer system.
9. The router of claim 1 further comprising:
a line interface coupled with an input physical channel and an output physical channel such that the router forms an internet switch fabric router.
10. The router of claim 2 wherein the data buffers correspond to input virtual channels which share the input physical channels, wherein output virtual channels share the output physical channels, and wherein the control circuitry generates virtual channel assignments, each virtual channel assignment associating an input virtual channel with an output virtual channel.
11. The router of claim 2 wherein the control circuitry includes:
a state table that associates the output physical channels with input channels.
12. The router of claim 11 wherein the input channels are input virtual channels that share the input physical channels.
13. A method for routing data packets from input physical channels to output physical channels, the method comprising the steps of:
receiving at least portions of the data packets over the input physical channels;
generating channel assignments in response to queued events; and
outputting the portions of the data packets through the output physical channels according to the generated channel assignments.
US10/673,912 1997-08-22 2003-09-29 Methods and apparatus for event-driven routing Abandoned US20040160970A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US10/673,912 US20040160970A1 (en) 1997-08-22 2003-09-29 Methods and apparatus for event-driven routing
US11/704,776 US8325715B2 (en) 1997-08-22 2007-02-09 Internet switch router

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US08/918,556 US6370145B1 (en) 1997-08-22 1997-08-22 Internet switch router
US09/084,636 US6285679B1 (en) 1997-08-22 1998-05-26 Methods and apparatus for event-driven routing
US09/887,960 US6654381B2 (en) 1997-08-22 2001-06-22 Methods and apparatus for event-driven routing
US10/673,912 US20040160970A1 (en) 1997-08-22 2003-09-29 Methods and apparatus for event-driven routing

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/887,960 Continuation US6654381B2 (en) 1997-08-22 2001-06-22 Methods and apparatus for event-driven routing

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/704,776 Continuation US8325715B2 (en) 1997-08-22 2007-02-09 Internet switch router

Publications (1)

Publication Number Publication Date
US20040160970A1 true US20040160970A1 (en) 2004-08-19

Family

ID=26771230

Family Applications (5)

Application Number Title Priority Date Filing Date
US09/084,636 Expired - Lifetime US6285679B1 (en) 1997-08-22 1998-05-26 Methods and apparatus for event-driven routing
US09/136,235 Expired - Lifetime US6563831B1 (en) 1997-08-22 1998-08-19 Router with virtual channel allocation
US09/887,960 Expired - Lifetime US6654381B2 (en) 1997-08-22 2001-06-22 Methods and apparatus for event-driven routing
US10/673,912 Abandoned US20040160970A1 (en) 1997-08-22 2003-09-29 Methods and apparatus for event-driven routing
US11/704,776 Expired - Lifetime US8325715B2 (en) 1997-08-22 2007-02-09 Internet switch router

Family Applications Before (3)

Application Number Title Priority Date Filing Date
US09/084,636 Expired - Lifetime US6285679B1 (en) 1997-08-22 1998-05-26 Methods and apparatus for event-driven routing
US09/136,235 Expired - Lifetime US6563831B1 (en) 1997-08-22 1998-08-19 Router with virtual channel allocation
US09/887,960 Expired - Lifetime US6654381B2 (en) 1997-08-22 2001-06-22 Methods and apparatus for event-driven routing

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/704,776 Expired - Lifetime US8325715B2 (en) 1997-08-22 2007-02-09 Internet switch router

Country Status (9)

Country Link
US (5) US6285679B1 (en)
EP (2) EP1005745B1 (en)
JP (1) JP2001514463A (en)
KR (1) KR100615724B1 (en)
AT (1) ATE313891T1 (en)
AU (1) AU8904898A (en)
CA (1) CA2301112A1 (en)
DE (1) DE69832884T2 (en)
WO (1) WO1999011033A1 (en)

Cited By (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020009056A1 (en) * 2000-07-12 2002-01-24 Nec Corporation Route retrieving system, method therefor and a router device to be used in the same
US20050201356A1 (en) * 2004-03-11 2005-09-15 Yasuyuki Miura Adaptive routing for hierarchical interconnection network
US20050259696A1 (en) * 2004-05-21 2005-11-24 Steinman Maurice B Methods and apparatuses to effect a variable-width link
US20060101178A1 (en) * 2004-11-08 2006-05-11 Zhong Tina C Arbitration in a multi-protocol environment
US20060221948A1 (en) * 2005-03-31 2006-10-05 International Business Machines Corporation Interconnecting network for switching data packets and method for switching data packets
US20070047584A1 (en) * 2005-08-24 2007-03-01 Spink Aaron T Interleaving data packets in a packet-based communication system
US20070076701A1 (en) * 2005-10-04 2007-04-05 Nec Corporation Crossbar switch, information processor, and transfer method
US7209453B1 (en) * 2001-12-14 2007-04-24 Applied Micro Circuits Corporation System and method for tolerating control link faults in a packet communications switch fabric
US20070280141A1 (en) * 2006-05-01 2007-12-06 Seagate Technology, Llc Hardware implementation of loop initialization protocol
US20080186961A1 (en) * 2001-12-20 2008-08-07 Kenneth Yi Yun System and Method for Reevaluating Granted Arbitrated Bids
US20080253294A1 (en) * 2001-12-14 2008-10-16 Alberto Alessandro Della Ripa Data link fault tolerance
US7562168B1 (en) 2008-05-29 2009-07-14 International Business Machines Corporation Method of optimizing buffer usage of virtual channels of a physical communication link and apparatuses for performing the same
US20090183170A1 (en) * 2008-01-15 2009-07-16 Gharpure Jagannath T Event-Driven Component Integration Framework for Implementing Distributed Systems
US20090292787A1 (en) * 2007-03-20 2009-11-26 Fujitsu Limited Process and computer for collectively transmitting unique messages, and recording medium storing a program for collectively transmitting unique messages
US8027256B1 (en) * 2005-06-02 2011-09-27 Force 10 Networks, Inc. Multi-port network device using lookup cost backpressure
US8306042B1 (en) * 2009-06-19 2012-11-06 Google Inc. Class-based deterministic packet routing
US8418129B1 (en) 2001-12-14 2013-04-09 Qualcomm Incorporated Method for automatically generating code to define a system of hardware elements
US8677023B2 (en) * 2004-07-22 2014-03-18 Oracle International Corporation High availability and I/O aggregation for server environments
US9083550B2 (en) 2012-10-29 2015-07-14 Oracle International Corporation Network virtualization over infiniband
US9331963B2 (en) 2010-09-24 2016-05-03 Oracle International Corporation Wireless host I/O using virtualized I/O controllers
US9813283B2 (en) 2005-08-09 2017-11-07 Oracle International Corporation Efficient data transfer between servers and remote peripherals
US9973446B2 (en) 2009-08-20 2018-05-15 Oracle International Corporation Remote shared server peripherals over an Ethernet network for resource virtualization
WO2018193370A1 (en) * 2017-04-17 2018-10-25 Cerebras Systems Inc. Task activating for accelerated deep learning
US10515303B2 (en) 2017-04-17 2019-12-24 Cerebras Systems Inc. Wavelet representation for accelerated deep learning
CN110708241A (en) * 2014-02-13 2020-01-17 洛克波特网络股份有限公司 Method for routing packets in a distributed direct interconnection network
US10699189B2 (en) 2017-02-23 2020-06-30 Cerebras Systems Inc. Accelerated deep learning
US20200267098A1 (en) * 2019-02-19 2020-08-20 Pensando Systems Inc. Variable-length packet header vectors
US11321087B2 (en) 2018-08-29 2022-05-03 Cerebras Systems Inc. ISA enhancements for accelerated deep learning
US11328207B2 (en) 2018-08-28 2022-05-10 Cerebras Systems Inc. Scaled compute fabric for accelerated deep learning
US11328208B2 (en) 2018-08-29 2022-05-10 Cerebras Systems Inc. Processor element redundancy for accelerated deep learning
US11488004B2 (en) 2017-04-17 2022-11-01 Cerebras Systems Inc. Neuron smearing for accelerated deep learning

Families Citing this family (130)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6285679B1 (en) * 1997-08-22 2001-09-04 Avici Systems, Inc. Methods and apparatus for event-driven routing
US6226680B1 (en) 1997-10-14 2001-05-01 Alacritech, Inc. Intelligent network interface system method for protocol processing
US8621101B1 (en) 2000-09-29 2013-12-31 Alacritech, Inc. Intelligent network storage interface device
US8539112B2 (en) 1997-10-14 2013-09-17 Alacritech, Inc. TCP/IP offload device
US8782199B2 (en) 1997-10-14 2014-07-15 A-Tech Llc Parsing a packet header
US6757746B2 (en) 1997-10-14 2004-06-29 Alacritech, Inc. Obtaining a destination address so that a network interface device can write network data without headers directly into host memory
US6434620B1 (en) 1998-08-27 2002-08-13 Alacritech, Inc. TCP/IP offload network interface device
US6359879B1 (en) * 1998-04-24 2002-03-19 Avici Systems Composite trunking
US6487172B1 (en) * 1998-08-21 2002-11-26 Nortel Networks Limited Packet network route selection method and apparatus using a bidding algorithm
US6934471B1 (en) 1999-06-03 2005-08-23 Avici Systems, Inc. Photonic switch using time-slot interchange
US6650618B1 (en) * 1999-07-01 2003-11-18 Nortel Networks Limited Method and apparatus for managing communications between nodes in a bi-directional ring network
WO2001037509A2 (en) * 1999-11-18 2001-05-25 The Global Teleexchange Inc. Virtual trading floor and intelligent agents for telecommunications products and services
US6463067B1 (en) * 1999-12-13 2002-10-08 Ascend Communications, Inc. Submission and response architecture for route lookup and packet classification requests
KR100321784B1 (en) * 2000-03-20 2002-02-01 오길록 Distributed type input buffer switch system having arbitration latency tolerance and method for processing input data using the same
US6961342B1 (en) * 2000-03-29 2005-11-01 Necdet Uzun Methods and apparatus for switching packets
GB0008195D0 (en) 2000-04-05 2000-05-24 Power X Limited Data switching arbitration arrangements
EP1284071B1 (en) 2000-05-18 2007-07-11 Xyratex Technology Limited Apparatus and method for resource arbitration
US6856595B1 (en) 2000-05-19 2005-02-15 Mosaid Technologies, Inc. Method and apparatus for providing input back pressure in an output buffered switch
US6557070B1 (en) 2000-06-22 2003-04-29 International Business Machines Corporation Scalable crossbar switch
US8204082B2 (en) 2000-06-23 2012-06-19 Cloudshield Technologies, Inc. Transparent provisioning of services over a network
US6829654B1 (en) 2000-06-23 2004-12-07 Cloudshield Technologies, Inc. Apparatus and method for virtual edge placement of web sites
US7114008B2 (en) * 2000-06-23 2006-09-26 Cloudshield Technologies, Inc. Edge adapter architecture apparatus and method
US7032031B2 (en) * 2000-06-23 2006-04-18 Cloudshield Technologies, Inc. Edge adapter apparatus and method
US7003555B1 (en) * 2000-06-23 2006-02-21 Cloudshield Technologies, Inc. Apparatus and method for domain name resolution
US9444785B2 (en) 2000-06-23 2016-09-13 Cloudshield Technologies, Inc. Transparent provisioning of network access to an application
JP3646638B2 (en) * 2000-09-06 2005-05-11 日本電気株式会社 Packet switching apparatus and switch control method used therefor
US7039058B2 (en) 2000-09-21 2006-05-02 Avici Systems, Inc. Switched interconnection network with increased bandwidth and port count
US7046633B2 (en) 2000-09-21 2006-05-16 Avici Systems, Inc. Router implemented with a gamma graph interconnection network
US6947433B2 (en) 2000-09-21 2005-09-20 Avici Systems, Inc. System and method for implementing source based and egress based virtual networks in an interconnection network
US8019901B2 (en) 2000-09-29 2011-09-13 Alacritech, Inc. Intelligent network storage interface system
US7002937B1 (en) * 2000-10-17 2006-02-21 Sprint Communications Company L.P. Access based on termination in a wireless communication system
US7221677B1 (en) * 2000-10-19 2007-05-22 Interactic Holdings, Llc Scalable apparatus and method for increasing throughput in multiple level minimum logic networks using a plurality of control lines
US7324500B1 (en) * 2000-10-31 2008-01-29 Jeremy Benjamin As Receiver For Chiaro Networks Ltd. Router network protection using multiple facility interfaces
US7173931B2 (en) * 2000-11-20 2007-02-06 Hung-Hsiang Jonathan Chao Scheduling the dispatch of cells in multistage switches
US7103056B2 (en) * 2000-11-20 2006-09-05 Polytechnic University Scheduling the dispatch of cells in multistage switches using a hierarchical arbitration scheme for matching non-empty virtual output queues of a module with outgoing links of the module
USRE42600E1 (en) * 2000-11-20 2011-08-09 Polytechnic University Scheduling the dispatch of cells in non-empty virtual output queues of multistage switches using a pipelined arbitration scheme
US6967926B1 (en) 2000-12-31 2005-11-22 Cisco Technology, Inc. Method and apparatus for using barrier phases to limit packet disorder in a packet switching system
SE521190C2 (en) * 2001-02-16 2003-10-07 Ericsson Telefon Ab L M Method system and device for controlling bandwidth usage in a data communication network
US6658494B2 (en) * 2001-04-20 2003-12-02 Nvision, Inc. Router topology having N on 1 redundancy
US20040004966A1 (en) * 2001-04-27 2004-01-08 Foster Michael S. Using virtual identifiers to route transmitted data through a network
US7486685B2 (en) * 2001-06-29 2009-02-03 Rankin Linda J System for sharing channels by interleaving flits
KR100421547B1 (en) * 2001-09-19 2004-03-09 주식회사 비트텔 A multiple sharing device and method
JP2003124953A (en) * 2001-10-15 2003-04-25 Fujitsu Ltd Ring type network system
US20030093632A1 (en) * 2001-11-12 2003-05-15 Intel Corporation Method and apparatus for sideband read return header in memory interconnect
US6941425B2 (en) * 2001-11-12 2005-09-06 Intel Corporation Method and apparatus for read launch optimizations in memory interconnect
US7274702B2 (en) * 2001-11-27 2007-09-25 4198638 Canada Inc. Programmable interconnect system for scalable router
US7047437B2 (en) * 2001-12-12 2006-05-16 Hewlett-Packard Development Company, L.P. Method and system for detecting dropped micro-packets
US7079545B1 (en) 2001-12-17 2006-07-18 Applied Microcircuits Corporation ( Amcc) System and method for simultaneous deficit round robin prioritization
US7020131B1 (en) 2001-12-24 2006-03-28 Applied Micro Circuits Corp. System and method for hierarchical switching
US7126970B2 (en) * 2001-12-20 2006-10-24 Tropic Networks Inc. Communication system with balanced transmission bandwidth
US7020643B2 (en) 2002-01-25 2006-03-28 Microsoft Corporation Method and system for clickpath funnel analysis
US7254138B2 (en) * 2002-02-11 2007-08-07 Optimum Communications Services, Inc. Transparent, look-up-free packet forwarding method for optimizing global network throughput based on real-time route status
US7024505B2 (en) * 2002-03-28 2006-04-04 Seagate Technology Llc Fair arbitration method in a distributed arbitration system
US6956861B2 (en) * 2002-04-16 2005-10-18 Interactics Holdings, Llc Controlled shared memory smart switch system
US7543087B2 (en) 2002-04-22 2009-06-02 Alacritech, Inc. Freeing transmit memory on a network interface device prior to receiving an acknowledgement that transmit data has been received by a remote device
US7603449B1 (en) * 2002-06-10 2009-10-13 Crossroads Systems, Inc. System and method for inquiry caching
US7275081B1 (en) 2002-06-10 2007-09-25 Juniper Networks, Inc. Managing state information in a computing environment
GB0215505D0 (en) * 2002-07-04 2002-08-14 Univ Cambridge Tech Packet routing
US20110164616A1 (en) * 2002-10-02 2011-07-07 Andiamo Systems Methods and apparatus for processing superframes
US20040081108A1 (en) * 2002-10-02 2004-04-29 Andiamo Systems Arbitration system
KR100488478B1 (en) * 2002-10-31 2005-05-11 서승우 Multiple Input/Output-Queued Switch
IL152676A0 (en) * 2002-11-06 2003-06-24 Teracross Ltd Method and apparatus for high performance single block scheduling in distributed systems
US7324460B2 (en) * 2002-11-28 2008-01-29 International Business Machines Corporation Event-driven flow control for a very high-speed switching node
US7457303B2 (en) * 2003-06-06 2008-11-25 International Business Machines Corporation One-bounce network
JP2005295236A (en) * 2004-03-31 2005-10-20 Serukurosu:Kk Communication apparatus
US7379424B1 (en) * 2003-08-18 2008-05-27 Cray Inc. Systems and methods for routing packets in multiprocessor computer systems
US7739403B1 (en) 2003-10-03 2010-06-15 Juniper Networks, Inc. Synchronizing state information between control units
EP1690354A2 (en) * 2003-10-30 2006-08-16 Teak Technologies, Inc. Nonblocking and deterministic multicast packet scheduling
US8351468B2 (en) 2004-04-05 2013-01-08 Broadcom Corporation Method and apparatus for downloading content using channel bonding
US7165131B2 (en) * 2004-04-27 2007-01-16 Intel Corporation Separating transactions into different virtual channels
US7440530B1 (en) 2004-06-18 2008-10-21 Xilinx, Inc. Circuit for and method of optimizing the transmission of data on a communication channel
US7376134B2 (en) * 2004-08-02 2008-05-20 Novell, Inc. Privileged network routing
US8248939B1 (en) 2004-10-08 2012-08-21 Alacritech, Inc. Transferring control of TCP connections between hierarchy of processing mechanisms
CN101027862B (en) 2004-10-29 2011-06-08 美国博通公司 Hierarchical flow-level multi-channel communication
US20060165081A1 (en) * 2005-01-24 2006-07-27 International Business Machines Corporation Deflection-routing and scheduling in a crossbar switch
US7546392B2 (en) * 2005-05-13 2009-06-09 Texas Instruments Incorporated Data transfer with single channel controller controlling plural transfer controllers
US7606241B1 (en) 2005-08-12 2009-10-20 Juniper Networks, Inc. Extending standalone router syntax to multi-chassis routers
US7552262B1 (en) 2005-08-31 2009-06-23 Juniper Networks, Inc. Integration of an operative standalone router into a multi-chassis router
US8135857B1 (en) 2005-09-26 2012-03-13 Juniper Networks, Inc. Centralized configuration of a multi-chassis router
US7747999B1 (en) 2005-09-26 2010-06-29 Juniper Networks, Inc. Software installation in a multi-chassis network device
US7518986B1 (en) 2005-11-16 2009-04-14 Juniper Networks, Inc. Push-based hierarchical state propagation within a multi-chassis network device
US7804769B1 (en) 2005-12-01 2010-09-28 Juniper Networks, Inc. Non-stop forwarding in a multi-chassis router
JP4856695B2 (en) * 2006-02-24 2012-01-18 富士通株式会社 Data transfer device, data transfer system, and data transfer device control method
US8306030B1 (en) * 2006-03-21 2012-11-06 Sprint Communications Company L.P. Vector based session security
US8255599B2 (en) * 2006-03-28 2012-08-28 Integrated Device Technology Inc. Packets transfer device having data absorbing buffers with elastic buffer capacities
US8228930B1 (en) * 2006-06-02 2012-07-24 The Board Of Trustees Of The Leland Stanford Junior University Interconnection network router arrangements and methods therefor
US8213294B2 (en) * 2006-06-27 2012-07-03 International Business Machines Corporation Mechanism for detecting and clearing I/O fabric lockup conditions for error recovery
US20080072113A1 (en) * 2006-08-30 2008-03-20 Siukwin Tsang Method of locating packet for resend from retry buffer
US8745185B1 (en) 2006-10-12 2014-06-03 Timothy J. Salo Method and apparatus for providing semantically aware network services
US7773616B2 (en) * 2006-11-08 2010-08-10 Sicortex, Inc. System and method for communicating on a richly connected multi-processor computer system using a pool of buffers for dynamic association with a virtual channel
US20080263171A1 (en) * 2007-04-19 2008-10-23 Alacritech, Inc. Peripheral device that DMAS the same data to different locations in a computer
US7944842B2 (en) * 2007-04-20 2011-05-17 International Business Machines Corporation Opportunistic queueing injection strategy for network load balancing
US7852867B2 (en) * 2007-07-06 2010-12-14 Integrated Deoice Technology, Inc. Integrated memory for storing egressing packet data, replay data and to-be egressed data
US7773591B2 (en) * 2007-07-06 2010-08-10 Integrated Device Technology, Inc. Integrated memory for storing egressing packet data, replay data and to-be egressed data
US7792014B2 (en) * 2007-09-28 2010-09-07 Integrated Device Technology, Inc. Method of skipping nullified packets during mass replay from replay buffer
US8539513B1 (en) 2008-04-01 2013-09-17 Alacritech, Inc. Accelerating data transfer in a virtual computer system with tightly coupled TCP connections
US8223650B2 (en) * 2008-04-02 2012-07-17 Intel Corporation Express virtual channels in a packet switched on-chip interconnection network
JP5387918B2 (en) * 2008-04-30 2014-01-15 日本電気株式会社 Router, information processing apparatus having the router, and packet routing method
KR101495811B1 (en) * 2008-06-09 2015-02-25 삼성전자주식회사 Apparatus and method for high speed packet routing system
US8619769B2 (en) * 2008-06-12 2013-12-31 Mark Henrik Sandstrom Packet-layer transparent packet-switching network
GB2461132B (en) 2008-06-27 2013-02-13 Gnodal Ltd Method of data delivery across a network
US8223641B2 (en) * 2008-07-28 2012-07-17 Cellco Partnership Dynamic setting of optimal buffer sizes in IP networks
US8341286B1 (en) 2008-07-31 2012-12-25 Alacritech, Inc. TCP offload send optimization
US9306793B1 (en) 2008-10-22 2016-04-05 Alacritech, Inc. TCP offload device that batches session layer headers to reduce interrupts as well as CPU copies
WO2010103610A1 (en) * 2009-03-09 2010-09-16 富士通株式会社 Information processing device
US20110010522A1 (en) * 2009-06-12 2011-01-13 Cray Inc. Multiprocessor communication protocol bridge between scalar and vector compute nodes
JP5493575B2 (en) * 2009-08-10 2014-05-14 富士通株式会社 Information processing apparatus and information processing apparatus control method
US8830993B1 (en) * 2010-05-27 2014-09-09 Ciena Corporation Extensible time space switch systems and methods for high capacity multi-service applications
EP2633653A1 (en) * 2010-12-07 2013-09-04 Siemens Aktiengesellschaft Congestion notification element and method for congestion control
US8787379B2 (en) 2011-02-02 2014-07-22 Futurewei Technologies, Inc. Destination-based virtual channel assignment in on-chip ring networks
US9553817B1 (en) 2011-07-14 2017-01-24 Sprint Communications Company L.P. Diverse transmission of packet content
US8867559B2 (en) * 2012-09-27 2014-10-21 Intel Corporation Managing starvation and congestion in a two-dimensional network having flow control
US9577791B2 (en) 2012-12-05 2017-02-21 Intel Corporation Notification by network element of packet drops
US8989017B2 (en) * 2012-12-14 2015-03-24 Intel Corporation Network congestion management by packet circulation
US10419367B2 (en) 2013-01-25 2019-09-17 Hewlett Packard Enterprise Development Lp Queue buffer de-queuing
US9397792B2 (en) 2013-12-06 2016-07-19 Intel Corporation Efficient link layer retry protocol utilizing implicit acknowledgements
US9325449B2 (en) 2013-12-06 2016-04-26 Intel Corporation Lane error detection and lane removal mechanism to reduce the probability of data corruption
US9306863B2 (en) * 2013-12-06 2016-04-05 Intel Corporation Link transfer, bit error detection and link retry using flit bundles asynchronous to link fabric packets
US9648148B2 (en) * 2013-12-24 2017-05-09 Intel Corporation Method, apparatus, and system for QoS within high performance fabrics
US9628382B2 (en) 2014-02-05 2017-04-18 Intel Corporation Reliable transport of ethernet packet data with wire-speed and packet data rate match
US9812873B2 (en) 2014-12-01 2017-11-07 Hamilton Sundstrand Corporation Virtual channel abstraction layer for solid state power controls
CN104486258B (en) * 2014-12-09 2017-09-26 中国航空工业集团公司第六三一研究所 A kind of switched circuit based on interchange channel
CN104486263B (en) * 2014-12-09 2017-10-24 中国航空工业集团公司第六三一研究所 A kind of Shared memory switch machine sending control method and system
US9866476B2 (en) * 2014-12-17 2018-01-09 Intel Corporation Parallel direction decode circuits for network-on-chip
US10084860B2 (en) * 2015-04-09 2018-09-25 Electronics And Telecommunications Research Institute Distributed file system using torus network and method for configuring and operating distributed file system using torus network
US20180159786A1 (en) * 2016-12-02 2018-06-07 Netspeed Systems, Inc. Interface virtualization and fast path for network on chip
CA3120746A1 (en) * 2018-11-26 2020-06-04 Arrcus Inc. Logical router comprising disaggregated network elements
US11108679B2 (en) 2019-08-08 2021-08-31 Mellanox Technologies Tlv Ltd. Producing deadlock-free routes in lossless cartesian topologies with minimal number of virtual lanes
CN110691043B (en) * 2019-09-11 2021-10-29 无锡江南计算技术研究所 Flower arrangement finishing method supporting multisource multi-virtual-channel discontinuous transmission
US11425027B2 (en) * 2020-11-01 2022-08-23 Mellanox Technologies, Ltd. Turn-based deadlock-free routing in a Cartesian topology

Citations (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4118094A (en) * 1977-03-31 1978-10-03 Trw Inc. Zero-entry force connector
US4340092A (en) * 1980-02-26 1982-07-20 Western Electric Co., Inc. Methods of and apparatus for straightening backplane-supported pins
US4371013A (en) * 1980-08-29 1983-02-01 Western Electric Company, Inc. Methods of straightening backplane-supported pins
US4469388A (en) * 1981-07-27 1984-09-04 Thomas & Betts Corporation Header for imposing frictional force on terminal posts
US4495615A (en) * 1981-09-22 1985-01-22 Statt der Nederlanden (Stattsbedrijf der Posterijen, Telegrafie en Telefonie) Method and device for controlling a switching network
US4631637A (en) * 1985-12-23 1986-12-23 Burroughs Corporation Dual backplane interconnect system
US4862451A (en) * 1987-01-28 1989-08-29 International Business Machines Corporation Method and apparatus for switching information between channels for synchronous information traffic and asynchronous data packets
US4861274A (en) * 1987-03-20 1989-08-29 Siemens Aktiengesellschaft Backplane having a movable contact means
US4911645A (en) * 1988-12-14 1990-03-27 Cray Research, Inc. Parallel board ZIF module connector
US4933933A (en) * 1986-12-19 1990-06-12 The California Institute Of Technology Torus routing chip
US5088091A (en) * 1989-06-22 1992-02-11 Digital Equipment Corporation High-speed mesh connected local area network
US5123848A (en) * 1990-07-20 1992-06-23 Cray Research, Inc. Computer signal interconnect apparatus
US5126999A (en) * 1989-04-20 1992-06-30 Northern Telecom Limited Method and apparatus for input-buffered asynchronous transfer mode switching
US5134690A (en) * 1989-06-26 1992-07-28 Samatham Maheswara R Augumented multiprocessor networks
US5144691A (en) * 1990-07-20 1992-09-01 Cray Research, Inc. Computer signal interconnect apparatus
US5155784A (en) * 1990-11-07 1992-10-13 Bicc Plc Optical connection to backplanes
US5172371A (en) * 1990-08-09 1992-12-15 At&T Bell Laboratories Growable switch
US5179558A (en) * 1989-06-22 1993-01-12 Digital Equipment Corporation Routing apparatus and method for high-speed mesh connected local area network
US5179556A (en) * 1991-08-02 1993-01-12 Washington University Bandwidth management and congestion control scheme for multicast ATM networks
US5261827A (en) * 1992-03-05 1993-11-16 Ag Communication Systems Corporation Movable shroud center standoff
US5355372A (en) * 1992-08-19 1994-10-11 Nec Usa, Inc. Threshold-based load balancing in ATM switches with parallel switch planes related applications
US5408469A (en) * 1993-07-22 1995-04-18 Synoptics Communications, Inc. Routing device utilizing an ATM switch as a multi-channel backplane in a communication network
US5425169A (en) * 1993-12-02 1995-06-20 The Whitaker Corporation Backplane removal and insertion tool
US5444701A (en) * 1992-10-29 1995-08-22 International Business Machines Corporation Method of packet routing in torus networks with two buffers per edge
US5513172A (en) * 1993-08-24 1996-04-30 Mitsubishi Denki Kabushiki Kaisha Frame relay apparatus and a relay method
US5521591A (en) * 1990-03-05 1996-05-28 Massachusetts Institute Of Technology Switching networks with expansive and/or dispersive logical clusters for message routing
US5532856A (en) * 1994-06-30 1996-07-02 Nec Research Institute, Inc. Planar optical mesh-connected tree interconnect network
US5581705A (en) * 1993-12-13 1996-12-03 Cray Research, Inc. Messaging facility with hardware tail pointer and software implemented head pointer message queue for distributed memory massively parallel processing system
US5583990A (en) * 1993-12-10 1996-12-10 Cray Research, Inc. System for allocating messages between virtual channels to avoid deadlock and to optimize the amount of message traffic on each type of virtual channel
US5617577A (en) * 1990-11-13 1997-04-01 International Business Machines Corporation Advanced parallel array processor I/O connection
US5659796A (en) * 1995-04-13 1997-08-19 Cray Research, Inc. System for randomly modifying virtual channel allocation and accepting the random modification based on the cost function
US5659716A (en) * 1994-11-23 1997-08-19 Virtual Machine Works, Inc. Pipe-lined static router and scheduler for configurable logic system performing simultaneous communications and computation
US5734649A (en) * 1996-05-31 1998-03-31 Bbn Corporation Data packet router
US5864553A (en) * 1996-01-10 1999-01-26 Nec Corporation Multiport frame exchange system
US5982771A (en) * 1995-07-19 1999-11-09 Fujitsu Network Communications, Inc. Controlling bandwidth allocation using a pace counter
US6000011A (en) * 1996-12-09 1999-12-07 International Business Machines Corporation Multi-entry fully associative transition cache
US6044080A (en) * 1996-11-19 2000-03-28 Pluris, Inc. Scalable parallel packet router
US6052376A (en) * 1996-12-30 2000-04-18 Hyundai Electronics America Distributed buffering system for ATM switches
US6055618A (en) * 1995-10-31 2000-04-25 Cray Research, Inc. Virtual maintenance network in multiprocessing system having a non-flow controlled virtual maintenance channel
US6101181A (en) * 1997-11-17 2000-08-08 Cray Research Inc. Virtual channel assignment in large torus systems
US6118761A (en) * 1997-12-18 2000-09-12 Advanced Micro Devices, Inc. Apparatus and method for generating rate control frames in a workgroup switch based on traffic contribution from a network switch port
US6285679B1 (en) * 1997-08-22 2001-09-04 Avici Systems, Inc. Methods and apparatus for event-driven routing

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5058001A (en) * 1987-03-05 1991-10-15 International Business Machines Corporation Two-dimensional array of processing elements for emulating a multi-dimensional network
US5390173A (en) * 1992-10-22 1995-02-14 Digital Equipment Corporation Packet format in hub for packet data communications system
US5905723A (en) * 1993-06-23 1999-05-18 Cabletron Systems, Inc. System for achieving scalable router performance
US5701416A (en) * 1995-04-13 1997-12-23 Cray Research, Inc. Adaptive routing mechanism for torus interconnection network
EP0872087B1 (en) * 1995-07-28 2002-10-30 BRITISH TELECOMMUNICATIONS public limited company Packet routing
US5732078A (en) * 1996-01-16 1998-03-24 Bell Communications Research, Inc. On-demand guaranteed bandwidth service for internet access points using supplemental user-allocatable bandwidth network
US5892766A (en) * 1996-02-22 1999-04-06 Fujitsu, Ltd. Method and apparatus for coordinating access to an output of a routing device in a packet switching network
US5903558A (en) * 1996-06-28 1999-05-11 Motorola, Inc. Method and system for maintaining a guaranteed quality of service in data transfers within a communications system
US5841775A (en) * 1996-07-16 1998-11-24 Huang; Alan Scalable switching network
US5894481A (en) * 1996-09-11 1999-04-13 Mcdata Corporation Fiber channel switch employing distributed queuing
US5903559A (en) * 1996-12-20 1999-05-11 Nec Usa, Inc. Method for internet protocol switching over fast ATM cell transport
US6064653A (en) * 1997-01-07 2000-05-16 Bell Atlantic Network Services, Inc. Internetwork gateway to gateway alternative communication
US6011795A (en) * 1997-03-20 2000-01-04 Washington University Method and apparatus for fast hierarchical address lookup using controlled expansion of prefixes
US6078565A (en) 1997-06-20 2000-06-20 Digital Equipment Corporation Method and apparatus to expand an on chip FIFO into local memory
US6370145B1 (en) * 1997-08-22 2002-04-09 Avici Systems Internet switch router
US6449283B1 (en) * 1998-05-15 2002-09-10 Polytechnic University Methods and apparatus for providing a fast ring reservation arbitration

Patent Citations (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4118094A (en) * 1977-03-31 1978-10-03 Trw Inc. Zero-entry force connector
US4340092A (en) * 1980-02-26 1982-07-20 Western Electric Co., Inc. Methods of and apparatus for straightening backplane-supported pins
US4371013A (en) * 1980-08-29 1983-02-01 Western Electric Company, Inc. Methods of straightening backplane-supported pins
US4469388A (en) * 1981-07-27 1984-09-04 Thomas & Betts Corporation Header for imposing frictional force on terminal posts
US4495615A (en) * 1981-09-22 1985-01-22 Statt der Nederlanden (Stattsbedrijf der Posterijen, Telegrafie en Telefonie) Method and device for controlling a switching network
US4631637A (en) * 1985-12-23 1986-12-23 Burroughs Corporation Dual backplane interconnect system
US4933933A (en) * 1986-12-19 1990-06-12 The California Institute Of Technology Torus routing chip
US4862451A (en) * 1987-01-28 1989-08-29 International Business Machines Corporation Method and apparatus for switching information between channels for synchronous information traffic and asynchronous data packets
US4861274A (en) * 1987-03-20 1989-08-29 Siemens Aktiengesellschaft Backplane having a movable contact means
US4911645A (en) * 1988-12-14 1990-03-27 Cray Research, Inc. Parallel board ZIF module connector
US5126999A (en) * 1989-04-20 1992-06-30 Northern Telecom Limited Method and apparatus for input-buffered asynchronous transfer mode switching
US5088091A (en) * 1989-06-22 1992-02-11 Digital Equipment Corporation High-speed mesh connected local area network
US5179558A (en) * 1989-06-22 1993-01-12 Digital Equipment Corporation Routing apparatus and method for high-speed mesh connected local area network
US5134690A (en) * 1989-06-26 1992-07-28 Samatham Maheswara R Augumented multiprocessor networks
US5521591A (en) * 1990-03-05 1996-05-28 Massachusetts Institute Of Technology Switching networks with expansive and/or dispersive logical clusters for message routing
US5123848A (en) * 1990-07-20 1992-06-23 Cray Research, Inc. Computer signal interconnect apparatus
US5144691A (en) * 1990-07-20 1992-09-01 Cray Research, Inc. Computer signal interconnect apparatus
US5172371A (en) * 1990-08-09 1992-12-15 At&T Bell Laboratories Growable switch
US5155784A (en) * 1990-11-07 1992-10-13 Bicc Plc Optical connection to backplanes
US5617577A (en) * 1990-11-13 1997-04-01 International Business Machines Corporation Advanced parallel array processor I/O connection
US5179556A (en) * 1991-08-02 1993-01-12 Washington University Bandwidth management and congestion control scheme for multicast ATM networks
US5261827A (en) * 1992-03-05 1993-11-16 Ag Communication Systems Corporation Movable shroud center standoff
US5355372A (en) * 1992-08-19 1994-10-11 Nec Usa, Inc. Threshold-based load balancing in ATM switches with parallel switch planes related applications
US5444701A (en) * 1992-10-29 1995-08-22 International Business Machines Corporation Method of packet routing in torus networks with two buffers per edge
US5408469A (en) * 1993-07-22 1995-04-18 Synoptics Communications, Inc. Routing device utilizing an ATM switch as a multi-channel backplane in a communication network
US5513172A (en) * 1993-08-24 1996-04-30 Mitsubishi Denki Kabushiki Kaisha Frame relay apparatus and a relay method
US5425169A (en) * 1993-12-02 1995-06-20 The Whitaker Corporation Backplane removal and insertion tool
US5797035A (en) * 1993-12-10 1998-08-18 Cray Research, Inc. Networked multiprocessor system with global distributed memory and block transfer engine
US5583990A (en) * 1993-12-10 1996-12-10 Cray Research, Inc. System for allocating messages between virtual channels to avoid deadlock and to optimize the amount of message traffic on each type of virtual channel
US5581705A (en) * 1993-12-13 1996-12-03 Cray Research, Inc. Messaging facility with hardware tail pointer and software implemented head pointer message queue for distributed memory massively parallel processing system
US5532856A (en) * 1994-06-30 1996-07-02 Nec Research Institute, Inc. Planar optical mesh-connected tree interconnect network
US5659716A (en) * 1994-11-23 1997-08-19 Virtual Machine Works, Inc. Pipe-lined static router and scheduler for configurable logic system performing simultaneous communications and computation
US5659796A (en) * 1995-04-13 1997-08-19 Cray Research, Inc. System for randomly modifying virtual channel allocation and accepting the random modification based on the cost function
US5982771A (en) * 1995-07-19 1999-11-09 Fujitsu Network Communications, Inc. Controlling bandwidth allocation using a pace counter
US6055618A (en) * 1995-10-31 2000-04-25 Cray Research, Inc. Virtual maintenance network in multiprocessing system having a non-flow controlled virtual maintenance channel
US5864553A (en) * 1996-01-10 1999-01-26 Nec Corporation Multiport frame exchange system
US5734649A (en) * 1996-05-31 1998-03-31 Bbn Corporation Data packet router
US6044080A (en) * 1996-11-19 2000-03-28 Pluris, Inc. Scalable parallel packet router
US6000011A (en) * 1996-12-09 1999-12-07 International Business Machines Corporation Multi-entry fully associative transition cache
US6052376A (en) * 1996-12-30 2000-04-18 Hyundai Electronics America Distributed buffering system for ATM switches
US6285679B1 (en) * 1997-08-22 2001-09-04 Avici Systems, Inc. Methods and apparatus for event-driven routing
US6101181A (en) * 1997-11-17 2000-08-08 Cray Research Inc. Virtual channel assignment in large torus systems
US6118761A (en) * 1997-12-18 2000-09-12 Advanced Micro Devices, Inc. Apparatus and method for generating rate control frames in a workgroup switch based on traffic contribution from a network switch port

Cited By (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020009056A1 (en) * 2000-07-12 2002-01-24 Nec Corporation Route retrieving system, method therefor and a router device to be used in the same
US20080253294A1 (en) * 2001-12-14 2008-10-16 Alberto Alessandro Della Ripa Data link fault tolerance
US7209453B1 (en) * 2001-12-14 2007-04-24 Applied Micro Circuits Corporation System and method for tolerating control link faults in a packet communications switch fabric
US20080256455A1 (en) * 2001-12-14 2008-10-16 Alberto Alessandro Della Ripa Method for Defining the Physical Configuration of a Communication System
US7965624B2 (en) 2001-12-14 2011-06-21 Qualcomm Incorporated Data link fault tolerance
US8418129B1 (en) 2001-12-14 2013-04-09 Qualcomm Incorporated Method for automatically generating code to define a system of hardware elements
US7889729B2 (en) 2001-12-20 2011-02-15 Qualcomm Incorporated System and method for reevaluating granted arbitrated bids
US20080186961A1 (en) * 2001-12-20 2008-08-07 Kenneth Yi Yun System and Method for Reevaluating Granted Arbitrated Bids
US20050201356A1 (en) * 2004-03-11 2005-09-15 Yasuyuki Miura Adaptive routing for hierarchical interconnection network
US8204067B2 (en) 2004-05-21 2012-06-19 Intel Corporation Technique for lane virtualization
US7957428B2 (en) * 2004-05-21 2011-06-07 Intel Corporation Methods and apparatuses to effect a variable-width link
US20050259696A1 (en) * 2004-05-21 2005-11-24 Steinman Maurice B Methods and apparatuses to effect a variable-width link
US9264384B1 (en) 2004-07-22 2016-02-16 Oracle International Corporation Resource virtualization mechanism including virtual host bus adapters
US8677023B2 (en) * 2004-07-22 2014-03-18 Oracle International Corporation High availability and I/O aggregation for server environments
US20060101178A1 (en) * 2004-11-08 2006-05-11 Zhong Tina C Arbitration in a multi-protocol environment
US20060221948A1 (en) * 2005-03-31 2006-10-05 International Business Machines Corporation Interconnecting network for switching data packets and method for switching data packets
US7724733B2 (en) * 2005-03-31 2010-05-25 International Business Machines Corporation Interconnecting network for switching data packets and method for switching data packets
US8027256B1 (en) * 2005-06-02 2011-09-27 Force 10 Networks, Inc. Multi-port network device using lookup cost backpressure
US9813283B2 (en) 2005-08-09 2017-11-07 Oracle International Corporation Efficient data transfer between servers and remote peripherals
US8885673B2 (en) 2005-08-24 2014-11-11 Intel Corporation Interleaving data packets in a packet-based communication system
US20070047584A1 (en) * 2005-08-24 2007-03-01 Spink Aaron T Interleaving data packets in a packet-based communication system
US8325768B2 (en) * 2005-08-24 2012-12-04 Intel Corporation Interleaving data packets in a packet-based communication system
US7672305B2 (en) * 2005-10-04 2010-03-02 Nec Corporation Crossbar switch, information processor, and transfer method
US20070076701A1 (en) * 2005-10-04 2007-04-05 Nec Corporation Crossbar switch, information processor, and transfer method
US20070280141A1 (en) * 2006-05-01 2007-12-06 Seagate Technology, Llc Hardware implementation of loop initialization protocol
US8185656B2 (en) * 2007-03-20 2012-05-22 Fujitsu Limited Process and computer for collectively transmitting unique messages, and recording medium storing a program for collectively transmitting unique messages
US20090292787A1 (en) * 2007-03-20 2009-11-26 Fujitsu Limited Process and computer for collectively transmitting unique messages, and recording medium storing a program for collectively transmitting unique messages
US8612997B2 (en) 2008-01-15 2013-12-17 International Business Machines Corporation Event-driven component integration framework for implementing distributed systems
US20090183170A1 (en) * 2008-01-15 2009-07-16 Gharpure Jagannath T Event-Driven Component Integration Framework for Implementing Distributed Systems
US8799930B2 (en) 2008-01-15 2014-08-05 International Business Machines Corporation Event-driven component integration framework for implementing distributed systems
US7562168B1 (en) 2008-05-29 2009-07-14 International Business Machines Corporation Method of optimizing buffer usage of virtual channels of a physical communication link and apparatuses for performing the same
US8306042B1 (en) * 2009-06-19 2012-11-06 Google Inc. Class-based deterministic packet routing
US9973446B2 (en) 2009-08-20 2018-05-15 Oracle International Corporation Remote shared server peripherals over an Ethernet network for resource virtualization
US10880235B2 (en) 2009-08-20 2020-12-29 Oracle International Corporation Remote shared server peripherals over an ethernet network for resource virtualization
US9331963B2 (en) 2010-09-24 2016-05-03 Oracle International Corporation Wireless host I/O using virtualized I/O controllers
US9083550B2 (en) 2012-10-29 2015-07-14 Oracle International Corporation Network virtualization over infiniband
CN110708241A (en) * 2014-02-13 2020-01-17 洛克波特网络股份有限公司 Method for routing packets in a distributed direct interconnection network
US10699189B2 (en) 2017-02-23 2020-06-30 Cerebras Systems Inc. Accelerated deep learning
US11934945B2 (en) 2017-02-23 2024-03-19 Cerebras Systems Inc. Accelerated deep learning
WO2018193370A1 (en) * 2017-04-17 2018-10-25 Cerebras Systems Inc. Task activating for accelerated deep learning
US10762418B2 (en) 2017-04-17 2020-09-01 Cerebras Systems Inc. Control wavelet for accelerated deep learning
US10515303B2 (en) 2017-04-17 2019-12-24 Cerebras Systems Inc. Wavelet representation for accelerated deep learning
US10726329B2 (en) 2017-04-17 2020-07-28 Cerebras Systems Inc. Data structure descriptors for deep learning acceleration
US11488004B2 (en) 2017-04-17 2022-11-01 Cerebras Systems Inc. Neuron smearing for accelerated deep learning
JP7233656B2 (en) 2017-04-17 2023-03-07 セレブラス システムズ インク. Task Activation for Accelerated Deep Learning
JP2020517029A (en) * 2017-04-17 2020-06-11 セレブラス システムズ インク. Accelerated Deep Learning Task Activation
US11475282B2 (en) 2017-04-17 2022-10-18 Cerebras Systems Inc. Microthreading for accelerated deep learning
US10657438B2 (en) 2017-04-17 2020-05-19 Cerebras Systems Inc. Backpressure for accelerated deep learning
US11062200B2 (en) 2017-04-17 2021-07-13 Cerebras Systems Inc. Task synchronization for accelerated deep learning
JP2021108157A (en) * 2017-04-17 2021-07-29 セレブラス システムズ インク. Task activation for accelerated deep learning
US11157806B2 (en) 2017-04-17 2021-10-26 Cerebras Systems Inc. Task activating for accelerated deep learning
US11232347B2 (en) 2017-04-17 2022-01-25 Cerebras Systems Inc. Fabric vectors for deep learning acceleration
US11232348B2 (en) 2017-04-17 2022-01-25 Cerebras Systems Inc. Data structure descriptors for deep learning acceleration
US10614357B2 (en) 2017-04-17 2020-04-07 Cerebras Systems Inc. Dataflow triggered tasks for accelerated deep learning
US11328207B2 (en) 2018-08-28 2022-05-10 Cerebras Systems Inc. Scaled compute fabric for accelerated deep learning
US11321087B2 (en) 2018-08-29 2022-05-03 Cerebras Systems Inc. ISA enhancements for accelerated deep learning
US11328208B2 (en) 2018-08-29 2022-05-10 Cerebras Systems Inc. Processor element redundancy for accelerated deep learning
US10944696B2 (en) * 2019-02-19 2021-03-09 Pensando Systems Inc. Variable-length packet header vectors
WO2020172129A1 (en) * 2019-02-19 2020-08-27 Pensando Systems Inc. Variable-length packet header vectors
US20200267098A1 (en) * 2019-02-19 2020-08-20 Pensando Systems Inc. Variable-length packet header vectors

Also Published As

Publication number Publication date
DE69832884D1 (en) 2006-01-26
DE69832884T2 (en) 2006-08-24
EP1641195A1 (en) 2006-03-29
JP2001514463A (en) 2001-09-11
KR20010023195A (en) 2001-03-26
AU8904898A (en) 1999-03-16
US8325715B2 (en) 2012-12-04
CA2301112A1 (en) 1999-03-04
EP1005745B1 (en) 2005-12-21
WO1999011033A1 (en) 1999-03-04
US6563831B1 (en) 2003-05-13
US6285679B1 (en) 2001-09-04
US20070140240A1 (en) 2007-06-21
ATE313891T1 (en) 2006-01-15
KR100615724B1 (en) 2006-08-25
EP1005745A1 (en) 2000-06-07
US20010038634A1 (en) 2001-11-08
US6654381B2 (en) 2003-11-25

Similar Documents

Publication Publication Date Title
US6285679B1 (en) Methods and apparatus for event-driven routing
US6370145B1 (en) Internet switch router
US7039058B2 (en) Switched interconnection network with increased bandwidth and port count
US7046633B2 (en) Router implemented with a gamma graph interconnection network
US6947433B2 (en) System and method for implementing source based and egress based virtual networks in an interconnection network
EP1625757B1 (en) Time-division multiplexing circuit-switching router
EP1287648B1 (en) Switching system
US20030035371A1 (en) Means and apparatus for a scaleable congestion free switching system with intelligent control
JP2002533994A (en) Data exchange method and device
JP2533223B2 (en) Multi-stage communication network
JPH10164096A (en) Multicast packet access mediation method
JPH10190710A (en) Access mediation method
US9985912B1 (en) Shared memory switch fabric system and method
Network FIG.
WO2020092767A1 (en) Hierarchical switching device with deadlockable storage and storage partitions
CN117135107B (en) Network communication topology system, routing method, device and medium
Kayarkar et al. Router architecture for the interconnection network: A review
Dimitrakopoulos et al. Baseline Switching Modules and Routers
Senın Design of a High-Performance buffered crossbar switch fabric using network on chip
Dimitrakopoulos et al. Switch Architecture
Theiss et al. Deadlock avoidance for wormhole based switches
Abts et al. Scalable Switch Microarchitecture
Kokkalis et al. SCALABLE STRATEGIES FOR ALLEVIATING THE HOL BLOCKING PRODUCED BY CONGESTION TREES IN LOSSLESS INTERCONNECTION NETWORKS
Dagher et al. A Study of Wormhole Router Architectures
JPH11510327A (en) Asynchronous transfer mode based service integrated exchange

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION