US20040179726A1 - Process for detecting defects in photomasks - Google Patents

Process for detecting defects in photomasks Download PDF

Info

Publication number
US20040179726A1
US20040179726A1 US10/810,406 US81040604A US2004179726A1 US 20040179726 A1 US20040179726 A1 US 20040179726A1 US 81040604 A US81040604 A US 81040604A US 2004179726 A1 US2004179726 A1 US 2004179726A1
Authority
US
United States
Prior art keywords
detecting defects
mask
masks
image
photomask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/810,406
Inventor
James Burdorf
Christophe Pierrat
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/810,406 priority Critical patent/US20040179726A1/en
Publication of US20040179726A1 publication Critical patent/US20040179726A1/en
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BURDORF, JAMES, PIERRAT, CHRISTOPHE
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N21/95607Inspecting patterns on the surface of objects using a comparative method
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70653Metrology techniques
    • G03F7/70666Aerial image, i.e. measuring the image of the patterned exposure light at the image plane of the projection system

Definitions

  • the present invention relates to processes for inspecting photomasks to detect defects. More particularly, the present invention relates to an automatic inspection system for detecting defects in photomasks.
  • phase shifting masks have been developed to improve photolithographic processes. Phase shifting masks increased image contrast and resolution without reducing wave length or increasing numerical aperture. These masks also improve depth of focus and process latitude for a given feature size.
  • phase shift photolithography With phase shift photolithography, the interference of light rays is used to overcome the problems of defraction and improve the resolution and depth of optical images projected onto a target.
  • the phases of the exposure light at the target is controlled such that adjacent bright areas are preferably formed 180° out of phase with each other. Dark regions are thus produced between the bright areas by destructive interference even when defraction would otherwise cause these areas to be lit. This technique improves total resolution at the target.
  • OPC optical proximity effect correction
  • optical proximity effects are convoluted with subsequent processing step distortions like resist processing, dry etch proximity effects and wet etch proximity effects.
  • the mask designs are corrected for proximity effects, namely re-entrant and outside serifs are used to correct rounding and the edges of the patterns are moved to correct line width errors.
  • Another technique consists in adding small, non-printing features, referred to as subresolution features, in order to correct line width errors. In some cases, these features can also improve the process latitude of the printed resist patterns.
  • Defects in photomasks can arise from many different sources. For example, certain defects such as bubbles, scratches, pits and fractures can be contained in the raw glass substrates. Defects can also be formed in the chrome layer by particulate inclusions, pin holes or voids, and excess material.
  • the present invention provides an automatic process for detecting printable defects in masks.
  • the invention is particularly useful in analyzing advanced photomask designs such as those which include optical proximity effect corrections and phase shifting layers.
  • a mask design is generated from a binary mask layout.
  • the mask design is then used to generate a photomask such as by suitably etching a chrome layer on a quartz plate.
  • the present invention provides a process for detecting any defects that are formed in the photomask.
  • an aerial image of the photomask is generated. This is then compared with a simulated image of the binary mask layout which has been adjusted to account for expected distortions and corner rounding caused by image processing of the mask and wafer. Any discrepancies between the aerial image and the simulated image are likely due to defects in the photomask.
  • the aerial image of the photomask is compared with a simulated aerial image of the mask design. Again, any discrepancies between these two images are likely due to defects in the photomask.
  • FIG. 1 is a schematic illustration of a feature of a photomask design illustrated at different stages according to a first embodiment of the present invention.
  • FIG. 2 is a schematic illustration of a feature of a photomask design illustrated at different stages according to a second preferred embodiment of the present invention.
  • the present invention provides a process for performing an automatic inspection of advanced design photomasks to detect printable defects which might cause fatal flaws in semiconductor dies.
  • the invention is best understood by reference to the attached drawings in which like parts are designated with like numerals.
  • a feature of a semiconductor mask design is generally designated at 10 .
  • Feature 10 forms part of a binary mask layout. From this layout, features on an advanced mask design are generated.
  • Feature 12 corresponds to feature 10 but is obtained by applying optical proximity effect correction techniques to feature 10 .
  • Feature 12 is then used to generate a corresponding feature on a photomask.
  • Feature 14 corresponds to feature 12 as it appears in the chrome on the photomask.
  • a defect 16 was formed in the design.
  • Defect 16 comprises excess chrome which remains on the quartz plate.
  • the process of the present invention can also be used to detect other types of defects such as missing chrome, contamination, glass damage, phase defects, transmission errors and even poor repairs made to a defective mask.
  • an aerial image 18 is generated from feature 14 on the photomask.
  • Aerial images can be generated using a system comparable to the commercially available MSM-100 aerial image measurement system manufactured by Carl Zeiss, Inc. This system is set up to analyze actual masks under optical conditions that are essentially equivalent to those of a stepper of interest, but greatly magnified. As the exposure light is shown through the mask and magnified, a UV sensitive CCD camera is used for data capture.
  • a simulated image 20 of feature 10 is also generated and takes into account expected distortions and corner rounding due to image processing of the mask and wafer.
  • Image 20 can also be the result of the convolution of feature 10 with some convolution function(s) representing, but not limited to, the aerial image, the mask fabrication process and OPC corrections.
  • the aerial image can be generated by various software programs such as FAIM produced by Vector Technologies, DEPICT produced by TMA, and SPLAT produced by The University of California, Berkeley.
  • Aerial image 18 which is generated using a threshold such that dimensions of image 18 match the dimensions of image 20 , is then compared to simulated image 20 .
  • Incongruity 24 which corresponds to defect 16 , will be identified during the comparison as a discrepancy between the two images.
  • FIG. 2 illustrates a second preferred embodiment of the present invention.
  • a feature 10 of binary mask design is again used to generate a mask design feature 12 .
  • This mask design is then used to generate feature 14 on a photomask and an aerial image 18 is generated from the image on the photomask.
  • simulated image 30 is generated as a simulated aerial image of mask design image 12 .
  • Aerial image 18 is then compared to this simulated image 30 to obtain a comparison 32 where any incongruities 34 will appear as discrepancies between the two images.
  • Image 30 can also be the result of the convolution of feature 10 with some convolution function(s) representing, but not limited to, the aerial image, the mask fabrication process, OPC corrections, etc.
  • the present invention can be used for x-ray masks, stencil masks for ion projection lithography, masks for electron beam projection lithography, etc.
  • the techniques of the present invention can also be applied to imaging systems other than those used in the manufacture of integrated circuits.

Abstract

The present invention provides a process for performing automatic inspection of advanced design photomasks. In a preferred embodiment, an aerial image of a portion of a photomask is generated. A simulated image corresponding to original pattern data is also generated. The aerial image and simulated image are then compared and discrepancies are detected as possible defects.

Description

    BACKGROUND OF THE INVENTION
  • This invention was made with government support under Contract No. MDA 972-92-C-0054 awarded by Advanced Research Projects Agency (ARPA). The government has certain rights in this invention. [0001]
  • The present invention relates to processes for inspecting photomasks to detect defects. More particularly, the present invention relates to an automatic inspection system for detecting defects in photomasks. [0002]
  • Advances in capacity in semiconductor chips have generally been the result of decreases in the size of features on a chip. The lateral dimensions of features are generally defined by photolithographic techniques in which a detailed pattern is transferred to a photoresist by shining light through a photomask or reticle. [0003]
  • In recent years, phase shifting masks have been developed to improve photolithographic processes. Phase shifting masks increased image contrast and resolution without reducing wave length or increasing numerical aperture. These masks also improve depth of focus and process latitude for a given feature size. [0004]
  • With phase shift photolithography, the interference of light rays is used to overcome the problems of defraction and improve the resolution and depth of optical images projected onto a target. With this technology, the phases of the exposure light at the target is controlled such that adjacent bright areas are preferably formed 180° out of phase with each other. Dark regions are thus produced between the bright areas by destructive interference even when defraction would otherwise cause these areas to be lit. This technique improves total resolution at the target. [0005]
  • Another method that has been developed to produce masks for use in the fabrication of semiconductors containing small features is optical proximity effect correction (“OPC”). In this method, changes are made to the binary mask's layout so that it will print more clearly. Because of the limited resolution of the current photolithographic tools (i.e., steppers), the patterns defined on the photomask are transferred into the resist on the wafer with some distortions referred to as optical proximity effects. The main consequences in term of line width control are: corner rounding, difference between isolated and semi-isolated or dense patterns, lack of CD linearity or where small features print even smaller than their expected size compared to large features, and line end shortening where the length of a line having a small line width becomes smaller than its expected size. [0006]
  • Moreover, optical proximity effects are convoluted with subsequent processing step distortions like resist processing, dry etch proximity effects and wet etch proximity effects. In order to achieve a sufficient line width control at the wafer level, the mask designs are corrected for proximity effects, namely re-entrant and outside serifs are used to correct rounding and the edges of the patterns are moved to correct line width errors. Another technique consists in adding small, non-printing features, referred to as subresolution features, in order to correct line width errors. In some cases, these features can also improve the process latitude of the printed resist patterns. [0007]
  • Printable defects in photomasks and reticles have historically been a source of defects that have reduced die yields. With current photolithographic techniques, printable defects in the photomasks, are repeated many times over the surface of a semiconductor wafer and can result in substantial yield losses. Accordingly, it is important to detect and correct as many defects as possible in the photomasks. [0008]
  • Defects in photomasks can arise from many different sources. For example, certain defects such as bubbles, scratches, pits and fractures can be contained in the raw glass substrates. Defects can also be formed in the chrome layer by particulate inclusions, pin holes or voids, and excess material. [0009]
  • As advances have been made in photomask design such as phase shifting and OPC, it has become harder to detect defects in the photomasks. However, defect detection and correction has become increasingly important. Previously, masks were checked by exposing and developing an image on a resist layer on a plain quartz wafer. The resulting pattern was then inspected. However, there was no die-to-database inspection with this system. [0010]
  • Automatic photomask defect detection systems have been developed and are commercially available. These include systems such as the KLARIS system by KLA Instruments Corp. and the Chipcheck system by Cambridge Instruments. Inspection tools such as KLA and Orbot systems are also available for die-to-die inspection of the printed image on wafers. These systems are limited by the fact that the inspection is performed at 1× (versus 4× or 5× for most advanced reticles). The maximum allowable defect size is smaller and a complete inspection is not possible in the case of a single die reticle as die-to-database capability is not available on these systems. [0011]
  • In the KLA system, light is transmitted through the photomask and detected by a CCPD image sensor. This image is then compared to the image from a database or compared to the image from another die on the mask. If one comparison of a die to the database is performed, the remaining comparisons on the mask can all be die-to-die inspections that relate back to the initial comparison. [0012]
  • These prior art systems are generally limited to basic mask designs and have limited capability of checking advanced designs such as those containing optical proximity effect corrections and phase shifting layers. [0013]
  • Because of the importance in detecting and correcting photomask defects, it would be a significant advancement in the art to provide an automatic process for detecting defects in advanced photomask designs. Such a process is disclosed and claimed herein. [0014]
  • SUMMARY OF THE INVENTION
  • The present invention provides an automatic process for detecting printable defects in masks. The invention is particularly useful in analyzing advanced photomask designs such as those which include optical proximity effect corrections and phase shifting layers. [0015]
  • In a preferred embodiment, a mask design is generated from a binary mask layout. The mask design is then used to generate a photomask such as by suitably etching a chrome layer on a quartz plate. The present invention provides a process for detecting any defects that are formed in the photomask. In a preferred embodiment, an aerial image of the photomask is generated. This is then compared with a simulated image of the binary mask layout which has been adjusted to account for expected distortions and corner rounding caused by image processing of the mask and wafer. Any discrepancies between the aerial image and the simulated image are likely due to defects in the photomask. [0016]
  • In a second preferred embodiment, the aerial image of the photomask is compared with a simulated aerial image of the mask design. Again, any discrepancies between these two images are likely due to defects in the photomask.[0017]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a schematic illustration of a feature of a photomask design illustrated at different stages according to a first embodiment of the present invention. [0018]
  • FIG. 2 is a schematic illustration of a feature of a photomask design illustrated at different stages according to a second preferred embodiment of the present invention. [0019]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • The present invention provides a process for performing an automatic inspection of advanced design photomasks to detect printable defects which might cause fatal flaws in semiconductor dies. The invention is best understood by reference to the attached drawings in which like parts are designated with like numerals. [0020]
  • Referring first to FIG. 1, a feature of a semiconductor mask design is generally designated at [0021] 10. Feature 10 forms part of a binary mask layout. From this layout, features on an advanced mask design are generated. Feature 12 corresponds to feature 10 but is obtained by applying optical proximity effect correction techniques to feature 10. Feature 12 is then used to generate a corresponding feature on a photomask.
  • [0022] Feature 14 corresponds to feature 12 as it appears in the chrome on the photomask. During fabrication, a defect 16 was formed in the design. Defect 16 comprises excess chrome which remains on the quartz plate. However, it will be appreciated by those skilled in the art that the process of the present invention can also be used to detect other types of defects such as missing chrome, contamination, glass damage, phase defects, transmission errors and even poor repairs made to a defective mask.
  • In order to detect any defects, an [0023] aerial image 18 is generated from feature 14 on the photomask. Aerial images can be generated using a system comparable to the commercially available MSM-100 aerial image measurement system manufactured by Carl Zeiss, Inc. This system is set up to analyze actual masks under optical conditions that are essentially equivalent to those of a stepper of interest, but greatly magnified. As the exposure light is shown through the mask and magnified, a UV sensitive CCD camera is used for data capture.
  • A [0024] simulated image 20 of feature 10 is also generated and takes into account expected distortions and corner rounding due to image processing of the mask and wafer.
  • [0025] Image 20 can also be the result of the convolution of feature 10 with some convolution function(s) representing, but not limited to, the aerial image, the mask fabrication process and OPC corrections. For example, the aerial image can be generated by various software programs such as FAIM produced by Vector Technologies, DEPICT produced by TMA, and SPLAT produced by The University of California, Berkeley.
  • [0026] Aerial image 18, which is generated using a threshold such that dimensions of image 18 match the dimensions of image 20, is then compared to simulated image 20. Incongruity 24, which corresponds to defect 16, will be identified during the comparison as a discrepancy between the two images.
  • Reference is next made to FIG. 2 which illustrates a second preferred embodiment of the present invention. In this embodiment, a [0027] feature 10 of binary mask design is again used to generate a mask design feature 12. This mask design is then used to generate feature 14 on a photomask and an aerial image 18 is generated from the image on the photomask.
  • However, in this embodiment, [0028] simulated image 30 is generated as a simulated aerial image of mask design image 12. Aerial image 18 is then compared to this simulated image 30 to obtain a comparison 32 where any incongruities 34 will appear as discrepancies between the two images. Image 30 can also be the result of the convolution of feature 10 with some convolution function(s) representing, but not limited to, the aerial image, the mask fabrication process, OPC corrections, etc.
  • While the invention has been described with respect to mask designs using optical proximity effect correction techniques, it will be appreciated by those skilled in the art that it can also be applied to other advanced mask designs such as those using phase shifting layers. The invention can be used either to analyze known defects or to do an automated inspection over an entire mask surface. Additionally, while the above description has been limited to the analysis of a single feature, it will be appreciated that blocks of multiple features can be analyzed. [0029]
  • In addition to photomasks, the present invention can be used for x-ray masks, stencil masks for ion projection lithography, masks for electron beam projection lithography, etc. The techniques of the present invention can also be applied to imaging systems other than those used in the manufacture of integrated circuits. [0030]
  • While the invention has been described with respect to the presently preferred embodiments, it will be appreciated by those skilled in the art that changes and modifications could be made to the disclosed embodiments without departing from the spirit or scope of the invention. For example, the inspection technique and aerial images could be performed out of focus in order to detect defects that mainly print out of focus such as phase defects. Additionally, other techniques of mathematical processing of the data can be used to generate [0031] images 20 and 30. Further, the simulated image of the mask can be generated during inspection or a portion of the simulation can be performed before inspection and the remainder during inspection. Accordingly, all changes or modifications which come within the meaning and range of equivalency of the claims are to be embraced within their scope.

Claims (27)

We claim:
1. A process for detecting defects in masks comprising:
generating an aerial image of a portion of a mask;
generating a simulated image corresponding to original pattern data used to create said mask; and
comparing said aerial image to said simulated image.
2. A process for detecting defects in masks as defined in claim 1 wherein said simulated image is generated from original pattern data taking into account expected distortions and corner rounding due to image processing.
3. A process for detecting defects in masks as defined in claim 1 wherein said simulated image is obtained by generating an aerial image of a mask design used to generate a portion of the mask with which it is compared.
4. A process for detecting defects in masks as defined in claim 1 wherein said mask is generated using proximity effect correction techniques.
5. A process for detecting defects in masks as defined in claim 4 wherein said mask is generated using optical proximity effect correction techniques.
6. A process for detecting defects in masks as defined in claim 4 wherein said mask is generated using x-ray proximity effect correction techniques.
7. A process for detecting defects in masks as defined in claim 4 wherein said mask is generated using ion beam proximity effect correction techniques.
8. A process for detecting defects in masks as defined in claim 4 wherein said mask is generated using e-beam proximity effect correction techniques.
9. A process for detecting defects in masks as defined in claim 1 wherein said photomask includes phase shifting techniques.
10. A process for detecting defects in masks as defined in claim 1 wherein said mask includes proximity effect correction techniques and phase shifting techniques.
11. A process for detecting defects in masks as defined in claim 1 wherein said mask comprises a photomask.
12. A process for detecting defects in masks as defined in claim 1 wherein said masks are used in the manufacture of integrated circuits.
13. A process for detecting defects in masks as defined in claim 1 wherein said mask comprises an x-ray mask.
14. A process for detecting defects in masks as defined in claim 1 wherein said mask comprises a stencil mask for ion projection lithography.
15. A process for detecting defects in masks as defined in claim 1 wherein said mask comprises a mask for electron beam projection lithography.
16. A process for detecting defects in masks as defined in claim 1 wherein said aerial image and said simulated image are generated out of focus.
17. A process for detecting defects in photomasks comprising:
generating an aerial image of a portion of a photomask;
generating a simulated image corresponding to original pattern data used to create said photomask; and
comparing said aerial image to said simulated image.
18. A process for detecting defects in photomasks as defined in claim 16 wherein said simulated image is generated from original pattern data taking into account expected distortions and corner rounding due to image processing.
19. A process for detecting defects in photomasks as defined in claim 16 wherein said simulated image is obtained by generating an aerial image of a mask design used to generate the portion of the photomask with which it is compared.
20. A process for detecting defects in photomasks as defined in claim 16 wherein said photomask is generated using optical proximity effect correction techniques.
21. A process for detecting defects in photomasks as defined in claim 16 wherein said photomask includes phase shifting techniques.
22. A process for detecting defects in photomasks as defined in claim 16 wherein said photomask includes proximity effect correction techniques and phase shifting techniques.
23. A process for detecting defects in photomasks as defined in claim 16 wherein said aerial image and said simulated image are generated out of focus.
24. An apparatus for detecting defects in photomasks comprising:
an aerial image measurement system for generating an aerial image of a portion of a photomask;
a simulated image generating system for generating a simulated image corresponding to original pattern data of said photomask; and
a comparator for comparing said aerial image and said simulated image.
25. An apparatus for detecting defects in photomasks as defined in claim 22 wherein said image simulator comprises an aerial image measurement system.
26. An apparatus for detecting defects in masks comprising:
means for generating an aerial image of a portion of a mask;
means for generating a simulated image corresponding to original pattern data used to create said mask; and
means for comparing said aerial image with said simulated image.
27. An apparatus for detecting defects in photomasks comprising:
means for generating an aerial image of a portion of a photomask;
means for generating a simulated image corresponding to original pattern data used to create said photomask; and
means for comparing said aerial image with said simulated image.
US10/810,406 1996-08-14 2004-03-26 Process for detecting defects in photomasks Abandoned US20040179726A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/810,406 US20040179726A1 (en) 1996-08-14 2004-03-26 Process for detecting defects in photomasks

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/696,652 US5795688A (en) 1996-08-14 1996-08-14 Process for detecting defects in photomasks through aerial image comparisons
US13511098A 1998-08-17 1998-08-17
US10/810,406 US20040179726A1 (en) 1996-08-14 2004-03-26 Process for detecting defects in photomasks

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13511098A Continuation 1996-08-14 1998-08-17

Publications (1)

Publication Number Publication Date
US20040179726A1 true US20040179726A1 (en) 2004-09-16

Family

ID=24797993

Family Applications (2)

Application Number Title Priority Date Filing Date
US08/696,652 Expired - Lifetime US5795688A (en) 1996-08-14 1996-08-14 Process for detecting defects in photomasks through aerial image comparisons
US10/810,406 Abandoned US20040179726A1 (en) 1996-08-14 2004-03-26 Process for detecting defects in photomasks

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US08/696,652 Expired - Lifetime US5795688A (en) 1996-08-14 1996-08-14 Process for detecting defects in photomasks through aerial image comparisons

Country Status (1)

Country Link
US (2) US5795688A (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040165761A1 (en) * 2003-02-26 2004-08-26 Chang-Cheng Hung System and method for inspecting errors on a wafer
US20070064998A1 (en) * 2005-09-22 2007-03-22 Advanced Mask Inspection Technology Inc. Pattern inspection apparatus, pattern inspection method, and inspection sample
US20080069431A1 (en) * 2006-09-15 2008-03-20 Axel Zibold Method and apparatus for the repair of photolithography masks
CN1884043B (en) * 2006-07-07 2011-05-11 南京大学 Graphics compensation method for electron beam direct-writing graphics in preparation of nano dot structure
JP2016009180A (en) * 2014-06-26 2016-01-18 株式会社ニューフレアテクノロジー Mask inspection device, mask evaluation method and mask evaluation system
CN106463428A (en) * 2014-05-06 2017-02-22 科磊股份有限公司 Reticle inspection using near-field recovery
TWI644169B (en) * 2014-05-06 2018-12-11 美商克萊譚克公司 Computer-implemented method, non-transitory computer-readable medium, and system for reticle inspection using near-field recovery
CN109725499A (en) * 2017-10-30 2019-05-07 台湾积体电路制造股份有限公司 Defect inspection method and defect detecting system
US10304180B2 (en) 2015-08-10 2019-05-28 Kla-Tencor Corporation Apparatus and methods for predicting wafer-level defect printability
US10395361B2 (en) 2015-08-10 2019-08-27 Kla-Tencor Corporation Apparatus and methods for inspecting reticles

Families Citing this family (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5795688A (en) * 1996-08-14 1998-08-18 Micron Technology, Inc. Process for detecting defects in photomasks through aerial image comparisons
US6228539B1 (en) 1996-09-18 2001-05-08 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
US6078738A (en) * 1997-05-08 2000-06-20 Lsi Logic Corporation Comparing aerial image to SEM of photoresist or substrate pattern for masking process characterization
US6016357A (en) * 1997-06-16 2000-01-18 International Business Machines Corporation Feedback method to repair phase shift masks
US6400838B2 (en) * 1997-07-29 2002-06-04 Kabushiki Kaisha Toshiba Pattern inspection equipment, pattern inspection method, and storage medium storing pattern inspection program
JP3045114B2 (en) * 1997-08-19 2000-05-29 日本電気株式会社 Method for creating charged particle beam drawing data and recording medium storing pattern data creating program for drawing
US7093229B2 (en) * 1997-09-17 2006-08-15 Synopsys, Inc. System and method for providing defect printability analysis of photolithographic masks with job-based automation
US6370679B1 (en) 1997-09-17 2002-04-09 Numerical Technologies, Inc. Data hierarchy layout correction and verification method and apparatus
US6578188B1 (en) * 1997-09-17 2003-06-10 Numerical Technologies, Inc. Method and apparatus for a network-based mask defect printability analysis system
US6757645B2 (en) * 1997-09-17 2004-06-29 Numerical Technologies, Inc. Visual inspection and verification system
US7107571B2 (en) * 1997-09-17 2006-09-12 Synopsys, Inc. Visual analysis and verification system using advanced tools
US6453452B1 (en) 1997-12-12 2002-09-17 Numerical Technologies, Inc. Method and apparatus for data hierarchy maintenance in a system for mask description
US7617474B2 (en) * 1997-09-17 2009-11-10 Synopsys, Inc. System and method for providing defect printability analysis of photolithographic masks with job-based automation
US6470489B1 (en) 1997-09-17 2002-10-22 Numerical Technologies, Inc. Design rule checking system and method
US5965306A (en) * 1997-10-15 1999-10-12 International Business Machines Corporation Method of determining the printability of photomask defects
JP4076644B2 (en) * 1997-12-05 2008-04-16 株式会社ルネサステクノロジ Pattern distortion detection apparatus and detection method
US6631307B1 (en) * 1998-03-19 2003-10-07 Taiwan Semiconductor Manufacturing Company Use of logical operations in place of OPC software
JP3461288B2 (en) 1998-07-08 2003-10-27 松下電器産業株式会社 Method of correcting graphic pattern for semiconductor device and method of manufacturing semiconductor device
US6120952A (en) * 1998-10-01 2000-09-19 Micron Technology, Inc. Methods of reducing proximity effects in lithographic processes
JP2000150341A (en) * 1998-11-09 2000-05-30 Nec Corp Data preparation method, data verification method, data display method and exposure system for charged particle beam direct writing
US6373975B1 (en) 1999-01-25 2002-04-16 International Business Machines Corporation Error checking of simulated printed images with process window effects included
US6272018B1 (en) 1999-02-11 2001-08-07 Original Solutions Inc. Method for the verification of the polarity and presence of components on a printed circuit board
US6406818B1 (en) * 1999-03-31 2002-06-18 Photronics, Inc. Method of manufacturing photomasks by plasma etching with resist stripped
US6261724B1 (en) * 1999-06-16 2001-07-17 International Business Machines Corporation Method of modifying a microchip layout data set to generate a predicted mask printed data set
US6425112B1 (en) 1999-06-17 2002-07-23 International Business Machines Corporation Auto correction of error checked simulated printed images
US6483937B1 (en) * 1999-06-17 2002-11-19 International Business Machines Corporation Process for inspecting an object
US6704695B1 (en) 1999-07-16 2004-03-09 International Business Machines Corporation Interactive optical proximity correction design method
US6395432B1 (en) * 1999-08-02 2002-05-28 Micron Technology, Inc. Methods of determining processing alignment in the forming of phase shift regions
US6440612B1 (en) 1999-09-01 2002-08-27 Micron Technology, Inc. Field correction of overlay error
US6466315B1 (en) 1999-09-03 2002-10-15 Applied Materials, Inc. Method and system for reticle inspection by photolithography simulation
JP2001092111A (en) * 1999-09-21 2001-04-06 Nikon Corp Method for inspecting pattern shape of transfer mask for electron beam
US6268093B1 (en) * 1999-10-13 2001-07-31 Applied Materials, Inc. Method for reticle inspection using aerial imaging
US6625800B1 (en) * 1999-12-30 2003-09-23 Intel Corporation Method and apparatus for physical image based inspection system
US7120285B1 (en) * 2000-02-29 2006-10-10 Advanced Micro Devices, Inc. Method for evaluation of reticle image using aerial image simulator
US6329107B1 (en) 2000-03-15 2001-12-11 International Business Machines Corporation Method of characterizing partial coherent light illumination and its application to serif mask design
US6625801B1 (en) 2000-09-29 2003-09-23 Numerical Technologies, Inc. Dissection of printed edges from a fabrication layout for correcting proximity effects
US6453457B1 (en) 2000-09-29 2002-09-17 Numerical Technologies, Inc. Selection of evaluation point locations based on proximity effects model amplitudes for correcting proximity effects in a fabrication layout
US6539521B1 (en) 2000-09-29 2003-03-25 Numerical Technologies, Inc. Dissection of corners in a fabrication layout for correcting proximity effects
US6792590B1 (en) * 2000-09-29 2004-09-14 Numerical Technologies, Inc. Dissection of edges with projection points in a fabrication layout for correcting proximity effects
JP2002122978A (en) * 2000-10-18 2002-04-26 Sony Corp Method for verifying mask data and computer-readable recording medium with recorded verification program
US6451490B1 (en) 2000-11-08 2002-09-17 International Business Machines Corporation Method to overcome image shortening by use of sub-resolution reticle features
US6665856B1 (en) 2000-12-01 2003-12-16 Numerical Technologies, Inc. Displacing edge segments on a fabrication layout based on proximity effects model amplitudes for correcting proximity effects
US6578190B2 (en) * 2001-01-11 2003-06-10 International Business Machines Corporation Process window based optical proximity correction of lithographic images
KR100610441B1 (en) * 2001-03-20 2006-08-08 뉴메리컬 테크날러지즈 인코퍼레이티드 System and method of providing mask defect printability analysis
US6925202B2 (en) 2001-03-20 2005-08-02 Synopsys, Inc. System and method of providing mask quality control
US6873720B2 (en) 2001-03-20 2005-03-29 Synopsys, Inc. System and method of providing mask defect printability analysis
US6789237B1 (en) * 2001-05-11 2004-09-07 Northwestern University Efficient model order reduction via multi-point moment matching
US7072502B2 (en) 2001-06-07 2006-07-04 Applied Materials, Inc. Alternating phase-shift mask inspection method and apparatus
US6560766B2 (en) 2001-07-26 2003-05-06 Numerical Technologies, Inc. Method and apparatus for analyzing a layout using an instance-based representation
US6721928B2 (en) 2001-07-26 2004-04-13 Numerical Technologies, Inc. Verification utilizing instance-based hierarchy management
US7014955B2 (en) * 2001-08-28 2006-03-21 Synopsys, Inc. System and method for indentifying dummy features on a mask layer
DE60214506T2 (en) * 2001-10-09 2007-05-16 Asml Masktools B.V. Method for calibration and optimization of a 2-dimensional modeling of patterns
US6670082B2 (en) 2001-10-09 2003-12-30 Numerical Technologies, Inc. System and method for correcting 3D effects in an alternating phase-shifting mask
US6976240B2 (en) * 2001-11-14 2005-12-13 Synopsys Inc. Simulation using design geometry information
US6906305B2 (en) * 2002-01-08 2005-06-14 Brion Technologies, Inc. System and method for aerial image sensing
US6935922B2 (en) 2002-02-04 2005-08-30 Kla-Tencor Technologies Corp. Methods and systems for generating a two-dimensional map of a characteristic at relative or absolute locations of measurement spots on a specimen during polishing
JP2003302745A (en) * 2002-04-12 2003-10-24 Dainippon Printing Co Ltd Method for making foreign matter harmless
US7035446B2 (en) * 2002-05-22 2006-04-25 Lsi Logic Corporation Quality measurement of an aerial image
US6828542B2 (en) * 2002-06-07 2004-12-07 Brion Technologies, Inc. System and method for lithography process monitoring and control
US20040008879A1 (en) * 2002-07-11 2004-01-15 United Microelectronics Corp. Method for detecting wafer level defect
DE10236027B3 (en) * 2002-08-06 2004-02-26 Texas Instruments Deutschland Gmbh Checking method for lithography mask used for IC manufacture using comparison of checked mask structure with reference structure after transfer of both structures to a semiconductor material
US7043071B2 (en) * 2002-09-13 2006-05-09 Synopsys, Inc. Soft defect printability simulation and analysis for masks
US7504182B2 (en) * 2002-09-18 2009-03-17 Fei Company Photolithography mask repair
US6807503B2 (en) 2002-11-04 2004-10-19 Brion Technologies, Inc. Method and apparatus for monitoring integrated circuit fabrication
US6943882B2 (en) * 2002-12-19 2005-09-13 Nikon Precision, Inc. Method to diagnose imperfections in illuminator of a lithographic tool
US7053355B2 (en) 2003-03-18 2006-05-30 Brion Technologies, Inc. System and method for lithography process monitoring and control
TW200523524A (en) * 2003-11-05 2005-07-16 Asml Masktools Bv Eigen decomposition based OPC model
US7296184B2 (en) * 2004-01-28 2007-11-13 Microsoft Corporation Method and system for masking dynamic regions in a user interface to enable testing of user interface consistency
KR100824031B1 (en) * 2004-01-30 2008-04-21 에이에스엠엘 마스크툴즈 비.브이. Method Of Predicting And Minimizing Model OPC Deviation Due To Mix/Match Of Exposure Tools Using A Calibrated Eigen Decomposition Model
US7342646B2 (en) * 2004-01-30 2008-03-11 Asml Masktools B.V. Method of manufacturing reliability checking and verification for lithography process using a calibrated eigen decomposition model
US7448012B1 (en) 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
US7116411B2 (en) * 2004-08-26 2006-10-03 Asml Masktools B.V. Method of performing resist process calibration/optimization and DOE optimization for providing OPE matching between different lithography systems
US7331033B2 (en) * 2004-08-27 2008-02-12 Applied Materials, Israel, Ltd. Simulation of aerial images
EP1635222A3 (en) * 2004-09-14 2007-09-19 ASML MaskTools B.V. A method for performing full-chip manufacturing reliability checking and correction
US20060099519A1 (en) * 2004-11-10 2006-05-11 Moriarty Michael H Method of depositing a material providing a specified attenuation and phase shift
US8124300B1 (en) * 2004-11-30 2012-02-28 Globalfoundries Inc. Method of lithographic mask correction using localized transmission adjustment
DE102005009536A1 (en) * 2005-02-25 2006-08-31 Carl Zeiss Sms Gmbh Process to inspect masks for weak spots uses aerial image simulation to produce a list of critical points or hotspots, which is analyzed using Aerial Imaging Measurement system to compare real with simulated images
US7455938B2 (en) * 2005-04-01 2008-11-25 Micron Technology, Inc. Methods of forming patterns in substrates
US7493589B2 (en) * 2005-12-29 2009-02-17 Asml Masktools B.V. Method, program product and apparatus for model based geometry decomposition for use in a multiple exposure process
CN101501703B (en) * 2006-02-01 2012-07-04 以色列商·应用材料以色列公司 Method and system for evaluating a variation in a parameter of a pattern
US8023102B2 (en) * 2008-04-18 2011-09-20 International Business Machines Corporation Test method for determining reticle transmission stability
US8094926B2 (en) * 2008-06-06 2012-01-10 Kabushiki Kaisha Toshiba Ultrafine pattern discrimination using transmitted/reflected workpiece images for use in lithography inspection system

Citations (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4527070A (en) * 1981-08-20 1985-07-02 Fujitsu Limited Method and apparatus for inspecting a pattern
US4633504A (en) * 1984-06-28 1986-12-30 Kla Instruments Corporation Automatic photomask inspection system having image enhancement means
US4644172A (en) * 1984-02-22 1987-02-17 Kla Instruments Corporation Electronic control of an automatic wafer inspection system
US4809341A (en) * 1986-07-18 1989-02-28 Fujitsu Limited Test method and apparatus for a reticle or mask pattern used in semiconductor device fabrication
US4893346A (en) * 1985-03-14 1990-01-09 Beltronics, Inc. Apparatus for automatically inspecting objects and identifying or recognizing known and unknown portions thereof, including defects and the like, and method
US4926489A (en) * 1983-03-11 1990-05-15 Kla Instruments Corporation Reticle inspection system
US5029222A (en) * 1987-09-02 1991-07-02 Fujitsu Limited Photoelectron image projection apparatus
US5048093A (en) * 1989-04-13 1991-09-10 Mitsubishi Rayon Company, Ltd. Defect counting method and apparatus
US5125040A (en) * 1983-06-23 1992-06-23 Fujitsu Ltd. Inspection method of photomask reticle for semiconductor device fabrication
US5129009A (en) * 1990-06-04 1992-07-07 Motorola, Inc. Method for automatic semiconductor wafer inspection
US5307421A (en) * 1992-10-14 1994-04-26 Commissariat A L'energie Atomique Process for producing a synthesized reference image for the inspection of objects and apparatus for performing the same
US5306585A (en) * 1988-11-22 1994-04-26 Hitachi, Ltd. Mask for manufacturing semiconductor device and method of manufacture thereof
US5379348A (en) * 1992-03-31 1995-01-03 Kabushiki Kaisha Toshiba Pattern defects inspection system
US5441834A (en) * 1992-07-20 1995-08-15 Hatachi, Ltd. Process for fabricating phase shift mask and process of semiconductor integrated circuit device
US5475766A (en) * 1991-09-05 1995-12-12 Kabushiki Kaisha Toshiba Pattern inspection apparatus with corner rounding of reference pattern data
US5481624A (en) * 1992-04-27 1996-01-02 Mitsubishi Denki Kabushiki Kaisha Mask inspecting method and mask detector
US5563702A (en) * 1991-08-22 1996-10-08 Kla Instruments Corporation Automated photomask inspection apparatus and method
US5707765A (en) * 1996-05-28 1998-01-13 Microunity Systems Engineering, Inc. Photolithography mask using serifs and method thereof
US5725974A (en) * 1995-06-30 1998-03-10 Sony Corporation Method and apparatus for producing scanning data used to produce a photomask
US5795688A (en) * 1996-08-14 1998-08-18 Micron Technology, Inc. Process for detecting defects in photomasks through aerial image comparisons
US5804340A (en) * 1996-12-23 1998-09-08 Lsi Logic Corporation Photomask inspection method and inspection tape therefor
US5849440A (en) * 1996-07-02 1998-12-15 Motorola, Inc. Process for producing and inspecting a lithographic reticle and fabricating semiconductor devices using same
US5850467A (en) * 1990-11-05 1998-12-15 Fujitsu Limited Image data inspecting method and apparatus providing for equal sizing of first and second image data to be compared
US5862058A (en) * 1996-05-16 1999-01-19 International Business Machines Corporation Optical proximity correction method and system
US6064484A (en) * 1996-03-13 2000-05-16 Fujitsu Limited Pattern inspection method and system

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6062122A (en) * 1983-09-16 1985-04-10 Fujitsu Ltd Inspection of mask pattern

Patent Citations (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4527070A (en) * 1981-08-20 1985-07-02 Fujitsu Limited Method and apparatus for inspecting a pattern
US4926489A (en) * 1983-03-11 1990-05-15 Kla Instruments Corporation Reticle inspection system
US5125040A (en) * 1983-06-23 1992-06-23 Fujitsu Ltd. Inspection method of photomask reticle for semiconductor device fabrication
US4644172A (en) * 1984-02-22 1987-02-17 Kla Instruments Corporation Electronic control of an automatic wafer inspection system
US4633504A (en) * 1984-06-28 1986-12-30 Kla Instruments Corporation Automatic photomask inspection system having image enhancement means
US4893346A (en) * 1985-03-14 1990-01-09 Beltronics, Inc. Apparatus for automatically inspecting objects and identifying or recognizing known and unknown portions thereof, including defects and the like, and method
US4809341A (en) * 1986-07-18 1989-02-28 Fujitsu Limited Test method and apparatus for a reticle or mask pattern used in semiconductor device fabrication
US5029222A (en) * 1987-09-02 1991-07-02 Fujitsu Limited Photoelectron image projection apparatus
US5306585A (en) * 1988-11-22 1994-04-26 Hitachi, Ltd. Mask for manufacturing semiconductor device and method of manufacture thereof
US5048093A (en) * 1989-04-13 1991-09-10 Mitsubishi Rayon Company, Ltd. Defect counting method and apparatus
US5129009A (en) * 1990-06-04 1992-07-07 Motorola, Inc. Method for automatic semiconductor wafer inspection
US5850467A (en) * 1990-11-05 1998-12-15 Fujitsu Limited Image data inspecting method and apparatus providing for equal sizing of first and second image data to be compared
US5563702A (en) * 1991-08-22 1996-10-08 Kla Instruments Corporation Automated photomask inspection apparatus and method
US5475766A (en) * 1991-09-05 1995-12-12 Kabushiki Kaisha Toshiba Pattern inspection apparatus with corner rounding of reference pattern data
US5379348A (en) * 1992-03-31 1995-01-03 Kabushiki Kaisha Toshiba Pattern defects inspection system
US5481624A (en) * 1992-04-27 1996-01-02 Mitsubishi Denki Kabushiki Kaisha Mask inspecting method and mask detector
US5441834A (en) * 1992-07-20 1995-08-15 Hatachi, Ltd. Process for fabricating phase shift mask and process of semiconductor integrated circuit device
US5307421A (en) * 1992-10-14 1994-04-26 Commissariat A L'energie Atomique Process for producing a synthesized reference image for the inspection of objects and apparatus for performing the same
US5725974A (en) * 1995-06-30 1998-03-10 Sony Corporation Method and apparatus for producing scanning data used to produce a photomask
US6064484A (en) * 1996-03-13 2000-05-16 Fujitsu Limited Pattern inspection method and system
US5862058A (en) * 1996-05-16 1999-01-19 International Business Machines Corporation Optical proximity correction method and system
US5707765A (en) * 1996-05-28 1998-01-13 Microunity Systems Engineering, Inc. Photolithography mask using serifs and method thereof
US5849440A (en) * 1996-07-02 1998-12-15 Motorola, Inc. Process for producing and inspecting a lithographic reticle and fabricating semiconductor devices using same
US5795688A (en) * 1996-08-14 1998-08-18 Micron Technology, Inc. Process for detecting defects in photomasks through aerial image comparisons
US5804340A (en) * 1996-12-23 1998-09-08 Lsi Logic Corporation Photomask inspection method and inspection tape therefor

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040165761A1 (en) * 2003-02-26 2004-08-26 Chang-Cheng Hung System and method for inspecting errors on a wafer
US7469057B2 (en) * 2003-02-26 2008-12-23 Taiwan Semiconductor Manufacturing Corp System and method for inspecting errors on a wafer
US20070064998A1 (en) * 2005-09-22 2007-03-22 Advanced Mask Inspection Technology Inc. Pattern inspection apparatus, pattern inspection method, and inspection sample
CN1884043B (en) * 2006-07-07 2011-05-11 南京大学 Graphics compensation method for electron beam direct-writing graphics in preparation of nano dot structure
US20080069431A1 (en) * 2006-09-15 2008-03-20 Axel Zibold Method and apparatus for the repair of photolithography masks
DE102006043874A1 (en) * 2006-09-15 2008-03-27 Carl Zeiss Sms Gmbh Method and apparatus for repairing photolithography masks
US7916930B2 (en) 2006-09-15 2011-03-29 Carl Zeiss Sms Gmbh Method and arrangement for repairing photolithography masks
DE102006043874B4 (en) * 2006-09-15 2020-07-09 Carl Zeiss Smt Gmbh Method and device for repairing photolithography masks
CN106463428A (en) * 2014-05-06 2017-02-22 科磊股份有限公司 Reticle inspection using near-field recovery
TWI644169B (en) * 2014-05-06 2018-12-11 美商克萊譚克公司 Computer-implemented method, non-transitory computer-readable medium, and system for reticle inspection using near-field recovery
US10026011B2 (en) 2014-06-26 2018-07-17 Nuflare Technology, Inc. Mask inspection apparatus, mask evaluation method and mask evaluation system
JP2016009180A (en) * 2014-06-26 2016-01-18 株式会社ニューフレアテクノロジー Mask inspection device, mask evaluation method and mask evaluation system
US10304180B2 (en) 2015-08-10 2019-05-28 Kla-Tencor Corporation Apparatus and methods for predicting wafer-level defect printability
US10395361B2 (en) 2015-08-10 2019-08-27 Kla-Tencor Corporation Apparatus and methods for inspecting reticles
CN109725499A (en) * 2017-10-30 2019-05-07 台湾积体电路制造股份有限公司 Defect inspection method and defect detecting system
US10964014B2 (en) 2017-10-30 2021-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Defect detecting method and defect detecting system

Also Published As

Publication number Publication date
US5795688A (en) 1998-08-18

Similar Documents

Publication Publication Date Title
US5795688A (en) Process for detecting defects in photomasks through aerial image comparisons
US7379175B1 (en) Methods and systems for reticle inspection and defect review using aerial imaging
US7788629B2 (en) Systems configured to perform a non-contact method for determining a property of a specimen
US7123356B1 (en) Methods and systems for inspecting reticles using aerial imaging and die-to-database detection
US7027143B1 (en) Methods and systems for inspecting reticles using aerial imaging at off-stepper wavelengths
US8151220B2 (en) Methods for simulating reticle layout data, inspecting reticle layout data, and generating a process for inspecting reticle layout data
US8103086B2 (en) Reticle defect inspection with model-based thin line approaches
US7564545B2 (en) Inspection methods and systems for lithographic masks
US5804340A (en) Photomask inspection method and inspection tape therefor
US20070002322A1 (en) Image inspection method
US7297453B2 (en) Systems and methods for mitigating variances on a patterned wafer using a prediction model
US20040225488A1 (en) System and method for examining mask pattern fidelity
US7469057B2 (en) System and method for inspecting errors on a wafer
US8421026B2 (en) Method and apparatus for mapping of line-width size distributions on photomasks
US6363296B1 (en) System and method for automated defect inspection of photomasks
EP1875309B1 (en) Method for monitoring a reticle
US6999611B1 (en) Reticle defect detection using simulation
US6925629B2 (en) Reticle fabrication method
US7995199B2 (en) Method for detection of oversized sub-resolution assist features
US20040008879A1 (en) Method for detecting wafer level defect
US6950183B2 (en) Apparatus and method for inspection of photolithographic mask
KR20080090794A (en) Method for fabricating photomask
CN117806116A (en) Photomask and photomask detection method
JPS63163464A (en) Mask
US20040265704A1 (en) Multiple-exposure defect elimination

Legal Events

Date Code Title Description
AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:PIERRAT, CHRISTOPHE;BURDORF, JAMES;REEL/FRAME:018825/0867

Effective date: 19960812

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION