US20040192052A1 - Viscous protective overlayers for planarization of integrated circuits - Google Patents

Viscous protective overlayers for planarization of integrated circuits Download PDF

Info

Publication number
US20040192052A1
US20040192052A1 US10/715,952 US71595203A US2004192052A1 US 20040192052 A1 US20040192052 A1 US 20040192052A1 US 71595203 A US71595203 A US 71595203A US 2004192052 A1 US2004192052 A1 US 2004192052A1
Authority
US
United States
Prior art keywords
copper
planarization
etching
viscous
overlayer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/715,952
Inventor
Shyama Mukherjee
Joseph Levert
Donald Debear
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/715,952 priority Critical patent/US20040192052A1/en
Publication of US20040192052A1 publication Critical patent/US20040192052A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means
    • C23F3/04Heavy metals
    • C23F3/06Heavy metals with acidic solutions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/701Integrated with dissimilar structures on a common substrate
    • Y10S977/712Integrated with dissimilar structures on a common substrate formed from plural layers of nanosized material, e.g. stacked structures
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/788Of specified organic or carbon-based composition

Definitions

  • the present invention relates to the planarization of surfaces during the fabrication of integrated circuits and to viscous protective overlayers retarding etching of depressed surface regions. More particularly, the present invention relates to the planarization of copper surfaces and tantalum/tantalum nitride barrier layers, and to the use of viscous overlayers facilitating planarization and mitigating dishing that typically occurs in the planarization of large copper damascene and dual damascene features.
  • ICs integrated circuits
  • Increasing the performance of integrated circuits (“ICs”) typically calls for increasing the density of components on the wafer and increasing the speed at which the IC performs its functions.
  • Increasing component density typically requires decreasing the size of the conducting trenches and vias (“interconnects”) on the wafer.
  • interconnects conducting trenches and vias
  • decreasing the cross-section of a current-carrying conductor increases the electrical resistance for the same conducting material, degrading circuit performance and increasing the heating of the interconnects.
  • Present IC technology typically makes use of tungsten (W) and aluminum (Al) interconnects and/or alloys containing these materials. Both metals, Al and W, and typical alloys thereof have adequate electrical conductivity for use in present devices, but future generations of ICs will preferably make use of higher conductivity materials. Copper (Cu) is among the leading candidates.
  • Cu has the advantage of higher conductivity than current IC interconnect materials, it suffers from several disadvantages.
  • Cu is a very diffusive contaminant, diffusing easily and widely through other materials typically used in the fabrication of ICs, seriously degrading IC performance in so doing.
  • Tantalum(Ta) and tantalum nitride (TaN) have been identified as promising barrier materials or “liners” that may be deposited prior to Cu deposition, thereby hindering the diffusion of Cu into the surrounding material.
  • a recent review of copper IC interconnect and other microelectronic technology is given by Shyam P. Murarka, Igor V. Verner and Ronald J. Gutmann in Copper—Fundamental Mechanisms for Microelectronic Applications, (John Wiley, 2000).
  • Planarization is a necessary step in the fabrication of multilayer ICs, providing a flat, smooth surface that can be patterned and etched with the accuracy required of modern IC components.
  • the conventional planarization technique is CMP (Chemical Mechanical Planarization) known in the art and described in text books (for example, Chemical Mechanical Planarization of Microelectronic Materials, by Joseph M. Steigerwald, Shyam P. Murarka and Ronald J. Gutman, 1997).
  • CMP makes use of a polishing pad brought into mechanical contact with the wafer to be planarized with an abrasive slurry interposed between polishing pad and wafer.
  • Non-contact planarization has also been proposed in which effectively no mechanical abrasion occurs on the wafer, planarizing by means of chemical effects.
  • One such noncontact planarization techniques makes use of a spinning wafer and suitable etching chemicals (“spin-etch planarization”) and is described in application Ser. No. 09/356,487 (incorporated herein by reference).
  • the present invention relates to improved methods for the planarization of Cu/Ta/TaN layers in the fabrication of ICs.
  • the present invention may be employed in connection with spin-etch planarization, conventional CMP or other planarization techniques that are apparent to those having ordinary skills in the art.
  • the present invention relates to the planarization of surfaces as typically encountered in the fabrication of integrated circuits, particularly copper conductors and Ta/TaN barrier layers encountered in damascene and dual damascene interconnects.
  • surfaces as typically encountered in the fabrication of integrated circuits, particularly copper conductors and Ta/TaN barrier layers encountered in damascene and dual damascene interconnects.
  • the present invention describes planarization methods for Cu/Ta/TaN interconnects, typically making use of a viscous overlayer tending to dwell in regions of lower surface topography, protecting said lower regions from etching by a combination of chemical and mechanical effects. Simultaneously, the raised less-protected regions of surface topography are preferentially removed.
  • the viscous overlayer contains species that hinder removal of copper from regions of the surface in contact with the viscous layer. Such species may be a substantially saturated solution of copper ions, thereby hindering the dissolution of interconnect copper into the protective overlayer. Other species inhibiting or passivating the copper surface from dissolution may be included in the viscous overlayer, including quinolines or benzotriazol among others.
  • the viscous overlayer may be added prior to the introduction of etchant to the wafer surface, or both etchant and viscous overlayer may be introduced substantially simultaneously, typically as the wafer is spun during planarization.
  • One embodiment relates to a method of planarizing a metal surface in the fabrication of integrated circuit interconnects comprising introducing a protecting fluid onto said metal surface and dispersing said protecting fluid across said metal surface and introducing an etching solution onto said metal surface, whereby the viscosity of said protecting fluid exceeds that of said etching solution thereby hindering etching of said surface in regions of said surface occupied by said protecting layer and etching said metal surface to planarity.
  • FIG. 1 Schematic, cross-sectional view of typical damascene and dual damascene interconnects prior to planarization.
  • FIG. 2 Schematic, cross-sectional view of typical damascene and dual damascene interconnects following ideal planarization process.
  • FIG. 3 Schematic cross-sectional view of typical damascene and dual damascene interconnect (A) prior to planarization. (B) After removal of field copper and exposing field barrier layer for an ideal planarization process. (C) After complete planarization for an ideal planarization process. (D) After complete planarization following a conventional (non-ideal) planarization process.
  • FIG. 4 Schematic cross-sectional view of typical damascene and dual damascene interconnects with surface topography prior to planarization including applications of viscous overlayer. (A) Complete coverage. (B) Partial coverage.
  • FIG. 5 Schematic cross-sectional view of typical damascene and dual damascene interconnects with surface topography prior to planarization including application of viscous overlayer completely covering the surface.
  • damascene and dual damascene processes are known in the art. For example, see Copper—Fundamental Mechanisms for Microelectronic Applications (supra), pp. 265-311 and references cited therein, (incorporated herein by reference). The examples of damascene and dual damascene processes presented herein are illustrative only and not intended to limit the scope or applicability of the present invention.
  • FIG. 1 depicts a cross-sectional view of a typical damascene metal interconnection structure (“interconnect”) before planarization.
  • An insulating dielectric layer, 1 (typically called an “interlayer dielectric” or ILD) is patterned, typically by means of photolithography, plasma etching among other techniques.
  • a barrier layer, 2 is typically deposited on the patterned dielectric followed by deposition of the conductor, 3 .
  • Typical barrier layers are typically tantalum/tantalum nitride (“Ta/TaN”), 2 , for use with copper conductors, 3 .
  • FIG. 2 depicts the ideal result of planarization in which all copper and barrier layer material is removed from field regions, 4 , and the upper surface of copper conductor, 3 , is flat and level with the upper surface of the ILD, 1 .
  • FIG. 3A depicts a typical dual damascene feature following patterning, deposition of the barrier layer and deposition of the metal layer in the feature and on the field regions.
  • Via, 6 , trench, 7 are coated with barrier layer, 2 , and filled with copper, 3 , typically resulting in surface topography, 20 , reflective of the underlying trench and via structures.
  • the first step in planarization of either damascene or dual damascene interconnects is the removal of the metal above the field and feature regions, exposing the barrier layer in the field regions.
  • the field metal is removed in a planar manner until the upper surface of the metal in the feature is coplanar with the surrounding field.
  • FIG. 3B shows the field metal removed until coplanarity with the field barrier layer, 2 , is achieved.
  • Some metal removal procedures remove the metal in a different fashion than the barrier layer, leading to the exposed barrier layer on the field regions depicted in FIG. 3B.
  • Some metal removal procedures use the barrier layer as an “etch stop” indicating the end point of the copper field planarization step.
  • a copper removal process that does not remove the barrier layer (or removes the barrier layer at a much slower rate than the copper layer) will effectively terminate on the field regions when the barrier layer has been exposed.
  • etching will continue in the copper feature, leading to a concave structure in the upper surface of the copper within the feature (“dishing”). Reduction or avoidance of dishing is one object of the present invention.
  • the barrier layer is typically removed by subsequent planarization along with the removal of sufficient metal to retain coplanarity of the metal-filled feature and dielectric, as depicted in FIG. 3C. If the planarization procedure achieves substantially a 1:1 selectivity in the removal of barrier material and copper, then direct planarization from FIG. 3A to FIG. 3C may be accomplished in one step.
  • FIG. 1 depicts schematically (and not to scale) a cross-sectional view of one type of imperfection that may occur in the deposition of copper over patterned dielectric (typically with a barrier layer, 2 , interposed between copper, 3 , and dielectric, 1 ). Copper may tend to plate or deposit approximately conformally, tending to reproduce in the surface of the copper layer the larger features of the underlying topography. Thus, the depressions characteristic of larger features, typically trenches, may appear as depressed surface topography, 9 and 10 , in the copper surface. However, even smaller features including vias may show some surface topography, 8 .
  • planarization is preferably not a conformal removal of material. That is, the copper removal process must preferentially remove material from elevated regions of an uneven surface to achieve planarization.
  • Conventional CMP involves the application of mechanical forces in addition to chemical removal of material. Mechanical forces tend to be larger on the raised portions of the surface that project into closer proximity with the polishing pad, leading to higher rates of material removal at the raised portions.
  • Non-contact chemical etching requires other modes by which preferential removal of raised topographic features occur, resulting in planarization.
  • FIG. 3A depicts a cross-sectional view of a typical dual damascene interconnect structure including trench and via prior to planarization.
  • Surface topography, 8 , 9 and 10 resulting from conformal-like plating may also be present in dual damascene interconnects. We omit this additional effect to simplify our description by focusing on dishing. In general, both dishing and surface topography will be present and both effects will need to be taken into account simultaneously in the design of an effective, practical planarization procedure.
  • 3A may remove copper in the interior region of the feature, resulting in a dish-like geometry depicted as 11 in FIG. 3D. Dishing is a common undesirable side-effect of removing the field region copper, 4 , and the barrier layer, 2 , overlying the field region.
  • the barrier layer is typically Ta,/TaN and fairly aggressive etchants are required to remove it.
  • a two-step planarization process may be employed in which a first set of etchants removes copper and other etchants remove the barrier layer.
  • the use of such etchants often results in a faster rate of copper removal if such etchants are applied to unprotected Cu surfaces. Dishing depicted as 11 is the unwanted result. It should be noted that dishing tends to be a more serious problem for larger features, typically feature dimension, 10 , exceeding approximately 10 ⁇ m (10 microns). Modern integrated circuit technology may wish to planarize feature dimensions as large as, or exceeding, 50 ⁇ m, making dishing a serious practical problem.
  • planarization is performed by means of a two-step process, copper removal followed by barrier material removal, several factors need to be considered.
  • the chemistry employed in the first step should be capable of chemical polishing or removal of copper selectively. In this instance, the uniformity of copper removal over the entire surface undergoing planarization is important in avoiding dishing. If some of the copper regions are cleared of copper (to the barrier layer or coplanar with the barrier layer), while other areas are not cleared, dishing of the copper in the cleared areas is expected when the residual copper is removed from the uncleaned area. Thus, there are two general causes of dishing: 1) Non-uniformity of copper removal in the first removal step. 2) Preferential etching or polishing of large features at a higher rate than smaller features.
  • the present invention provides several embodiments for planarization of copper damascene or dual damascene interconnects that reduce or eliminate the problems of dishing.
  • the several embodiments of the present invention are capable of planarizing copper surfaces having surface topography deriving from conformal-like plating (FIG. 1). Different embodiments offer different advantages in different processing environments.
  • Planarization of a non-uniform metal surface requires preferential etching of raised portions of the surface.
  • Some embodiments of the present invention utilize a protective or passivating overlayer in the form of a viscous fluid overlayer preferentially saturated with passivating metal, copper or other chemically inhibiting compounds.
  • Protecting fluid denotes an overlayer on the surface tending to prevent or retard etching of the surface region(s) so covered.
  • FIG. 4B depicts the viscous fluid schematically 13 in FIG. 4B.
  • a viscous fluid, 13 is deposited onto the surface (typically by spinning, dispersing on the surface, 12 ) and tends to collect in the depressed regions as depicted by 13 in FIG.
  • this inhibiting layer is a viscous layer saturated with passivating metal or copper ions, or other substances known to inhibit the removal of copper in the presence of etchants.
  • inhibitors include quinolines, benzotriazol among others.
  • the viscous fluid will form into a gradient without a sharp boundary between the viscous fluid and the etching reagent.
  • choosing the protective layer to have a larger (or substantially larger) viscosity than the etchant will nevertheless result in preferential concentration of the viscous fluid in lower surface regions and retarded rates of metal removal.
  • the use of a viscous overlayer is effectively practiced in connection with a non-contact planarization such as spin-etch planarization as described in patent application Ser. No. 09/356,487 in which the spinning of the wafer results in centrifugal forces more easily dispersing the etchant than the viscous protective fluid.
  • a viscous solution saturated with copper ions is prepared, typically in the form of copper phosphate for use with etchants containing phosphoric acid.
  • a solution of phosphoric acid in which a copper salt is dissolved, typically copper phosphate, nitrate, acetate or copper alkoxides among others.
  • Such a solution may have a density of around 1.75 and lack oxidants.
  • This viscous solution is typically spin-deposited onto the surface and preferentially retards etching in lower surface regions. The saturation of the overlayer with copper phosphate (or other copper salts as convenient with other etchants) hinders further dissolution of cooper from the surface undergoing planarization.
  • Some embodiments of the present invention utilize simultaneous introduction of protective fluid and etchant onto the wafer, typically while the wafer is spinning.
  • a configuration of materials schematically depicted in FIG. 5 is the result.
  • a fluid layer, 14 contains both etchant and viscous fluid in a mixture or dispersal. The more viscous fluid, typically more dense, will thus tend to concentrate at the lower regions of the fluid layer, 14 , and in the depressed regions.
  • simultaneous introduction of etchant and protective fluid leads to preferential concentration of protective fluid in the depressed surface regions, where it is needed.
  • Typical etchant solutions for removing copper are as follows in which % indicates % by volume of fully concentrated reagents:
  • Nitric Acid 1%-20%, preferably 3%-10%
  • Nitric Acid 1%-20%, preferably 3%-10%
  • Hydrogen peroxide can be used to replace nitric acid in whole or in part in the above etchant mixtures.
  • etching reagents Following etching, the residual etching reagents, protective fluid, etching by-products and the like are cleaned from the surface of the wafer in preparation for the deposition of additional layers of material.
  • Post-etching cleaning is well-known in the art and described by Steigerwald et. al., supra pp. 289-305 among other references.
  • removal of copper leads to exposure of the barrier layer and the removal of overlayers, resulting in the configuration of FIG. 3B.
  • it is necessary to remove the barrier layer, 2 while retaining planarity of the copper layer, that is, avoid dishing.
  • Removal of the barrier layer, Ta/TaN typically requires rather aggressive chemical etchants such as hydrofluoric acid, ammonium fluoride, ammonium bromide among others Such aggressive etchants may etch the copper, or etch the copper at a faster rate than the barrier layer, resulting in dishing.
  • selective protection of the copper in comparison with the barrier layer is useful in these embodiments of the present invention.
  • One approach to the avoidance of dishing is to introduce selective additives into the etching solution that selectively adsorb onto the copper.
  • the adsorbed species are chosen to protect copper from further etching while permitting removal of the barrier layer to proceed.
  • the additives typically organic compounds
  • Such additives must be compatible with the etchant (that is, not destroyed or rendered ineffective in the presence of etchant).
  • Typical additives include sulfonic acid type additives, glycols or other additives compatible with the typical etchant bath (for example, phosphoric acid).
  • planarization techniques described herein may be augmented by the application of external voltages to the copper and to the electrolytic etchant solutions, thereby adding electrochemical effects to the chemical and mechanical planarization effects described herein.
  • etching procedures described herein result in improved methods for the planarization of Cu/Ta/TaN layers in the fabrication of ICs. Improved performance of the resulting IC and/or improved process throughput result.

Abstract

The present invention relates to the planarization of surfaces as typically encountered in the fabrication of integrated circuits, particularly copper conductors and Ta/TaN barrier layers encountered in damascene and dual damascene interconnects. The present invention describes planarization methods for Cu/Ta/TaN interconnects, typically making use of a viscous overlayer tending to dwell in regions of lower surface topography, protecting said lower regions from etching by a combination of chemical and mechanical effects. In some embodiments, the viscous overlayer contains species that hinder removal of copper from regions of the surface in contact with the viscous layer. Such species may be a substantially saturated solution of copper ions among other additives, thereby hindering the dissolution of interconnect copper into the protective overlayer. In some embodiments of the present invention, the viscous overlayer may be added prior to the introduction of etchant to the wafer surface, or both etchant and viscous overlayer may be introduced substantially simultaneously, typically as the wafer is spun during planarization.

Description

    BACKGROUND OF THE INVENTION
  • 1. Technical Field [0001]
  • The present invention relates to the planarization of surfaces during the fabrication of integrated circuits and to viscous protective overlayers retarding etching of depressed surface regions. More particularly, the present invention relates to the planarization of copper surfaces and tantalum/tantalum nitride barrier layers, and to the use of viscous overlayers facilitating planarization and mitigating dishing that typically occurs in the planarization of large copper damascene and dual damascene features. [0002]
  • 2. Description of Related Art [0003]
  • Increasing the performance of integrated circuits (“ICs”) typically calls for increasing the density of components on the wafer and increasing the speed at which the IC performs its functions. Increasing component density typically requires decreasing the size of the conducting trenches and vias (“interconnects”) on the wafer. However, decreasing the cross-section of a current-carrying conductor increases the electrical resistance for the same conducting material, degrading circuit performance and increasing the heating of the interconnects. Present IC technology typically makes use of tungsten (W) and aluminum (Al) interconnects and/or alloys containing these materials. Both metals, Al and W, and typical alloys thereof have adequate electrical conductivity for use in present devices, but future generations of ICs will preferably make use of higher conductivity materials. Copper (Cu) is among the leading candidates. [0004]
  • While Cu has the advantage of higher conductivity than current IC interconnect materials, it suffers from several disadvantages. Cu is a very diffusive contaminant, diffusing easily and widely through other materials typically used in the fabrication of ICs, seriously degrading IC performance in so doing. Tantalum(Ta) and tantalum nitride (TaN) have been identified as promising barrier materials or “liners” that may be deposited prior to Cu deposition, thereby hindering the diffusion of Cu into the surrounding material. A recent review of copper IC interconnect and other microelectronic technology is given by Shyam P. Murarka, Igor V. Verner and Ronald J. Gutmann in [0005] Copper—Fundamental Mechanisms for Microelectronic Applications, (John Wiley, 2000).
  • Planarization is a necessary step in the fabrication of multilayer ICs, providing a flat, smooth surface that can be patterned and etched with the accuracy required of modern IC components. The conventional planarization technique is CMP (Chemical Mechanical Planarization) known in the art and described in text books (for example, [0006] Chemical Mechanical Planarization of Microelectronic Materials, by Joseph M. Steigerwald, Shyam P. Murarka and Ronald J. Gutman, 1997). CMP makes use of a polishing pad brought into mechanical contact with the wafer to be planarized with an abrasive slurry interposed between polishing pad and wafer. Relative motion (typically rotation) of the polishing pad with respect to the wafer leads to polishing of the wafer through mechanical abrasion and chemical etching caused by suitable reactive chemicals contained in the etching solution. Non-contact planarization has also been proposed in which effectively no mechanical abrasion occurs on the wafer, planarizing by means of chemical effects. One such noncontact planarization techniques makes use of a spinning wafer and suitable etching chemicals (“spin-etch planarization”) and is described in application Ser. No. 09/356,487 (incorporated herein by reference). Some aspects of non-contact planarization have been reported by J. Levert, S. Mukherjee and D. DeBear “Spin-Etch Planarization Process for Copper Damascene Interconnects” in Proceedings of SEMI Technology Symposium 99, Dec. 1-3, 1999, pp. 4-73 to 4-82. See also J. Levert, S. Mukherjee, D. DeBear and M. Fury “A Novel Spin-Etch Planarization Process for Dual-Damascene Copper Interconnects” in Electrochemical Society Conference, October 1999, p. 162 ff. And see also, Shyama P. Mukherjee, Joseph A. Levert and Donald S. DeBear, “Planarization of Copper Damascene Interconnects by Spin-Etch Process: A Chemical Approach,” MRS Spring Meeting, San Francisco, Calif. Apr. 27, 2000 including the references cited in all of the foregoing.
  • Other copper planarization procedures include that of Moslehi (WO 99/14800) and electrochemical or electropolishing techniques described by Cantolini and co-workers (J. Electrochem. Soc. Vol. 141, No. 9, pp. 2503-2510, September 1994 and WO 92/07118). [0007]
  • The present invention relates to improved methods for the planarization of Cu/Ta/TaN layers in the fabrication of ICs. The present invention may be employed in connection with spin-etch planarization, conventional CMP or other planarization techniques that are apparent to those having ordinary skills in the art. [0008]
  • BRIEF SUMMARY OF THE INVENTION
  • The present invention relates to the planarization of surfaces as typically encountered in the fabrication of integrated circuits, particularly copper conductors and Ta/TaN barrier layers encountered in damascene and dual damascene interconnects. To be definite in our discussion, we consider an example of considerable current technological interest, the planarization of copper surfaces and Ta/TaN barrier layers. Application of the invention disclosed herein to the planarization of other systems will be apparent to those having ordinary skills in the art. [0009]
  • Following deposition of the copper layer, surface topography in the upper surface of copper layer is to be removed by the planarization process, resulting in a substantially planar conductor co-planar with the surrounding dielectric. “Dishing” relates to the tendency to form a concave region in the copper interconnect lying below the plane of the dielectric surface. Dishing is a problem typically most pronounced in the planarization of large interconnects. [0010]
  • The present invention describes planarization methods for Cu/Ta/TaN interconnects, typically making use of a viscous overlayer tending to dwell in regions of lower surface topography, protecting said lower regions from etching by a combination of chemical and mechanical effects. Simultaneously, the raised less-protected regions of surface topography are preferentially removed. In some embodiments, the viscous overlayer contains species that hinder removal of copper from regions of the surface in contact with the viscous layer. Such species may be a substantially saturated solution of copper ions, thereby hindering the dissolution of interconnect copper into the protective overlayer. Other species inhibiting or passivating the copper surface from dissolution may be included in the viscous overlayer, including quinolines or benzotriazol among others. In some embodiments of the present invention, the viscous overlayer may be added prior to the introduction of etchant to the wafer surface, or both etchant and viscous overlayer may be introduced substantially simultaneously, typically as the wafer is spun during planarization. One embodiment relates to a method of planarizing a metal surface in the fabrication of integrated circuit interconnects comprising introducing a protecting fluid onto said metal surface and dispersing said protecting fluid across said metal surface and introducing an etching solution onto said metal surface, whereby the viscosity of said protecting fluid exceeds that of said etching solution thereby hindering etching of said surface in regions of said surface occupied by said protecting layer and etching said metal surface to planarity. [0011]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The drawings herein are schematic and not to scale. [0012]
  • FIG. 1: Schematic, cross-sectional view of typical damascene and dual damascene interconnects prior to planarization. [0013]
  • FIG. 2: Schematic, cross-sectional view of typical damascene and dual damascene interconnects following ideal planarization process. [0014]
  • FIG. 3: Schematic cross-sectional view of typical damascene and dual damascene interconnect (A) prior to planarization. (B) After removal of field copper and exposing field barrier layer for an ideal planarization process. (C) After complete planarization for an ideal planarization process. (D) After complete planarization following a conventional (non-ideal) planarization process. [0015]
  • FIG. 4: Schematic cross-sectional view of typical damascene and dual damascene interconnects with surface topography prior to planarization including applications of viscous overlayer. (A) Complete coverage. (B) Partial coverage. [0016]
  • FIG. 5: Schematic cross-sectional view of typical damascene and dual damascene interconnects with surface topography prior to planarization including application of viscous overlayer completely covering the surface. [0017]
  • DETAILED DESCRIPTION OF THE INVENTION
  • In the following description and figures, similar reference numbers are used to identify similar elements. The drawings presented herein are schematic and not drawn to scale. [0018]
  • Many variations of the damascene and dual damascene processes are known in the art. For example, see [0019] Copper—Fundamental Mechanisms for Microelectronic Applications (supra), pp. 265-311 and references cited therein, (incorporated herein by reference). The examples of damascene and dual damascene processes presented herein are illustrative only and not intended to limit the scope or applicability of the present invention.
  • FIG. 1 depicts a cross-sectional view of a typical damascene metal interconnection structure (“interconnect”) before planarization. An insulating dielectric layer, [0020] 1, (typically called an “interlayer dielectric” or ILD) is patterned, typically by means of photolithography, plasma etching among other techniques. A barrier layer, 2, is typically deposited on the patterned dielectric followed by deposition of the conductor, 3. Typical barrier layers are typically tantalum/tantalum nitride (“Ta/TaN”), 2, for use with copper conductors, 3. In order to be concrete in our description, we describe the particular example related to the planarization of copper interconnects with a Ta/TaN barrier layer, not intending thereby to limit the scope of the present invention. Modifications or extensions to other systems are apparent to those having ordinary skills in the art and are included within its scope.
  • In practice, typical means for depositing the metal layer, [0021] 3, (electrodeposition, CVD, PVD, among others) do not fill the features precisely to the lip or form a conductor surface coplanar with the dielectric. Thus, the common approach is that depicted in FIG. 1 in which the conductor, 3, fills the interconnect features such as vias and trenches, 5, as well as coats the flat “field” regions 4, between features leading to a metal layer overlying the entire dielectric surface. Typically the coating process results in non-planar surface topography of the copper layer because of the variation of the size of the underlying ILD features being coated. The surface structure is depicted as 8, 9 and 10. Subsequent processing results in the copper material and barrier layer being removed so as to form an interconnect surface ideally coplanar with the surface of dielectric, 1, suitable for further deposit of material and patterning among other processing steps. FIG. 2 depicts the ideal result of planarization in which all copper and barrier layer material is removed from field regions, 4, and the upper surface of copper conductor, 3, is flat and level with the upper surface of the ILD, 1.
  • FIG. 3A depicts a typical dual damascene feature following patterning, deposition of the barrier layer and deposition of the metal layer in the feature and on the field regions. Via, [0022] 6, trench, 7, are coated with barrier layer, 2, and filled with copper, 3, typically resulting in surface topography, 20, reflective of the underlying trench and via structures.
  • The first step in planarization of either damascene or dual damascene interconnects is the removal of the metal above the field and feature regions, exposing the barrier layer in the field regions. Ideally, the field metal is removed in a planar manner until the upper surface of the metal in the feature is coplanar with the surrounding field. One embodiment depicted in FIG. 3B shows the field metal removed until coplanarity with the field barrier layer, [0023] 2, is achieved. Some metal removal procedures remove the metal in a different fashion than the barrier layer, leading to the exposed barrier layer on the field regions depicted in FIG. 3B. Some metal removal procedures use the barrier layer as an “etch stop” indicating the end point of the copper field planarization step. Typically, a copper removal process that does not remove the barrier layer (or removes the barrier layer at a much slower rate than the copper layer) will effectively terminate on the field regions when the barrier layer has been exposed. However, unless the etchant is rapidly removed when the barrier layer is exposed, etching will continue in the copper feature, leading to a concave structure in the upper surface of the copper within the feature (“dishing”). Reduction or avoidance of dishing is one object of the present invention.
  • Following exposure of the barrier layer, depicted in FIG. 3B, the barrier layer is typically removed by subsequent planarization along with the removal of sufficient metal to retain coplanarity of the metal-filled feature and dielectric, as depicted in FIG. 3C. If the planarization procedure achieves substantially a 1:1 selectivity in the removal of barrier material and copper, then direct planarization from FIG. 3A to FIG. 3C may be accomplished in one step. [0024]
  • The creation of damascene and dual damascene interconnects by procedures that result in structures similar to those depicted in FIGS. 1, 2, [0025] 3B and 3C, is an idealization infrequently realized in practice. Practical planarization of copper damascene and dual damascene interconnects involves several challenges, two of which are the primary concern of the present invention; surface topography and dishing.
  • Surface Topography [0026]
  • FIG. 1 depicts schematically (and not to scale) a cross-sectional view of one type of imperfection that may occur in the deposition of copper over patterned dielectric (typically with a barrier layer, [0027] 2, interposed between copper, 3, and dielectric, 1). Copper may tend to plate or deposit approximately conformally, tending to reproduce in the surface of the copper layer the larger features of the underlying topography. Thus, the depressions characteristic of larger features, typically trenches, may appear as depressed surface topography, 9 and 10, in the copper surface. However, even smaller features including vias may show some surface topography, 8. This uneven structure of the copper surface is to be removed in the planarization processes, indicating that planarization is preferably not a conformal removal of material. That is, the copper removal process must preferentially remove material from elevated regions of an uneven surface to achieve planarization. Conventional CMP involves the application of mechanical forces in addition to chemical removal of material. Mechanical forces tend to be larger on the raised portions of the surface that project into closer proximity with the polishing pad, leading to higher rates of material removal at the raised portions. Non-contact chemical etching requires other modes by which preferential removal of raised topographic features occur, resulting in planarization. Recent developments in spin-etch planarization make use of diffusion controlled reactions, balanced oxidation-reduction reactions, self-galvanic microcouples and/or etch-controlling additives to achieve planarity as described in Ser. No. 09/356,487 which is incorporated herein by reference. Thus, one challenge to be met in achieving effective planarization of copper interconnects is to effectively smooth the surface topography while removing metal.
  • Dishing [0028]
  • FIG. 3A depicts a cross-sectional view of a typical dual damascene interconnect structure including trench and via prior to planarization. Surface topography, [0029] 8, 9 and 10, resulting from conformal-like plating may also be present in dual damascene interconnects. We omit this additional effect to simplify our description by focusing on dishing. In general, both dishing and surface topography will be present and both effects will need to be taken into account simultaneously in the design of an effective, practical planarization procedure.
  • Perfect planarization removes copper until the upper surface of the feature, [0030] 7, is co-planar with the upper surface of the barrier layer on the field region. The ideal planarization will remove the barrier layer, 2, at the same rate as the copper layer, that is substantially 1 to 1 selectivity. Thus, a one-step planarization can be used removing both the copper layer and the barrier layer in a single processing step. The ideal result would be as depicted in FIG. 3C, for a process in which surface topography does not effect planarization and the etching is halted as soon as the barrier layer is removed and co-planarity with ILD, 1, has occurred. However, this ideal situation is not often achieved in practice. Traditional planarization of the interconnect of FIG. 3A may remove copper in the interior region of the feature, resulting in a dish-like geometry depicted as 11 in FIG. 3D. Dishing is a common undesirable side-effect of removing the field region copper, 4, and the barrier layer, 2, overlying the field region.
  • However, the barrier layer is typically Ta,/TaN and fairly aggressive etchants are required to remove it. A two-step planarization process may be employed in which a first set of etchants removes copper and other etchants remove the barrier layer. The use of such etchants often results in a faster rate of copper removal if such etchants are applied to unprotected Cu surfaces. Dishing depicted as [0031] 11 is the unwanted result. It should be noted that dishing tends to be a more serious problem for larger features, typically feature dimension, 10, exceeding approximately 10 μm (10 microns). Modern integrated circuit technology may wish to planarize feature dimensions as large as, or exceeding, 50 μm, making dishing a serious practical problem.
  • If planarization is performed by means of a two-step process, copper removal followed by barrier material removal, several factors need to be considered. The chemistry employed in the first step should be capable of chemical polishing or removal of copper selectively. In this instance, the uniformity of copper removal over the entire surface undergoing planarization is important in avoiding dishing. If some of the copper regions are cleared of copper (to the barrier layer or coplanar with the barrier layer), while other areas are not cleared, dishing of the copper in the cleared areas is expected when the residual copper is removed from the uncleaned area. Thus, there are two general causes of dishing: 1) Non-uniformity of copper removal in the first removal step. 2) Preferential etching or polishing of large features at a higher rate than smaller features. [0032]
  • The present invention provides several embodiments for planarization of copper damascene or dual damascene interconnects that reduce or eliminate the problems of dishing. In addition, the several embodiments of the present invention are capable of planarizing copper surfaces having surface topography deriving from conformal-like plating (FIG. 1). Different embodiments offer different advantages in different processing environments. [0033]
  • Viscous Protective Overlayer [0034]
  • Planarization of a non-uniform metal surface (FIG. 1, for example) requires preferential etching of raised portions of the surface. Some embodiments of the present invention utilize a protective or passivating overlayer in the form of a viscous fluid overlayer preferentially saturated with passivating metal, copper or other chemically inhibiting compounds. “Protecting fluid” as used herein denotes an overlayer on the surface tending to prevent or retard etching of the surface region(s) so covered. One embodiment depicts the viscous fluid schematically [0035] 13 in FIG. 4B. A viscous fluid, 13, is deposited onto the surface (typically by spinning, dispersing on the surface, 12) and tends to collect in the depressed regions as depicted by 13 in FIG. 4B and having a substantially planar upper surface thereof The presence of the viscous fluid tending to concentrate in the lower surface regions retards etching from that portion of the surface by means of the overlayer thus produced shielding the lower regions from attack by the etchant as well as retarding metal removal by having inhibiting layer incorporated in close proximity to the metal surface (typically incorporating no oxidants). One embodiment of this inhibiting layer is a viscous layer saturated with passivating metal or copper ions, or other substances known to inhibit the removal of copper in the presence of etchants. Examples of inhibitors include quinolines, benzotriazol among others.
  • In general, the viscous fluid will form into a gradient without a sharp boundary between the viscous fluid and the etching reagent. However, choosing the protective layer to have a larger (or substantially larger) viscosity than the etchant will nevertheless result in preferential concentration of the viscous fluid in lower surface regions and retarded rates of metal removal. The use of a viscous overlayer is effectively practiced in connection with a non-contact planarization such as spin-etch planarization as described in patent application Ser. No. 09/356,487 in which the spinning of the wafer results in centrifugal forces more easily dispersing the etchant than the viscous protective fluid. [0036]
  • In some embodiments of the present invention for protecting a copper interconnect surface, a viscous solution saturated with copper ions is prepared, typically in the form of copper phosphate for use with etchants containing phosphoric acid. One convenient choice in the practice of the present invention is to use a solution of phosphoric acid in which a copper salt is dissolved, typically copper phosphate, nitrate, acetate or copper alkoxides among others. Such a solution may have a density of around 1.75 and lack oxidants. This viscous solution is typically spin-deposited onto the surface and preferentially retards etching in lower surface regions. The saturation of the overlayer with copper phosphate (or other copper salts as convenient with other etchants) hinders further dissolution of cooper from the surface undergoing planarization. [0037]
  • Some embodiments of the present invention utilize simultaneous introduction of protective fluid and etchant onto the wafer, typically while the wafer is spinning. A configuration of materials schematically depicted in FIG. 5 is the result. A fluid layer, [0038] 14 contains both etchant and viscous fluid in a mixture or dispersal. The more viscous fluid, typically more dense, will thus tend to concentrate at the lower regions of the fluid layer, 14, and in the depressed regions. Hence, simultaneous introduction of etchant and protective fluid leads to preferential concentration of protective fluid in the depressed surface regions, where it is needed.
  • Typical etchant solutions for removing copper are as follows in which % indicates % by volume of fully concentrated reagents: [0039]
  • Binary Reagents: [0040]
  • I. Nitric Acid: 1%-20%, preferably 3%-10% [0041]
  • Phosphoric Acid: remaining solution. [0042]
  • Ternary Reagents [0043]
  • I. Nitric Acid: 1%-20%, preferably 3%-10% [0044]
  • Sulfuric Acid: 20%-50% [0045]
  • Phosphoric Acid: remaining solution [0046]
  • II. Nitric Acid: 10% [0047]
  • Acetic Acid: 40% [0048]
  • Phosphoric Acid: 50% [0049]
  • III. Nitric Acid: 6% [0050]
  • Acetic Acid: 24% [0051]
  • Phosphoric Acid: 70% [0052]
  • Hydrogen peroxide can be used to replace nitric acid in whole or in part in the above etchant mixtures. [0053]
  • Following etching, the residual etching reagents, protective fluid, etching by-products and the like are cleaned from the surface of the wafer in preparation for the deposition of additional layers of material. Post-etching cleaning is well-known in the art and described by Steigerwald et. al., supra pp. 289-305 among other references. [0054]
  • Additives: [0055]
  • In some embodiments of the present invention, removal of copper leads to exposure of the barrier layer and the removal of overlayers, resulting in the configuration of FIG. 3B. At this point, it is necessary to remove the barrier layer, [0056] 2, while retaining planarity of the copper layer, that is, avoid dishing. Removal of the barrier layer, Ta/TaN typically requires rather aggressive chemical etchants such as hydrofluoric acid, ammonium fluoride, ammonium bromide among others Such aggressive etchants may etch the copper, or etch the copper at a faster rate than the barrier layer, resulting in dishing. Thus, selective protection of the copper in comparison with the barrier layer is useful in these embodiments of the present invention.
  • One approach to the avoidance of dishing is to introduce selective additives into the etching solution that selectively adsorb onto the copper. The adsorbed species are chosen to protect copper from further etching while permitting removal of the barrier layer to proceed. The additives (typically organic compounds) adsorb at locations of high surface energy and are known in the electroplating field as “suppressors” or “inhibitors” tending to retard copper deposition at the adsorption sites. Similar effects occur for hindering dissolution of the copper. Such additives must be compatible with the etchant (that is, not destroyed or rendered ineffective in the presence of etchant). Typical additives include sulfonic acid type additives, glycols or other additives compatible with the typical etchant bath (for example, phosphoric acid). [0057]
  • The planarization techniques described herein may be augmented by the application of external voltages to the copper and to the electrolytic etchant solutions, thereby adding electrochemical effects to the chemical and mechanical planarization effects described herein. [0058]
  • The etching procedures described herein result in improved methods for the planarization of Cu/Ta/TaN layers in the fabrication of ICs. Improved performance of the resulting IC and/or improved process throughput result. [0059]
  • Having described the invention in detail, those skilled in the art will appreciate that, given the present disclosure, modifications may be made to the invention without departing from the spirit of the inventive concept described herein. Therefore, it is not intended that the scope of the invention be limited to the specific and preferred embodiments illustrated and described. [0060]

Claims (10)

We claim:
1) A method of planarizing a metal surface in the fabrication of integrated circuit interconnects comprising:
a) introducing a protecting fluid onto said metal surface; and,
b) dispersing said protecting fluid across said metal surface; and,
c) introducing an etching solution onto said metal surface, whereby the viscosity of said protecting fluid exceeds that of said etching solution thereby hindering etching of said surface in regions of said surface occupied by said protecting layer; and,
d) etching said metal surface to planarity.
2) A method as in claim 1 wherein said protecting fluid lacks oxidants capable of etching said metal surface.
3) A method as in claim 2 wherein said introduction of said protecting fluid onto said metal surface is in sufficient quantity to provide preferential protection to depressed regions of said metal surface.
4) A method as in claim 3 wherein said protecting fluid contains dissolved therein a saturating amount of ion of the metal comprising said metal surface.
5) A method as in claim 4 wherein said metal is copper.
6) A method as in claim 5 wherein said protecting fluid is phosphoric acid containing a saturating amount of copper ions dissolved therein.
7) A method as in claim I wherein said protecting fluid and said etching solution are introduced onto said metal surface substantially simultaneously.
8) A precursor for an integrated circuit comprising:
a) insulating dielectric layer; and,
b) barrier layer; and,
c) conductor; and,
d) protecting fluid; and,
e) etching fluid whereby the viscosity of said protecting fluid exceeds the viscosity of said etching fluid.
9) The precursor of claim 8 wherein said barrier layer is tantalum/tantalum nitride.
10) The precursor of claim 8 wherein said conductor is copper.
US10/715,952 2001-01-23 2003-11-17 Viscous protective overlayers for planarization of integrated circuits Abandoned US20040192052A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/715,952 US20040192052A1 (en) 2001-01-23 2003-11-17 Viscous protective overlayers for planarization of integrated circuits

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/768,439 US6696358B2 (en) 2001-01-23 2001-01-23 Viscous protective overlayers for planarization of integrated circuits
US10/715,952 US20040192052A1 (en) 2001-01-23 2003-11-17 Viscous protective overlayers for planarization of integrated circuits

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/768,439 Division US6696358B2 (en) 2001-01-23 2001-01-23 Viscous protective overlayers for planarization of integrated circuits

Publications (1)

Publication Number Publication Date
US20040192052A1 true US20040192052A1 (en) 2004-09-30

Family

ID=25082506

Family Applications (3)

Application Number Title Priority Date Filing Date
US09/768,439 Expired - Fee Related US6696358B2 (en) 2001-01-23 2001-01-23 Viscous protective overlayers for planarization of integrated circuits
US09/847,766 Expired - Fee Related US6600229B2 (en) 2001-01-23 2001-05-01 Planarizers for spin etch planarization of electronic components
US10/715,952 Abandoned US20040192052A1 (en) 2001-01-23 2003-11-17 Viscous protective overlayers for planarization of integrated circuits

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US09/768,439 Expired - Fee Related US6696358B2 (en) 2001-01-23 2001-01-23 Viscous protective overlayers for planarization of integrated circuits
US09/847,766 Expired - Fee Related US6600229B2 (en) 2001-01-23 2001-05-01 Planarizers for spin etch planarization of electronic components

Country Status (7)

Country Link
US (3) US6696358B2 (en)
EP (1) EP1354347A2 (en)
JP (1) JP2004534377A (en)
KR (1) KR20030070127A (en)
CN (1) CN1488167A (en)
CA (2) CA2435623A1 (en)
WO (1) WO2002059962A2 (en)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020025759A1 (en) * 2000-08-30 2002-02-28 Whonchee Lee Microelectronic substrate having conductive material with blunt cornered apertures, and associated methods for removing conductive material
US20020025760A1 (en) * 2000-08-30 2002-02-28 Whonchee Lee Methods and apparatus for electrically and/or chemically-mechanically removing conductive material from a microelectronic substrate
US20020025763A1 (en) * 2000-08-30 2002-02-28 Whonchee Lee Methods and apparatus for electrical, mechanical and/or chemical removal of conductive material from a microelectronic substrate
US20030054729A1 (en) * 2000-08-30 2003-03-20 Whonchee Lee Methods and apparatus for electromechanically and/or electrochemically-mechanically removing conductive material from a microelectronic substrate
US20030109198A1 (en) * 2000-08-30 2003-06-12 Whonchee Lee Methods and apparatus for electrically detecting characteristics of a microelectronic substrate and/or polishing medium
US20030129927A1 (en) * 2000-08-30 2003-07-10 Whonchee Lee Methods and apparatus for selectively removing conductive material from a microelectronic substrate
US20030226764A1 (en) * 2000-08-30 2003-12-11 Moore Scott E. Methods and apparatus for electrochemical-mechanical processing of microelectronic workpieces
US20040043705A1 (en) * 2002-08-29 2004-03-04 Whonchee Lee Method and apparatus for chemically, mechanically, and/or electrolytically removing material from microelectronic substrates
US20040043582A1 (en) * 2002-08-29 2004-03-04 Dinesh Chopra Method and apparatus for simultaneously removing multiple conductive materials from microelectronic substrates
US20040043629A1 (en) * 2002-08-29 2004-03-04 Whonchee Lee Method and apparatus for removing adjacent conductive and nonconductive materials of a microelectronic substrate
US20050059324A1 (en) * 2003-09-17 2005-03-17 Whonchee Lee Methods and apparatus for removing conductive material from a microelectronic substrate
US20050196963A1 (en) * 2004-02-20 2005-09-08 Whonchee Lee Methods and apparatuses for electrochemical-mechanical polishing
US20070102819A1 (en) * 2004-03-25 2007-05-10 Klaus Goller Method for producing an integrated circuit assembly with an auxiliary indentation, particularly with aligning marks, and an integrated circuit arrangement
US8603319B2 (en) 2004-09-01 2013-12-10 Micron Technology, Inc. Methods and systems for removing materials from microfeature workpieces with organic and/or non-aqueous electrolytic media

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6413388B1 (en) * 2000-02-23 2002-07-02 Nutool Inc. Pad designs and structures for a versatile materials processing apparatus
US6534116B2 (en) * 2000-08-10 2003-03-18 Nutool, Inc. Plating method and apparatus that creates a differential between additive disposed on a top surface and a cavity surface of a workpiece using an external influence
US6497800B1 (en) 2000-03-17 2002-12-24 Nutool Inc. Device providing electrical contact to the surface of a semiconductor workpiece during metal plating
US6610190B2 (en) * 2000-11-03 2003-08-26 Nutool, Inc. Method and apparatus for electrodeposition of uniform film with minimal edge exclusion on substrate
US6902659B2 (en) * 1998-12-01 2005-06-07 Asm Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US6251235B1 (en) 1999-03-30 2001-06-26 Nutool, Inc. Apparatus for forming an electrical contact with a semiconductor substrate
US6940721B2 (en) * 2000-02-25 2005-09-06 Richard F. Hill Thermal interface structure for placement between a microelectronic component package and heat sink
US6852208B2 (en) 2000-03-17 2005-02-08 Nutool, Inc. Method and apparatus for full surface electrotreating of a wafer
US7754061B2 (en) * 2000-08-10 2010-07-13 Novellus Systems, Inc. Method for controlling conductor deposition on predetermined portions of a wafer
US6921551B2 (en) 2000-08-10 2005-07-26 Asm Nutool, Inc. Plating method and apparatus for controlling deposition on predetermined portions of a workpiece
US20040170753A1 (en) * 2000-12-18 2004-09-02 Basol Bulent M. Electrochemical mechanical processing using low temperature process environment
US7172497B2 (en) * 2001-01-05 2007-02-06 Asm Nutool, Inc. Fabrication of semiconductor interconnect structures
US6783589B2 (en) * 2001-01-19 2004-08-31 Chevron U.S.A. Inc. Diamondoid-containing materials in microelectronics
US20040253809A1 (en) * 2001-08-18 2004-12-16 Yao Xiang Yu Forming a semiconductor structure using a combination of planarizing methods and electropolishing
WO2003064495A2 (en) * 2001-11-07 2003-08-07 Dow Global Technologies Inc. Planarized microelectronic substrates
US20040016962A1 (en) * 2002-04-30 2004-01-29 Hideki Okumura Semiconductor device
US6949389B2 (en) * 2002-05-02 2005-09-27 Osram Opto Semiconductors Gmbh Encapsulation for organic light emitting diodes devices
KR100559041B1 (en) * 2002-07-11 2006-03-10 매그나칩 반도체 유한회사 Method of forming a copper wiring in a semiconductor device
KR100477365B1 (en) * 2002-09-27 2005-03-22 주식회사 대우일렉트로닉스 Method for fabricating tip passivation layer in an atomic force microscope
WO2004041918A2 (en) * 2002-10-31 2004-05-21 Georgia Tech Research Corporation Microstructures and methods of fabrication thereof
US7232766B2 (en) * 2003-03-14 2007-06-19 Lam Research Corporation System and method for surface reduction, passivation, corrosion prevention and activation of copper surface
US7078344B2 (en) * 2003-03-14 2006-07-18 Lam Research Corporation Stress free etch processing in combination with a dynamic liquid meniscus
US6821899B2 (en) * 2003-03-14 2004-11-23 Lam Research Corporation System, method and apparatus for improved local dual-damascene planarization
US6939796B2 (en) * 2003-03-14 2005-09-06 Lam Research Corporation System, method and apparatus for improved global dual-damascene planarization
US7140374B2 (en) * 2003-03-14 2006-11-28 Lam Research Corporation System, method and apparatus for self-cleaning dry etch
US7217649B2 (en) * 2003-03-14 2007-05-15 Lam Research Corporation System and method for stress free conductor removal
US7009281B2 (en) * 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
DE602004018631D1 (en) * 2003-04-24 2009-02-05 Afshin Ahmadian EN
US6777807B1 (en) * 2003-05-29 2004-08-17 Lsi Logic Corporation Interconnect integration
JP4499390B2 (en) * 2003-09-09 2010-07-07 パナソニック株式会社 Semiconductor device and manufacturing method thereof
US6936534B2 (en) * 2003-09-17 2005-08-30 Micron Technology, Inc. Method for the post-etch cleaning of multi-level damascene structures having underlying copper metallization
US7064057B2 (en) * 2003-11-21 2006-06-20 Asm Nutool, Inc. Method and apparatus for localized material removal by electrochemical polishing
US7307013B2 (en) * 2004-06-30 2007-12-11 Sandisk 3D Llc Nonselective unpatterned etchback to expose buried patterned features
US20060183321A1 (en) * 2004-09-27 2006-08-17 Basol Bulent M Method for reduction of gap fill defects
KR100620712B1 (en) * 2004-12-24 2006-09-13 동부일렉트로닉스 주식회사 Method for Recovering of Dishing Effect
US7538434B2 (en) * 2005-03-08 2009-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Copper interconnection with conductive polymer layer and method of forming the same
US7550070B2 (en) * 2006-02-03 2009-06-23 Novellus Systems, Inc. Electrode and pad assembly for processing conductive layers
EP1839695A1 (en) * 2006-03-31 2007-10-03 Debiotech S.A. Medical liquid injection device
KR100793916B1 (en) * 2006-04-05 2008-01-15 삼성전기주식회사 Manufacturing process of a capacitor embedded in PCB
US20070298607A1 (en) * 2006-06-23 2007-12-27 Andryushchenko Tatyana N Method for copper damascence fill for forming an interconnect
US7585760B2 (en) * 2006-06-23 2009-09-08 Intel Corporation Method for forming planarizing copper in a low-k dielectric
US8500985B2 (en) 2006-07-21 2013-08-06 Novellus Systems, Inc. Photoresist-free metal deposition
US7732329B2 (en) * 2006-08-30 2010-06-08 Ipgrip, Llc Method and apparatus for workpiece surface modification for selective material deposition
DE102007009902A1 (en) * 2007-02-28 2008-09-04 Advanced Micro Devices, Inc., Sunnyvale A method of reducing nonuniformities during chemical mechanical polishing of excess metal in a metallization level of microstructure devices
US9443763B2 (en) * 2013-09-12 2016-09-13 Micron Technology, Inc. Methods for forming interconnections between top electrodes in memory cells by a two-step chemical-mechanical polishing (CMP) process
US9343408B2 (en) * 2013-11-08 2016-05-17 Intermolecular, Inc. Method to etch Cu/Ta/TaN selectively using dilute aqueous HF/H2SO4 solution
CN106971974A (en) * 2016-01-14 2017-07-21 中芯国际集成电路制造(上海)有限公司 A kind of semiconductor devices and preparation method thereof, electronic installation
US10870799B2 (en) * 2017-08-25 2020-12-22 Versum Materials Us, Llc Etching solution for selectively removing tantalum nitride over titanium nitride during manufacture of a semiconductor device
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
JP2020088069A (en) * 2018-11-20 2020-06-04 凸版印刷株式会社 Semiconductor package substrate and manufacturing method thereof
US11508617B2 (en) 2019-10-24 2022-11-22 Applied Materials, Inc. Method of forming interconnect for semiconductor device
US11257677B2 (en) * 2020-01-24 2022-02-22 Applied Materials, Inc. Methods and devices for subtractive self-alignment
CN113611663A (en) * 2021-08-23 2021-11-05 上海芯物科技有限公司 Method for flattening surface of semiconductor, semiconductor manufactured by same and application of semiconductor
CN114752938A (en) * 2022-04-07 2022-07-15 中国科学院赣江创新研究院 Completely removed Nb3Method for stabilizing layer and blocking layer of Sn superconducting line

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01108746A (en) * 1987-10-21 1989-04-26 Toshiba Corp Manufacture of semiconductor device
US5173130A (en) * 1989-11-13 1992-12-22 Shikoku Chemicals Corporation Process for surface treatment of copper and copper alloy
US5098860A (en) * 1990-05-07 1992-03-24 The Boeing Company Method of fabricating high-density interconnect structures having tantalum/tantalum oxide layers
US5096550A (en) * 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
US5486234A (en) * 1993-07-16 1996-01-23 The United States Of America As Represented By The United States Department Of Energy Removal of field and embedded metal by spin spray etching
US5593927A (en) * 1993-10-14 1997-01-14 Micron Technology, Inc. Method for packaging semiconductor dice
US5766379A (en) * 1995-06-07 1998-06-16 The Research Foundation Of State University Of New York Passivated copper conductive layers for microelectronic applications and methods of manufacturing same
US5744399A (en) * 1995-11-13 1998-04-28 Lsi Logic Corporation Process for forming low dielectric constant layers using fullerenes
US6387805B2 (en) * 1997-05-08 2002-05-14 Applied Materials, Inc. Copper alloy seed layer for copper metallization
US5969422A (en) * 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6351039B1 (en) * 1997-05-28 2002-02-26 Texas Instruments Incorporated Integrated circuit dielectric and method
US6280644B1 (en) * 1998-06-05 2001-08-28 Agere Systems Guardian Corp. Method of planarizing a surface on an integrated circuit
US6127258A (en) * 1998-06-25 2000-10-03 Motorola Inc. Method for forming a semiconductor device
US6150269A (en) * 1998-09-11 2000-11-21 Chartered Semiconductor Manufacturing Company, Ltd. Copper interconnect patterning
US6315883B1 (en) * 1998-10-26 2001-11-13 Novellus Systems, Inc. Electroplanarization of large and small damascene features using diffusion barriers and electropolishing
US6159842A (en) * 1999-01-11 2000-12-12 Taiwan Semiconductor Manufacturing Company Method for fabricating a hybrid low-dielectric-constant intermetal dielectric (IMD) layer with improved reliability for multilevel interconnections
TW400619B (en) * 1999-03-05 2000-08-01 United Microelectronics Corp The manufacture method of dual damascene structure
US6083822A (en) * 1999-08-12 2000-07-04 Industrial Technology Research Institute Fabrication process for copper structures
US6080656A (en) * 1999-09-01 2000-06-27 Taiwan Semiconductor Manufacturing Company Method for forming a self-aligned copper structure with improved planarity
US6146991A (en) 1999-09-03 2000-11-14 Taiwan Semiconductor Manufacturing Company Barrier metal composite layer featuring a thin plasma vapor deposited titanium nitride capping layer
US6096649A (en) * 1999-10-25 2000-08-01 Taiwan Semiconductor Manufacturing Company Top metal and passivation procedures for copper damascene structures
US6265321B1 (en) * 2000-04-17 2001-07-24 Chartered Semiconductor Manufacturing Ltd. Air bridge process for forming air gaps
US6352921B1 (en) * 2000-07-19 2002-03-05 Chartered Semiconductor Manufacturing Ltd. Use of boron carbide as an etch-stop and barrier layer for copper dual damascene metallization
US6358842B1 (en) * 2000-08-07 2002-03-19 Chartered Semiconductor Manufacturing Ltd. Method to form damascene interconnects with sidewall passivation to protect organic dielectrics
US6417093B1 (en) * 2000-10-31 2002-07-09 Lsi Logic Corporation Process for planarization of metal-filled trenches of integrated circuit structures by forming a layer of planarizable material over the metal layer prior to planarizing

Cited By (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7094131B2 (en) 2000-08-30 2006-08-22 Micron Technology, Inc. Microelectronic substrate having conductive material with blunt cornered apertures, and associated methods for removing conductive material
US20020025760A1 (en) * 2000-08-30 2002-02-28 Whonchee Lee Methods and apparatus for electrically and/or chemically-mechanically removing conductive material from a microelectronic substrate
US20020025763A1 (en) * 2000-08-30 2002-02-28 Whonchee Lee Methods and apparatus for electrical, mechanical and/or chemical removal of conductive material from a microelectronic substrate
US20030054729A1 (en) * 2000-08-30 2003-03-20 Whonchee Lee Methods and apparatus for electromechanically and/or electrochemically-mechanically removing conductive material from a microelectronic substrate
US20030109198A1 (en) * 2000-08-30 2003-06-12 Whonchee Lee Methods and apparatus for electrically detecting characteristics of a microelectronic substrate and/or polishing medium
US20030129927A1 (en) * 2000-08-30 2003-07-10 Whonchee Lee Methods and apparatus for selectively removing conductive material from a microelectronic substrate
US20030226764A1 (en) * 2000-08-30 2003-12-11 Moore Scott E. Methods and apparatus for electrochemical-mechanical processing of microelectronic workpieces
US9214359B2 (en) 2000-08-30 2015-12-15 Micron Technology, Inc. Method and apparatus for simultaneously removing multiple conductive materials from microelectronic substrates
US7972485B2 (en) 2000-08-30 2011-07-05 Round Rock Research, Llc Methods and apparatus for electromechanically and/or electrochemically-mechanically removing conductive material from a microelectronic substrate
US20020025759A1 (en) * 2000-08-30 2002-02-28 Whonchee Lee Microelectronic substrate having conductive material with blunt cornered apertures, and associated methods for removing conductive material
US20100006428A1 (en) * 2000-08-30 2010-01-14 Micron Technology, Inc. Methods and apparatus for electromechanically and/or electrochemically-mechanically removing conductive material from a microelectronic substrate
US7700436B2 (en) 2002-08-29 2010-04-20 Micron Technology, Inc. Method for forming a microelectronic structure having a conductive material and a fill material with a hardness of 0.04 GPA or higher within an aperture
US20040043582A1 (en) * 2002-08-29 2004-03-04 Dinesh Chopra Method and apparatus for simultaneously removing multiple conductive materials from microelectronic substrates
US20040043705A1 (en) * 2002-08-29 2004-03-04 Whonchee Lee Method and apparatus for chemically, mechanically, and/or electrolytically removing material from microelectronic substrates
US7129160B2 (en) * 2002-08-29 2006-10-31 Micron Technology, Inc. Method for simultaneously removing multiple conductive materials from microelectronic substrates
US8048756B2 (en) 2002-08-29 2011-11-01 Micron Technology, Inc. Method for removing metal layers formed outside an aperture of a BPSG layer utilizing multiple etching processes including electrochemical-mechanical polishing
US20050020192A1 (en) * 2002-08-29 2005-01-27 Whonchee Lee Method and apparatus for chemically, mechanically, and/or electrolytically removing material from microelectronic substrates
US20040043629A1 (en) * 2002-08-29 2004-03-04 Whonchee Lee Method and apparatus for removing adjacent conductive and nonconductive materials of a microelectronic substrate
US20050059324A1 (en) * 2003-09-17 2005-03-17 Whonchee Lee Methods and apparatus for removing conductive material from a microelectronic substrate
US7670466B2 (en) 2004-02-20 2010-03-02 Micron Technology, Inc. Methods and apparatuses for electrochemical-mechanical polishing
US20100116685A1 (en) * 2004-02-20 2010-05-13 Micron Technology, Inc. Methods and apparatuses for electrochemical-mechanical polishing
US20050196963A1 (en) * 2004-02-20 2005-09-08 Whonchee Lee Methods and apparatuses for electrochemical-mechanical polishing
US8101060B2 (en) 2004-02-20 2012-01-24 Round Rock Research, Llc Methods and apparatuses for electrochemical-mechanical polishing
US7795105B2 (en) * 2004-03-25 2010-09-14 Infineon Technologies Ag Method for producing an integrated circuit assembly with an auxiliary indentation, particularly with aligning marks, and an integrated circuit arrangement
US20070102819A1 (en) * 2004-03-25 2007-05-10 Klaus Goller Method for producing an integrated circuit assembly with an auxiliary indentation, particularly with aligning marks, and an integrated circuit arrangement
US8603319B2 (en) 2004-09-01 2013-12-10 Micron Technology, Inc. Methods and systems for removing materials from microfeature workpieces with organic and/or non-aqueous electrolytic media

Also Published As

Publication number Publication date
US20020117758A1 (en) 2002-08-29
KR20030070127A (en) 2003-08-27
JP2004534377A (en) 2004-11-11
WO2002059962A3 (en) 2003-04-17
US6600229B2 (en) 2003-07-29
EP1354347A2 (en) 2003-10-22
US20020096770A1 (en) 2002-07-25
US6696358B2 (en) 2004-02-24
CN1488167A (en) 2004-04-07
CA2435623A1 (en) 2002-08-01
WO2002059962A2 (en) 2002-08-01
CA2432012A1 (en) 2002-08-01

Similar Documents

Publication Publication Date Title
US6696358B2 (en) Viscous protective overlayers for planarization of integrated circuits
US5897375A (en) Chemical mechanical polishing (CMP) slurry for copper and method of use in integrated circuit manufacture
KR100715073B1 (en) Planarized copper cleaning for reduced defects
KR100386155B1 (en) Dual etch stop/diffusion barrier for damascene interconnects
US6071809A (en) Methods for forming high-performing dual-damascene interconnect structures
US6245663B1 (en) IC interconnect structures and methods for making same
US6150269A (en) Copper interconnect patterning
JP2001156029A (en) POST Cu CMP POLISHING FOR REDUCED DEFECTS
KR20020040091A (en) Slurry for chemical mechanical polishing and manufacturing method of copper metal interconnection layer using the same
WO2006112202A1 (en) Semiconductor device and process for producing the same
CA2456225A1 (en) Forming a semiconductor structure using a combination of planarizing methods and electropolishing
US6919276B2 (en) Method to reduce dishing and erosion in a CMP process
US6503828B1 (en) Process for selective polishing of metal-filled trenches of integrated circuit structures
US6524957B2 (en) Method of forming in-situ electroplated oxide passivating film for corrosion inhibition
US6207569B1 (en) Prevention of Cu dendrite formation and growth
US6413869B1 (en) Dielectric protected chemical-mechanical polishing in integrated circuit interconnects
US6319833B1 (en) Chemically preventing copper dendrite formation and growth by spraying
US6177349B1 (en) Preventing Cu dendrite formation and growth
US6162727A (en) Chemical treatment for preventing copper dendrite formation and growth
US6197690B1 (en) Chemically preventing Cu dendrite formation and growth by double sided scrubbing
US6596637B1 (en) Chemically preventing Cu dendrite formation and growth by immersion
JP2005072238A (en) Method for manufacturing semiconductor device
US6699785B2 (en) Conductor abrasiveless chemical-mechanical polishing in integrated circuit interconnects
JP4064595B2 (en) Manufacturing method of semiconductor device
KR100528449B1 (en) Method for forming damascene type metal wire in semiconductor device using chemical mechanical planarization and spin etch process

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION