US20040195202A1 - Method for making a nano-stamp and for forming, with the stamp, nano-size elements on a substrate - Google Patents

Method for making a nano-stamp and for forming, with the stamp, nano-size elements on a substrate Download PDF

Info

Publication number
US20040195202A1
US20040195202A1 US10/610,522 US61052202A US2004195202A1 US 20040195202 A1 US20040195202 A1 US 20040195202A1 US 61052202 A US61052202 A US 61052202A US 2004195202 A1 US2004195202 A1 US 2004195202A1
Authority
US
United States
Prior art keywords
nano
substrate
stamp
layers
elevations
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/610,522
Inventor
Alexander Pechenik
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/560,375 external-priority patent/US6365059B1/en
Application filed by Individual filed Critical Individual
Priority to US10/610,522 priority Critical patent/US20040195202A1/en
Publication of US20040195202A1 publication Critical patent/US20040195202A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures

Definitions

  • the present invention relates to a method for making a nano-stamp and to a method which uses the stamp for producing structured patterns including nano-sized elements on the surface of a substrate for use in nano-scale electronic deviecs, such as integrated circuits (Ics), information storage devices, and photonic and opto-electronic devices, nano-biologic devices, nano-sensors and the like.
  • nano-scale electronic deviecs such as integrated circuits (Ics), information storage devices, and photonic and opto-electronic devices, nano-biologic devices, nano-sensors and the like.
  • Lithography is the key procedure in industrial manufacturing of numerous small-scale devices, such as the semiconductor-based ICs, micro-electro-mechanical (MEMs) devices, and magnetic, optical, and electro-optical devices.
  • the process of lithography involves covering a given surface with a plastic material, called a “resist”, which has the property of changing its atomic structure under exposure to energetic particles of electromagnetic or other type of radiation (ions, electrons, molecules, etc.). Then, an appropriately cut mask is placed on the resist, which is made of material impenetrable to the radiation, followed by exposing this surface through the mask to the flow of one of the above-mentioned types of radiation.
  • e-beam, ion-beam, atomic and molecular beam, and atomic scanning probe are being investigated for possible applications in the area of industrial mass-production of electronic devices having elements with a characteristic dimension below 1 micron.
  • Electron-beam lithography has been shown to achieve 10-nm lithographic resolution.
  • A. N. Broers, J. M. Harper, and W. W. Molzen, Applied Phys. Lett. 33, 392 (1978) and P. B. Fisher and S. Y. Chou, Applied Physics Letters. 62, 2989 (1993) reported this kind of resolution, however their approach cannot be practically adapted for industrial production of nano-size patterns.
  • X-ray lithography does not suffer from this detriment and can have a high throughput at 50 nm lithographic resolution, as was shown by K. Early, M. L. Shattenburg, H. I. Smith in Microelectronic Engineering 11, 317 (1990). However, X-ray lithography has not yet shown its ability to go below 50 nm in an industrial fashion.
  • Atomic scanning probes have shown lithographic resolution below 10 nm, but this procedure is inherently slow and it is yet to be determined if the ASP can fabricate the nano-patterns with necessary speed for mass production.
  • An alternative approach to lithography is the compressive molding of thermoplastic polymers, a technology that has been around for several decades.
  • One example of this technology are imprinted polymethyl methacrylate (PMMA) structures with a feature size on the order of 10 micrometers for making MEMs parts disclosed by M. Harmening, W. Bacher, P. Bley, A. El-Kholi, H. Kalb, B. Kowanz, W. Mentz, A. Michel, and J. Mohr in Proceedings of IEEE Micro Electro Mechanical Systems, 202 (1992).
  • PMMA polymethyl methacrylate
  • H. Lee and S. D. Senture produced molded micro-mechanical parts from polyester of the side of a few tens of micrometer as described in Proceedings of 1992 13 th IEEE/CHMT International Electronic Manufacturing Technology Symposium, 145.
  • S. Y. Chou in U.S. Pat. No. 5,772,905 discloses a technique, which he calls “Nanoimprint Lithography”, that utilizes the compressive molding of thermoplastic polymers approach to further reduce lithographic resolution down to sub-25 nm dimensions.
  • S. Y. Chou's approach there is no need for any type of radiation and for masking the resist polymer. instead the pattern is produced by pressing the mold, which has a nano-scale pattern of elevations and intrusions, into the polymer. How this pattern is produced on the mold is not specified. It is assumed that there exists a technique to do that.
  • ASP approach which requires enormous amounts of time to curve out even the simplest nano-scale patterns, there is currently no technique to fabricate nano-molds in an efficient way.
  • the present invention relates to a method and apparatus for fabrication of nanostamps which either can be used in a process of nano-imprint lithography to produce nano-scale patterns on a thermoplastic polymeric film covering the surface of a selected substrate, or the nano-stamp can be used in a novel process of nano-stamping, which does away with the use of a resist polymer and with the etching of the substrate covered with the polymer and employs the step of stamping the substrate directly, which is possible due to the very high hardness and atomic-level smoothness of the nano-stamp fabricated by using the procedure and materials described herein.
  • stamps, or molds for stamps were carved, polished, or processed in some other way by using hand-held cutting tools.
  • ASP the only carving tool available has been ASP, which, as described above, is a notoriously slow and inefficient tool.
  • the method of the present invention begins with forming a two-dimensional superlattice on a substrate, exact nature of which is irrelevant in this case.
  • the technique of forming two-dimensional superlattices is been known for decades.
  • a two-dimensional superlattice comprises layers of dissimilar materials deposited upon each other in an alternating fashion.
  • a number of different techniques have been used to fabricate two-dimensional superlattices in industry, such as physical vapor deposition (PVD) and chemical vapor deposition (CVD). These superlattices are characterized by the fact that the sum of thicknesses of the two alternating layers can be made as small as a few nanometers.
  • each individual layer can be as small as a few atomic units.
  • a two-dimensional superlattice is shown in the FIG. 2 herein, where NbN and TiN alternating layers have thickness of 20 nm. This two-dimensional superlattice was fabricated by using an unbalanced magnetron reactive sputtering technique, which produced coherent interfaces in the superlattices. As stated above other techniques can be used to achieve similar layering of two materials and both coherent and incoherent interfaces can be used in practicing the methods of the present invention.
  • the next step of the method is to cut the produced superlattice in a plane perpendicular to the planes of the layers and to polish the produced surface to smooth, preferably atomically smooth finish. After it is polished, the polished should be as shown in FIG. 2 herein under TEM observation. This surface then is etched to remove one type of layers to some depth. The resulting grid will have distance between elevations determined by the thickness of the alternate layers of the superlattice. This grid of the nano-stamp then can be used for indenting a surface of a substrate.
  • FIG. 1. is a block schematic diagram of an apparatus for reactive sputtering of superlattice films.
  • FIG. 2 is a photographic view of a two-dimensional superlattice coating cut perpendicular to the plane of 20 nm layers and shows the alternating materials of TiN in white and NbN in black.
  • FIG. 3. is a fragmentary view of the alternating layers of the superlattice shown in FIG. 2 after atomic polishing.
  • FIG. 4. is a fragmentary perspective view showing the grid formed on one surface of the superlattice shown in FIG. 3 after etching to form a nano-stamp of the present invention.
  • FIG. 5 a illustrates schematically in a perspective view the process of indenting the surface of Si/SiO 2 substrate with the nano-stamp to form a set of grooves on or in the substrate.
  • FIG. 5 b is a fragmentary perspective view of a corner of the substrate shown in FIG. 5 a but without the nano-stamp to show better the ridges or elevations and valleys formed by the nano-stamp in the substrate.
  • FIG. 6. is a fragmentary perspective view of a set of elevations produced on the surface after two stamping steps 90 degrees offset from each other.
  • FIG. 7. is a fragmentary perspective view of the generally square elevations or elements formed in the substrate after the two, 90 degrees apart, stampings and after a magnetic material is sputtered on the tops of the generally square elevations.
  • the present invention relates to a method and apparatus for creating and using a nano-stamp for forming high density elevations, elements or cells on a substrate with nano-scale features including nano-scale-resolution, high-throughput, and potentially low cost.
  • the method of the present invention does not use energetic particles or beams; neither does it require polymer resist to be placed on the surface of a substrate.
  • the method of the present invention relies on very fast and efficient manufacturing of a nano-stamp by utilizing PVD, CVD, or other surface deposition technique, to produce a two-dimensional nano-scale multi-layered thin film, processing this film into a nano-stamp by cutting it perpendicular to the plane of the layers, atomically polishing the new surface, etching out alternate layers to create alternate elevations, and then indenting a substrate with the grid of alternate elevations under a variety of angles to achieve a desired nano-scale pattern.
  • the method of the present invention offers many advantages over the current state of the art in nano-lithography.
  • the wave diffraction limits set by the wavelength of the electromagnetic radiation also present a natural limit for X-ray-based nano-lithography.
  • the method of the present invention can easily produce patterns with close to 1 nm resolution, because superlattices with 1 nm have been manufactured.
  • the method also has the the advantage over other nano-imprint technologies of not using polymeric photo resist and thus not requiring the etching step, that is absolutely unavoidable to remove material in the nano-imprint lithography approach.
  • the very high hardness of the nano-stamp fabricated following procedures described herein makes possible the stamping of semiconductors without using polymers at all.
  • ASP atomic scanning probe
  • FIG. 1 In FIG. 1 is shown the equipment that can be used to produce nano-layered two-dimensional superlattices. Although there are many techniques that can fabricate such layered materials, the opposed cathode, unbalanced magnetron sputtering system illustrated here is one of the most convenient and efficient ways of producing very high quality superlattices. It must be pointed out that the quality of the layers must be very high. The thickness of the layers must be atomically uniform over large distances and the technique illustrated here is known in the art as one of the most accurate in fabricating the uniform layers.
  • FIG. 1 there is shown two targets 10 react with the reactive gas and reactively sputter layers of ZrB 2 and W on the substrate 20 , which is fixed on the rotating substrate holder 30 . Every full revolution of 30 produces two layers: one ZrB 2 and one W.
  • FIG. 2 shows an example of cut and polished nano-layered two-dimensional superlattice with 20 nm thick layers.
  • the material in this example is TiN layer 21 and NbN layer 22 . Though these materials can work as well, after an appropriate etchant is found that works on one of them and not on the other, the preferred embodiment of this invention is to have ZrB 2 and W as alternating materials.
  • ZrB 2 is a material of exceptional hardness, Hv ⁇ 3000 and thus can easily make indentations in most substrate materials, such as Si or SiO 2 , for example and W is quite easy to etch out with a mild aqueous solution of sulfuric acid, which will leave ZrB 2 intact. Fabrication of such films has been reported.
  • the nano-layered film is fabricated on a steel substrate 23 covered with Ti interlayer 24 .
  • the purpose of substrate 23 and layer 24 is to assist in fabrication of the nano-layered film and their exact nature is not important for the final product, which is the nano-stamp based on layers 21 and 22 .
  • FIG. 3. Illustrates the step in fabrication of nano-stamp when the layered material has been cut and polished to atomically smooth finish.
  • the cutting procedure can be accomplished either by cleaving, which simply means careful breaking along a plane perpendicular to the layers planes, or by using diamond saw or a laser cutting technique.
  • the cutting procedure must be followed by a polishing step to remove all the damage introduced by cutting and to achieve atomically smooth finish on the surface intended for nano-indenting.
  • polishing can be accomplished by mechano-chemical means or by plasma etching, or by ion and reactive ion milling. The exact procedures are well established in the art of surface polishing.
  • FIG. 3 the result of uniform polishing is illustrated. However the uniform removal of material does not have to be the case. If the materials of the layers are not removed uniformly by the polishing procedure, then a structure similar to FIG. 4 will result. What is important, however, is that the elevations need to ne of nearly equal atomic height.
  • FIG. 4 illustrates the state of the nano-stamp after the last stage of fabrication, which is etching of the surface of the nano-stamp to achieve needed depth of the grooves.
  • Each groove 40 corresponds to the material W and each elevation 50 to material ZrB 2 .
  • ZrB 2 /W combination is used, is to use a weak aqueous solution of sulfuric acid to etch out the edge of the W layer namely form groove 40 leaving the layer ZrB 2 , elevation layer 50 , intact.
  • FIG. 5 shows schematically the application of the nano-stamp to a layered substrate 51 having a base substrate layer 52 made of Si and an upper substrate layer 55 made of SiO 2 for fabricating long grooves 40 on the surface of the upper substrate layer 55 to form the elevation line 50 covered in the thin substrate layer 55 made of SiO 2 .
  • FIG. 6 is illustrated, schematically the next step in the method or procedure for fabricating a memory-type device using nano-stamping.
  • a subsequent indentation or nano-stamping step added to the nano-stamping step performed in the structure shown in FIG. 5 produces a set of perpendicular grooves to the ones produced in the FIG. 5 nano-stamping step. This is done by turning the nano-stamp 90 degrees about its axis and then indenting the upper substrate surface 55 . If the depth of the indentation is sufficient, the elevations 60 created will be formed entirely of SiO 2 , and the valleys 40 will be formed entirely of Si.
  • FIG. 7 illustrates schematically the results of high angle sputtering, which is a line of sight type procedure that deposits materials only on tops 70 of the elevations 60 . If a top layer 72 of Ni 70 is deposited on the tops 70 of the elevations 60 . If a top layer 72 of Ni is deposited on the tops 70 of the elevations 60 , the produced array of metallized sites 72 can be utilized as a magnetic memory device. Each individual top or hat 72 can be magnetized up or down in the drawing by a magnetic scanning probe, which also can be used to read this information.
  • This methods of the present invention and the structures created thereby are not limited to fabricating memory-type devices.
  • the methods can also be applied to MOSFET-type devices by doping Si substrate with boron and then metallizing the tops or hats and connecting them in some pattern. If an insulating substrate is used, such devices as multi-electron quantum dots can be fabricated with the electrons stabilized by Colombic stabilization. If a conducting substrate is employed for the substrate 52 and piezoelectric material is used for elevations 55 , then a square grid of piezoelectric elevations 60 can be produced with electrodes 72 . Such device might be useful in manipulation of molecules attached to the surface.
  • the methods can be used in the fabrication of highly efficient cathalithic supports and nano-photonic devices.
  • the essential part of all of theses applications is the fast and efficient procedure for nano-stamp fabrication and nano-identing using the techniques described above.

Abstract

The stamping process and a method of fabrication of nano-stamps with characteristic dimensions below 1 nm and up to 0.1 micron intended for usage in making patterns of characteristic dimensions same as those of the nano-stamp on surface of a substrate is provided. In the process a very hard stamp is fabricated by first depositing alternating layers of two materials, one of which has very high hardness, on some sacrificial substrate via PVD, CVD or any other deposition procedure that produces alternating layers of selected thickness, from sub 1 nm to above 100 nm. The layered film is then polished to atomically smooth finish perpendicular to the plane of the layers and etched to produce dips in the softer layers These steps produce a grid of parallel elevations and valleys on the etched surface, which now can be used as a mold to stamp out patterns on a substrate of lower hardness than the hardness of the elevated layers. If the substrate is stamped twice with turning of the stamp 90 degrees between first and second stampings, a square pattern of hills and valleys is formed, which can be used for magnetic memory storage by subsequently sputtering magnetic material on the tops of the elevations or hills.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • The present invention relates to a method for making a nano-stamp and to a method which uses the stamp for producing structured patterns including nano-sized elements on the surface of a substrate for use in nano-scale electronic deviecs, such as integrated circuits (Ics), information storage devices, and photonic and opto-electronic devices, nano-biologic devices, nano-sensors and the like. [0002]
  • 2. Description of the Prior Art [0003]
  • Lithography is the key procedure in industrial manufacturing of numerous small-scale devices, such as the semiconductor-based ICs, micro-electro-mechanical (MEMs) devices, and magnetic, optical, and electro-optical devices. In its well-known form, the process of lithography involves covering a given surface with a plastic material, called a “resist”, which has the property of changing its atomic structure under exposure to energetic particles of electromagnetic or other type of radiation (ions, electrons, molecules, etc.). Then, an appropriately cut mask is placed on the resist, which is made of material impenetrable to the radiation, followed by exposing this surface through the mask to the flow of one of the above-mentioned types of radiation. Subsequently, the exposed areas of resist are dissolved in a special solution, which does not dissolve the unexposed parts of the polymer. Thus a pattern of polymer covered and uncovered spots is produced on the surface, which subsequently is exposed to a variety of substances necessary for carrying out diffusion steps. [0004]
  • Modern day ICs, MEMs, and opto-electronic device manufacturing requires smaller and smaller patterns to be manufactured on a variety of substrates, particularly on Si, or SiO[0005] 2 substrates. Optical lithography has been the key for the industrial production of these devices; however, it is well known in the art of lithography that the resolution of the lithographs process is limited by half a wavelength of the electromagnetic radiation. As the size of the patterns shrinked below one micron, the radiation had to be changed from visible to UV, to soft X-ray. In addition to optical radiation, e-beam, ion-beam, atomic and molecular beam, and atomic scanning probe (ASP) are being investigated for possible applications in the area of industrial mass-production of electronic devices having elements with a characteristic dimension below 1 micron.
  • All of these technologies suffer from serious drawbacks and none is currently able to mass-produce patterns having elements below 50 nm. [0006]
  • Electron-beam lithography has been shown to achieve 10-nm lithographic resolution. A. N. Broers, J. M. Harper, and W. W. Molzen, Applied Phys. Lett. 33, 392 (1978) and P. B. Fisher and S. Y. Chou, Applied Physics Letters. 62, 2989 (1993) reported this kind of resolution, however their approach cannot be practically adapted for industrial production of nano-size patterns. On the other hand, X-ray lithography does not suffer from this detriment and can have a high throughput at 50 nm lithographic resolution, as was shown by K. Early, M. L. Shattenburg, H. I. Smith in Microelectronic Engineering 11, 317 (1990). However, X-ray lithography has not yet shown its ability to go below 50 nm in an industrial fashion. [0007]
  • Atomic scanning probes have shown lithographic resolution below 10 nm, but this procedure is inherently slow and it is yet to be determined if the ASP can fabricate the nano-patterns with necessary speed for mass production. [0008]
  • Another limitation of currently used approaches to lithography is their inherent complexity and the toxicity of the chemicals used for etching. The liquids used for etching out the patterns require special handling procedure to protect the health of the workers. Large resources are spent on safe disposal of waste products, which drives up the cost of the process. [0009]
  • An alternative approach to lithography is the compressive molding of thermoplastic polymers, a technology that has been around for several decades. One example of this technology are imprinted polymethyl methacrylate (PMMA) structures with a feature size on the order of 10 micrometers for making MEMs parts disclosed by M. Harmening, W. Bacher, P. Bley, A. El-Kholi, H. Kalb, B. Kowanz, W. Mentz, A. Michel, and J. Mohr in Proceedings of IEEE Micro Electro Mechanical Systems, 202 (1992). [0010]
  • H. Lee and S. D. Senture produced molded micro-mechanical parts from polyester of the side of a few tens of micrometer as described in Proceedings of 1992 13[0011] th IEEE/CHMT International Electronic Manufacturing Technology Symposium, 145.
  • Finally, S. Y. Chou, in U.S. Pat. No. 5,772,905 discloses a technique, which he calls “Nanoimprint Lithography”, that utilizes the compressive molding of thermoplastic polymers approach to further reduce lithographic resolution down to sub-25 nm dimensions. In S. Y. Chou's approach, there is no need for any type of radiation and for masking the resist polymer. instead the pattern is produced by pressing the mold, which has a nano-scale pattern of elevations and intrusions, into the polymer. How this pattern is produced on the mold is not specified. It is assumed that there exists a technique to do that. However, except for the highly inefficient ASP approach which requires enormous amounts of time to curve out even the simplest nano-scale patterns, there is currently no technique to fabricate nano-molds in an efficient way. [0012]
  • Examples of analogous and non-analogous structures with small elements and analogous and non-analogous methods for making structures with small elements are disclosed in the following analogous and non-analogous U.S. patents. [0013]
    PATENT NUMBER PATENTEE
    5,486,404 Nakajima et al.
    5,735,985 Ghosh et al.
    5,772,905 Chou
    5,871,242 Biebuyck et al.
    5,824,584 Chen et al.
    5,876,787 Avarbz et al.
    5,900,160 Whitesides et al.
    5,960,266 Ishii et al.
    5,937,295 Chen et al.
    6,033,583 Musket et al.
    6,016,269 Peterson et al.
    6,019,656 Park et al.
    6,027,595 Suleski
    6,034,389 Burns et al.
  • SUMMARY OF THE INVENTION
  • The present invention relates to a method and apparatus for fabrication of nanostamps which either can be used in a process of nano-imprint lithography to produce nano-scale patterns on a thermoplastic polymeric film covering the surface of a selected substrate, or the nano-stamp can be used in a novel process of nano-stamping, which does away with the use of a resist polymer and with the etching of the substrate covered with the polymer and employs the step of stamping the substrate directly, which is possible due to the very high hardness and atomic-level smoothness of the nano-stamp fabricated by using the procedure and materials described herein. [0014]
  • The methods described herein relates to the fabrication nano-stamps by a fundamentally different approach than has ever been used in any kind of stamping. It is noted that the technology of stamping has existed for thousands of years, as applied to minting of coins, for example. For those applications, stamps, or molds for stamps were carved, polished, or processed in some other way by using hand-held cutting tools. However, when pattern dimensions shrink down to nano-scale, in particular below 25 nm, the only carving tool available has been ASP, which, as described above, is a notoriously slow and inefficient tool. [0015]
  • To fabricate a tool capable of carving or stamping out patterns below 25 nm in size and potentially below 1 nm, the method of the present invention begins with forming a two-dimensional superlattice on a substrate, exact nature of which is irrelevant in this case. The technique of forming two-dimensional superlattices is been known for decades. A two-dimensional superlattice comprises layers of dissimilar materials deposited upon each other in an alternating fashion. A number of different techniques have been used to fabricate two-dimensional superlattices in industry, such as physical vapor deposition (PVD) and chemical vapor deposition (CVD). These superlattices are characterized by the fact that the sum of thicknesses of the two alternating layers can be made as small as a few nanometers. In other words, the thickness of each individual layer can be as small as a few atomic units. One example of a two-dimensional superlattice is shown in the FIG. 2 herein, where NbN and TiN alternating layers have thickness of 20 nm. This two-dimensional superlattice was fabricated by using an unbalanced magnetron reactive sputtering technique, which produced coherent interfaces in the superlattices. As stated above other techniques can be used to achieve similar layering of two materials and both coherent and incoherent interfaces can be used in practicing the methods of the present invention. [0016]
  • The next step of the method is to cut the produced superlattice in a plane perpendicular to the planes of the layers and to polish the produced surface to smooth, preferably atomically smooth finish. After it is polished, the polished should be as shown in FIG. 2 herein under TEM observation. This surface then is etched to remove one type of layers to some depth. The resulting grid will have distance between elevations determined by the thickness of the alternate layers of the superlattice. This grid of the nano-stamp then can be used for indenting a surface of a substrate. By turning the grid of the nano-stamp 90 degrees in the plane of the substrate, it is possible to make a square pattern of hills and valleys in the surface of the substrate, which subsequently can be sputtered with some magnetic material, like nickel, for example, to fabricate a magnetic memory-type of device.[0017]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1. is a block schematic diagram of an apparatus for reactive sputtering of superlattice films. [0018]
  • FIG. 2 is a photographic view of a two-dimensional superlattice coating cut perpendicular to the plane of 20 nm layers and shows the alternating materials of TiN in white and NbN in black. [0019]
  • FIG. 3. is a fragmentary view of the alternating layers of the superlattice shown in FIG. 2 after atomic polishing. [0020]
  • FIG. 4. is a fragmentary perspective view showing the grid formed on one surface of the superlattice shown in FIG. 3 after etching to form a nano-stamp of the present invention. [0021]
  • FIG. 5[0022] a. illustrates schematically in a perspective view the process of indenting the surface of Si/SiO2 substrate with the nano-stamp to form a set of grooves on or in the substrate.
  • FIG. 5[0023] b. is a fragmentary perspective view of a corner of the substrate shown in FIG. 5a but without the nano-stamp to show better the ridges or elevations and valleys formed by the nano-stamp in the substrate.
  • FIG. 6. is a fragmentary perspective view of a set of elevations produced on the surface after two stamping steps 90 degrees offset from each other. [0024]
  • FIG. 7. is a fragmentary perspective view of the generally square elevations or elements formed in the substrate after the two, 90 degrees apart, stampings and after a magnetic material is sputtered on the tops of the generally square elevations.[0025]
  • DESCRIPTION OF THE PREFERRED EMBODIMENT(S)
  • The present invention relates to a method and apparatus for creating and using a nano-stamp for forming high density elevations, elements or cells on a substrate with nano-scale features including nano-scale-resolution, high-throughput, and potentially low cost. The method of the present invention does not use energetic particles or beams; neither does it require polymer resist to be placed on the surface of a substrate. Instead, the method of the present invention relies on very fast and efficient manufacturing of a nano-stamp by utilizing PVD, CVD, or other surface deposition technique, to produce a two-dimensional nano-scale multi-layered thin film, processing this film into a nano-stamp by cutting it perpendicular to the plane of the layers, atomically polishing the new surface, etching out alternate layers to create alternate elevations, and then indenting a substrate with the grid of alternate elevations under a variety of angles to achieve a desired nano-scale pattern. [0026]
  • The method of the present invention offers many advantages over the current state of the art in nano-lithography. First, it has the major advantage of all imprint technologies of not using any energetic beams and particles to define the nano-scale pattern. It is well accepted in the current state of the art that energetic particles interfere and scatter into photo resist thus severely limiting resolution below 25 nm. On the other hand, the wave diffraction limits set by the wavelength of the electromagnetic radiation also present a natural limit for X-ray-based nano-lithography. The method of the present invention can easily produce patterns with close to 1 nm resolution, because superlattices with 1 nm have been manufactured. The method also has the the advantage over other nano-imprint technologies of not using polymeric photo resist and thus not requiring the etching step, that is absolutely unavoidable to remove material in the nano-imprint lithography approach. The very high hardness of the nano-stamp fabricated following procedures described herein makes possible the stamping of semiconductors without using polymers at all. [0027]
  • While it may be possible to create elements or cells with nano-resolution without the need for toxic chemicals using atomic scanning probe (ASP), there are some drawbacks using ASP. According to the current art, ASP is an extremely slow and time-consuming procedure, which cuts the surface one small step-at-a-time. The nano-stamping approach of the present invention should make it possible to fabricate large areas of circuits with one stamping step. This advantage makes the present method of he present invention superior to any other approach currently being practiced in the existing art of nano-lithography. Based on these advantages, the method of the present invention may very well become vital for future mass scale manufacturing of nano-size circuits and other nano-scale devices. [0028]
  • In FIG. 1 is shown the equipment that can be used to produce nano-layered two-dimensional superlattices. Although there are many techniques that can fabricate such layered materials, the opposed cathode, unbalanced magnetron sputtering system illustrated here is one of the most convenient and efficient ways of producing very high quality superlattices. It must be pointed out that the quality of the layers must be very high. The thickness of the layers must be atomically uniform over large distances and the technique illustrated here is known in the art as one of the most accurate in fabricating the uniform layers. [0029]
  • In FIG. 1, there is shown two [0030] targets 10 react with the reactive gas and reactively sputter layers of ZrB2 and W on the substrate 20, which is fixed on the rotating substrate holder 30. Every full revolution of 30 produces two layers: one ZrB2 and one W.
  • FIG. 2 shows an example of cut and polished nano-layered two-dimensional superlattice with 20 nm thick layers. The material in this example is [0031] TiN layer 21 and NbN layer 22. Though these materials can work as well, after an appropriate etchant is found that works on one of them and not on the other, the preferred embodiment of this invention is to have ZrB2 and W as alternating materials. ZrB2 is a material of exceptional hardness, Hv≅3000 and thus can easily make indentations in most substrate materials, such as Si or SiO2, for example and W is quite easy to etch out with a mild aqueous solution of sulfuric acid, which will leave ZrB2 intact. Fabrication of such films has been reported. In the illustrated case, the nano-layered film is fabricated on a steel substrate 23 covered with Ti interlayer 24. The purpose of substrate 23 and layer 24 is to assist in fabrication of the nano-layered film and their exact nature is not important for the final product, which is the nano-stamp based on layers 21 and 22.
  • FIG. 3. Illustrates the step in fabrication of nano-stamp when the layered material has been cut and polished to atomically smooth finish. The cutting procedure can be accomplished either by cleaving, which simply means careful breaking along a plane perpendicular to the layers planes, or by using diamond saw or a laser cutting technique. The cutting procedure must be followed by a polishing step to remove all the damage introduced by cutting and to achieve atomically smooth finish on the surface intended for nano-indenting. Such polishing can be accomplished by mechano-chemical means or by plasma etching, or by ion and reactive ion milling. The exact procedures are well established in the art of surface polishing. [0032]
  • In FIG. 3, the result of uniform polishing is illustrated. However the uniform removal of material does not have to be the case. If the materials of the layers are not removed uniformly by the polishing procedure, then a structure similar to FIG. 4 will result. What is important, however, is that the elevations need to ne of nearly equal atomic height. [0033]
  • The next step, illustrated in FIG. 4, might or might not be necessary depending on the results of the previous step. FIG. 4 illustrates the state of the nano-stamp after the last stage of fabrication, which is etching of the surface of the nano-stamp to achieve needed depth of the grooves. Each [0034] groove 40 corresponds to the material W and each elevation 50 to material ZrB2. To achieve the necessary results, in one preferred method of the present invention where ZrB2/W combination is used, is to use a weak aqueous solution of sulfuric acid to etch out the edge of the W layer namely form groove 40 leaving the layer ZrB2, elevation layer 50, intact.
  • FIG. 5 shows schematically the application of the nano-stamp to a [0035] layered substrate 51 having a base substrate layer 52 made of Si and an upper substrate layer 55 made of SiO2 for fabricating long grooves 40 on the surface of the upper substrate layer 55 to form the elevation line 50 covered in the thin substrate layer 55 made of SiO2. As was explained above, no masking is required in this process. The layer of ZrB2 is a much harder material than SiO2 (Hv=3,000 vs. 1000 for SiO2). Accordingly, SiO2 will flow into the grooves 56 and the resulting pattern on the surface of the silicon substrate will look as shown on FIG. 5b.
  • In FIG. 6 is illustrated, schematically the next step in the method or procedure for fabricating a memory-type device using nano-stamping. A subsequent indentation or nano-stamping step added to the nano-stamping step performed in the structure shown in FIG. 5 produces a set of perpendicular grooves to the ones produced in the FIG. 5 nano-stamping step. This is done by turning the nano-stamp 90 degrees about its axis and then indenting the [0036] upper substrate surface 55. If the depth of the indentation is sufficient, the elevations 60 created will be formed entirely of SiO2, and the valleys 40 will be formed entirely of Si.
  • If some SiO[0037] 2 still remain in the valleys, it can be easily etched out, if this is needed, by an appropriate etchant.
  • FIG. 7 illustrates schematically the results of high angle sputtering, which is a line of sight type procedure that deposits materials only on [0038] tops 70 of the elevations 60. If a top layer 72 of Ni 70 is deposited on the tops 70 of the elevations 60. If a top layer 72 of Ni is deposited on the tops 70 of the elevations 60, the produced array of metallized sites 72 can be utilized as a magnetic memory device. Each individual top or hat 72 can be magnetized up or down in the drawing by a magnetic scanning probe, which also can be used to read this information.
  • The operations described above will require precise alignment and orientation techniques. Such techniques are known to those skillful in the art of nano-lithography. For example, Nomura et al. A MOIRE ALIGNMENT TECHNIQUE FOR MIX AND MATCH LITHOGRAPHIC SYSTEM, J. Vac. Sci. Technol. B6(1) January/February 1988, 394, and by Hare et al., AN ALIGNMENT TECHNIQUE USING DEFRACTED MOIRE SIGNALS, J. Vac. Sci. Technol, B7(6), November/December 1989, 1977. [0039]
  • This methods of the present invention and the structures created thereby are not limited to fabricating memory-type devices. The methods can also be applied to MOSFET-type devices by doping Si substrate with boron and then metallizing the tops or hats and connecting them in some pattern. If an insulating substrate is used, such devices as multi-electron quantum dots can be fabricated with the electrons stabilized by Colombic stabilization. If a conducting substrate is employed for the [0040] substrate 52 and piezoelectric material is used for elevations 55, then a square grid of piezoelectric elevations 60 can be produced with electrodes 72. Such device might be useful in manipulation of molecules attached to the surface.
  • Also the methods can be used in the fabrication of highly efficient cathalithic supports and nano-photonic devices. The essential part of all of theses applications is the fast and efficient procedure for nano-stamp fabrication and nano-identing using the techniques described above. [0041]
  • From the foregoing description it will be apparent that the methods of the present invention and the structures of the present invention made by the methods have a number of advantages, some of which have been described above and others of which are inherent in the invention. Also modifications can be made to the methods and structures of the present invention without departing from the teachings of the invention. Accordingly, the scope of the invention is only to be limited as necessitated by the accompanying claims. [0042]

Claims (20)

I claim:
1. A method for making a nano-stamp comprising the steps of:
sputtering a first [thin] layer of one type of material on a substrate;
sputtering a second [thin] layer of a second material on top of the first layer;
repeating the two previous steps until a superlattice structure having a desired number of alternate layers of different material has been formed;
cutting through the superlattice structure transverse to the layers;
atomically polishing the cut surface of the superlattice; and
applying an etchant material to etch away the softer one of the alternate layers of material in the superlattice to a sufficient depth thereby to form a nano-stamp comprising a grid of very thin edge lines separated by very thin spacings on the order of 25 nanometers or less and as low as 1 nanometer.
2. The method of claim 1 wherein the layer of harder material is made of ZrB2.
3. The method of claim 1 wherein the layer of softer material is made of W.
4. The method of claim 1 wherein the etchant includes sulfuric acid.
5. A nano-stamp comprising a block having a grid surface comprising a plurality of very thin line edges of relatively hard material separated by alternate very thin layers of a softer material with valleys being formed in the softer material at a polished surface of the block and between the edge lines of harder material by an etchant thereby to form a plurality of side-by-side rigid line edges separated by valleys whereby the center-to-center dimension between adjacent grid line edges is between 1 and 25 nanometers.
6. The nano-stamp of claim 5 wherein the thin edge lines are made of ZrB2.
7. The nano-stamp of claim 5 wherein the thin alternate layers are made of W.
8. The method of forming a high density nano-electronic device comprising: a lattice of elements or elevations having very small dimensions between approximately 1 and approximately 25 nanometers in lateral extent comprising the steps of:
providing a first substrate of one material;
providing a thinner layer of a second substrate of a second material on top of the first substrate;
stamping the second layer of substrate material with a nano-stamp comprising a block having a grid surface comprising a plurality of very closely spaced line edges of relatively hard material separated by alternate very thin layers of a softer material with valleys being formed in the softer material between the line edges of the harder material by an etchant thereby to form a plurality of side-by-side rigid line edges separated by valleys on a polished surface of the block whereby the center-to-center dimension between grid line edges is between approximately 2 and approximately 25 nanometers;
rotating the nanostamp so that the line edges of the nano-stamp will traverse or cross the lines formed in the upper substrate by the first stamping;
stamping the upper substrate a second time with the nano-stamp;
removing the nano-stamp to leave elevations, tops or cells with valleys therebetween where the bottom of each valley is substantially the first substrate material and the elevations, tops or cells being formed substantially of the upper substrate material; and,
sputtering a conductive material on the elevations, tops or cells formed in the upper substrate layer, thereby to form a high density micro-electronic device.
9. The method of claim 8 wherein said conductive material is magnetic nickel.
10. The method of claim 8 wherein said first substrate material is Si.
11. The method of claim 8 wherein said second substrate material is SiO2.
12. The method of claim 8 wherein said first substrate material is made of a conductive material.
13. The method of claim 8 wherein said second substrate material is made of a piezoelectric material.
14. A high density nano-electronic device comprising:
a lattice of elements, elevations tops or cells having very small dimensions between approximately 1 and approximately 25 nanometers on lateral extent in a second substrate material disposed on top of a first substrate material; each element, elevation, top or cell having a generally square configuration and a lateral extent of between 1 and 25 nanometer; and, a conductive material in a small layer on each element, elevation, top or cell formed in the upper substrate layer.
15. The nano-electronic device of claim 14 wherein said conductive material is magnetic nickel.
16. The nano-electronic device of claim 14 wherein said first substrate is Si.
17. The nano-electronic device of claim 14 wherein said second substrate material is SiO2.
18. The nano-electronic device of claim 14 wherein said first substrate is made of a conductive material.
19. The nano-electronic device of claim 14 wherein said second substrate material is made of a piexoelectric material.
20. A process of nano-stamping and a method for fabricating a nano-stamp for said process to use for forming nano-size patterns on a substrate, comprising the steps of: fabricating a nano-stamp from two materials, at least one of which is significantly harder than the other material, by utilizing PVD, CVD, or any other method for forming nano-layered two-dimensional superlattices; cleaving or cutting the nano-layered film to obtain a section perpendicular to the plain of the layers; polishing and etching this surface to obtain uniform elevated lines of the harder material and grooves of the softer material forming a nano-stamp; selecting an appropriate substrate; pressing or indenting the nanostamp into the surface of the substrate whereby a set of grooves is formed on the surface of the substrate mirroring those on the surface of the nano-stamp; lifting the nano-stamp from the surface, turning it 90 degrees about the stamping axis and repeating the pressing or indenting procedure thus producing a square pattern of elevations and valleys; removing the nanostamp from the surface of the substrate; and, sputtering metallic material on the top of the elevations to produce magnetic memory-type device.
US10/610,522 2000-04-28 2002-04-01 Method for making a nano-stamp and for forming, with the stamp, nano-size elements on a substrate Abandoned US20040195202A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/610,522 US20040195202A1 (en) 2000-04-28 2002-04-01 Method for making a nano-stamp and for forming, with the stamp, nano-size elements on a substrate

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/560,375 US6365059B1 (en) 2000-04-28 2000-04-28 Method for making a nano-stamp and for forming, with the stamp, nano-size elements on a substrate
US10/610,522 US20040195202A1 (en) 2000-04-28 2002-04-01 Method for making a nano-stamp and for forming, with the stamp, nano-size elements on a substrate

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/560,375 Division US6365059B1 (en) 2000-04-28 2000-04-28 Method for making a nano-stamp and for forming, with the stamp, nano-size elements on a substrate

Publications (1)

Publication Number Publication Date
US20040195202A1 true US20040195202A1 (en) 2004-10-07

Family

ID=33098378

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/610,522 Abandoned US20040195202A1 (en) 2000-04-28 2002-04-01 Method for making a nano-stamp and for forming, with the stamp, nano-size elements on a substrate

Country Status (1)

Country Link
US (1) US20040195202A1 (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050229837A1 (en) * 2002-05-07 2005-10-20 Olivier Marty Method of altering the properties of a thin film and substrate implementing said method
US20060131785A1 (en) * 2004-12-16 2006-06-22 Asml Holding N.V. System and method for patterning both sides of a substrate utilizing imprint lithography
US20060130678A1 (en) * 2004-12-16 2006-06-22 Asml Holding N.V. Method and apparatus for imprint pattern replication
US20060131270A1 (en) * 2004-12-16 2006-06-22 Asml Holding, N.V. Method and system for making a nano-plate for imprint lithography
US20060130317A1 (en) * 2004-12-16 2006-06-22 Asml Holding, N.V. Method and system for making a computer hard drive platen using a nano-plate
US20070121375A1 (en) * 2005-11-29 2007-05-31 Asml Holding N.V. System and method for forming nanodisks used in imprint lithography and nanodisk and memory disk formed thereby
US20070222456A1 (en) * 2005-11-30 2007-09-27 International Business Machines Corporation Nanoscale fault isolation and measurement system
US20090148795A1 (en) * 2007-12-05 2009-06-11 International Business Machines Corporation Patterning method using a combination of photolithography and copolymer self-assemblying lithography techniques
US20120070623A1 (en) * 2010-09-17 2012-03-22 Sony Corporation Manufacturing method of laminated body, stamper, transfer device, laminated body, molding element, and optical element

Citations (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4701366A (en) * 1985-07-01 1987-10-20 Exxon Research And Engineering Company Micro-porous superlattice material having zeolite-like properties
US4723903A (en) * 1984-06-18 1988-02-09 Hitachi, Ltd. Stamper for replicating high-density data recording disks
US5147763A (en) * 1988-10-19 1992-09-15 Canon Kabushiki Kaisha Process for producing molding stamper for data recording medium substrate
US5486404A (en) * 1993-05-21 1996-01-23 Hitachi Metals, Ltd. Nano-crystalline soft magnetic alloy ribbon with insulation coating and magnetic core made therefrom and pulse generator, laser unit and accelerator therewith
US5735985A (en) * 1996-11-15 1998-04-07 Eastman Kodak Company Method for micromolding ceramic structures
US5772905A (en) * 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US5783295A (en) * 1992-11-09 1998-07-21 Northwestern University Polycrystalline supperlattice coated substrate and method/apparatus for making same
US5817242A (en) * 1995-08-04 1998-10-06 International Business Machines Corporation Stamp for a lithographic process
US5824584A (en) * 1997-06-16 1998-10-20 Motorola, Inc. Method of making and accessing split gate memory device
US5876572A (en) * 1994-03-23 1999-03-02 Rolls-Royce Plc Multiple layer erosion resistant coating and a method for its production
US5876787A (en) * 1995-11-30 1999-03-02 Alfar International, Ltd. Process of manufacturing a porous carbon material and a capacitor having the same
US5900160A (en) * 1993-10-04 1999-05-04 President And Fellows Of Harvard College Methods of etching articles via microcontact printing
US5937295A (en) * 1995-09-29 1999-08-10 International Business Machines Corporation Nano-structure memory device
US5960266A (en) * 1995-02-17 1999-09-28 Hitachi, Ltd. Process for manufacturing a quantum memory element device
US6016269A (en) * 1998-09-30 2000-01-18 Motorola, Inc. Quantum random address memory with magnetic readout and/or nano-memory elements
US6019656A (en) * 1997-11-29 2000-02-01 Electronics And Telecommunications Research Institute Method of fabricating a field emission device by using carbon nano-tubes
US6027595A (en) * 1998-07-02 2000-02-22 Samsung Electronics Co., Ltd. Method of making optical replicas by stamping in photoresist and replicas formed thereby
US6033583A (en) * 1997-05-05 2000-03-07 The Regents Of The University Of California Vapor etching of nuclear tracks in dielectric materials
US6034389A (en) * 1997-01-22 2000-03-07 International Business Machines Corporation Self-aligned diffused source vertical transistors with deep trench capacitors in a 4F-square memory cell array
US6086726A (en) * 1998-05-19 2000-07-11 Sandia Corporation Method of modifying a surface
US6113752A (en) * 1998-07-07 2000-09-05 Techno-Coat Oberflachentechnik Gmbh Method and device for coating substrate
US6120844A (en) * 1995-11-21 2000-09-19 Applied Materials, Inc. Deposition film orientation and reflectivity improvement using a self-aligning ultra-thin layer
US6159558A (en) * 1998-10-12 2000-12-12 The University Of Houston Process for producing a carbon film on a substrate
US6165567A (en) * 1999-04-12 2000-12-26 Motorola, Inc. Process of forming a semiconductor device
US20010027570A1 (en) * 2000-02-07 2001-10-04 Blees Martin Hillebrand Stamp for use a lithographic process, method of manufacturing a stamp, and method of manufacturing a patterned layer on a substrate
US6743368B2 (en) * 2002-01-31 2004-06-01 Hewlett-Packard Development Company, L.P. Nano-size imprinting stamp using spacer technique

Patent Citations (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4723903A (en) * 1984-06-18 1988-02-09 Hitachi, Ltd. Stamper for replicating high-density data recording disks
US4701366A (en) * 1985-07-01 1987-10-20 Exxon Research And Engineering Company Micro-porous superlattice material having zeolite-like properties
US5147763A (en) * 1988-10-19 1992-09-15 Canon Kabushiki Kaisha Process for producing molding stamper for data recording medium substrate
US5783295A (en) * 1992-11-09 1998-07-21 Northwestern University Polycrystalline supperlattice coated substrate and method/apparatus for making same
US5486404A (en) * 1993-05-21 1996-01-23 Hitachi Metals, Ltd. Nano-crystalline soft magnetic alloy ribbon with insulation coating and magnetic core made therefrom and pulse generator, laser unit and accelerator therewith
US5900160A (en) * 1993-10-04 1999-05-04 President And Fellows Of Harvard College Methods of etching articles via microcontact printing
US5876572A (en) * 1994-03-23 1999-03-02 Rolls-Royce Plc Multiple layer erosion resistant coating and a method for its production
US5960266A (en) * 1995-02-17 1999-09-28 Hitachi, Ltd. Process for manufacturing a quantum memory element device
US5817242A (en) * 1995-08-04 1998-10-06 International Business Machines Corporation Stamp for a lithographic process
US5937295A (en) * 1995-09-29 1999-08-10 International Business Machines Corporation Nano-structure memory device
US5772905A (en) * 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US6120844A (en) * 1995-11-21 2000-09-19 Applied Materials, Inc. Deposition film orientation and reflectivity improvement using a self-aligning ultra-thin layer
US5876787A (en) * 1995-11-30 1999-03-02 Alfar International, Ltd. Process of manufacturing a porous carbon material and a capacitor having the same
US5735985A (en) * 1996-11-15 1998-04-07 Eastman Kodak Company Method for micromolding ceramic structures
US6034389A (en) * 1997-01-22 2000-03-07 International Business Machines Corporation Self-aligned diffused source vertical transistors with deep trench capacitors in a 4F-square memory cell array
US6033583A (en) * 1997-05-05 2000-03-07 The Regents Of The University Of California Vapor etching of nuclear tracks in dielectric materials
US5824584A (en) * 1997-06-16 1998-10-20 Motorola, Inc. Method of making and accessing split gate memory device
US6019656A (en) * 1997-11-29 2000-02-01 Electronics And Telecommunications Research Institute Method of fabricating a field emission device by using carbon nano-tubes
US6086726A (en) * 1998-05-19 2000-07-11 Sandia Corporation Method of modifying a surface
US6027595A (en) * 1998-07-02 2000-02-22 Samsung Electronics Co., Ltd. Method of making optical replicas by stamping in photoresist and replicas formed thereby
US6113752A (en) * 1998-07-07 2000-09-05 Techno-Coat Oberflachentechnik Gmbh Method and device for coating substrate
US6016269A (en) * 1998-09-30 2000-01-18 Motorola, Inc. Quantum random address memory with magnetic readout and/or nano-memory elements
US6159558A (en) * 1998-10-12 2000-12-12 The University Of Houston Process for producing a carbon film on a substrate
US6165567A (en) * 1999-04-12 2000-12-26 Motorola, Inc. Process of forming a semiconductor device
US20010027570A1 (en) * 2000-02-07 2001-10-04 Blees Martin Hillebrand Stamp for use a lithographic process, method of manufacturing a stamp, and method of manufacturing a patterned layer on a substrate
US6743368B2 (en) * 2002-01-31 2004-06-01 Hewlett-Packard Development Company, L.P. Nano-size imprinting stamp using spacer technique

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050229837A1 (en) * 2002-05-07 2005-10-20 Olivier Marty Method of altering the properties of a thin film and substrate implementing said method
US9102518B2 (en) * 2002-05-07 2015-08-11 Universite Claude Bernard Lyon I Process for modifying the properties of a thin layer and substrate applying said process
US20090226680A1 (en) * 2002-05-07 2009-09-10 Universite Claude Bernard Lyon I Process for modifying the properties of a thin layer and substrate applying said process
US7553369B2 (en) * 2002-05-07 2009-06-30 Universite Claude Bernard Lyon 1 Method of altering the properties of a thin film and substrate implementing said method
US7409759B2 (en) 2004-12-16 2008-08-12 Asml Holding N.V. Method for making a computer hard drive platen using a nano-plate
US20060131270A1 (en) * 2004-12-16 2006-06-22 Asml Holding, N.V. Method and system for making a nano-plate for imprint lithography
US20060131785A1 (en) * 2004-12-16 2006-06-22 Asml Holding N.V. System and method for patterning both sides of a substrate utilizing imprint lithography
US7331283B2 (en) 2004-12-16 2008-02-19 Asml Holding N.V. Method and apparatus for imprint pattern replication
US7363854B2 (en) 2004-12-16 2008-04-29 Asml Holding N.V. System and method for patterning both sides of a substrate utilizing imprint lithography
US20080163769A1 (en) * 2004-12-16 2008-07-10 Asml Holding N.V. System and method for patterning both sides of a substrate utilizing imprint lithography
US7882780B2 (en) 2004-12-16 2011-02-08 Asml Holding N.V. System and method for patterning both sides of a substrate utilizing imprint lithography
US7410591B2 (en) 2004-12-16 2008-08-12 Asml Holding N.V. Method and system for making a nano-plate for imprint lithography
US20060130317A1 (en) * 2004-12-16 2006-06-22 Asml Holding, N.V. Method and system for making a computer hard drive platen using a nano-plate
US20060130678A1 (en) * 2004-12-16 2006-06-22 Asml Holding N.V. Method and apparatus for imprint pattern replication
US7701668B2 (en) 2005-11-29 2010-04-20 Asml Holding Nv System and method for forming nanodisks used in imprint lithography and nanodisk and memory disk formed thereby
US20080285428A1 (en) * 2005-11-29 2008-11-20 Asml Holding N.V. System and Method for Forming Nanodisks Used in Imprint Lithography and Nanodisk and Memory Disk Formed Thereby
US20070121375A1 (en) * 2005-11-29 2007-05-31 Asml Holding N.V. System and method for forming nanodisks used in imprint lithography and nanodisk and memory disk formed thereby
US7399422B2 (en) 2005-11-29 2008-07-15 Asml Holding N.V. System and method for forming nanodisks used in imprint lithography and nanodisk and memory disk formed thereby
US7511510B2 (en) 2005-11-30 2009-03-31 International Business Machines Corporation Nanoscale fault isolation and measurement system
US20080238457A1 (en) * 2005-11-30 2008-10-02 International Business Machines Corporation Nanoscale fault isolation and measurement system
US7671604B2 (en) 2005-11-30 2010-03-02 International Business Machines Corporation Nanoscale fault isolation and measurement system
US20070222456A1 (en) * 2005-11-30 2007-09-27 International Business Machines Corporation Nanoscale fault isolation and measurement system
US20090148795A1 (en) * 2007-12-05 2009-06-11 International Business Machines Corporation Patterning method using a combination of photolithography and copolymer self-assemblying lithography techniques
US8083958B2 (en) * 2007-12-05 2011-12-27 International Business Machines Corporation Patterning method using a combination of photolithography and copolymer self-assemblying lithography techniques
US20120070623A1 (en) * 2010-09-17 2012-03-22 Sony Corporation Manufacturing method of laminated body, stamper, transfer device, laminated body, molding element, and optical element

Similar Documents

Publication Publication Date Title
US6365059B1 (en) Method for making a nano-stamp and for forming, with the stamp, nano-size elements on a substrate
US5772905A (en) Nanoimprint lithography
US7608194B2 (en) Photonic structures, devices, and methods
US6680214B1 (en) Artificial band gap
Martın et al. Ordered magnetic nanostructures: fabrication and properties
CN1236359C (en) Method in connection with the production of template and the template thus produced
US9005756B2 (en) Block copolymer nanostructure formed on surface pattern with shape different from nanostructure of the block copolymer and method for preparation thereof
US20080230947A1 (en) Articles Comprising Nanoscale Patterns With Reduced Edge Roughness and Methods of Making Same
EP2144117A1 (en) Process and system for fabrication of patterns on a surface
JP2012507882A (en) Alignment for edge field nanoimprint
US20040195202A1 (en) Method for making a nano-stamp and for forming, with the stamp, nano-size elements on a substrate
US20040175658A1 (en) Embossing tool having an arbitrary three-dimensional microstructure
US6897158B2 (en) Process for making angled features for nanolithography and nanoimprinting
Jia et al. Selection of UV-resins for nanostructured molds for thermal-NIL
Park et al. Effective fabrication of three-dimensional nano/microstructures in a single step using multilayered stamp
EP3619160B1 (en) Methods for micro and nano fabrication by selective template removal
US20100081282A1 (en) Process for adjusting the size and shape of nanostructures
Keller et al. Sub-5 nm Anisotropic Pattern Transfer via Colloidal Lithography of a Self-Assembled GdF3 Nanocrystal Monolayer
US8163656B2 (en) Process for adjusting the size and shape of nanostructures
Wagner et al. Nanoimprint lithography: Review of aspects and applications
Kouba et al. Fabrication of nanoimprint stamps for photonic crystals
US20080072708A1 (en) Method for manufacturing die and molding obtained therewith
JP2009536104A (en) Apparatus and method for obtaining a substrate constructed on a micrometer or nanometer scale
Stein et al. Diffractive x-ray optics using production fabrication methods
Tormen et al. Three-dimensional micro-and nanostructuring by combination of nanoimprint and x-ray lithography

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO PAY ISSUE FEE