US20040199731A1 - Method and apparatus to control memory accesses - Google Patents

Method and apparatus to control memory accesses Download PDF

Info

Publication number
US20040199731A1
US20040199731A1 US10/827,797 US82779704A US2004199731A1 US 20040199731 A1 US20040199731 A1 US 20040199731A1 US 82779704 A US82779704 A US 82779704A US 2004199731 A1 US2004199731 A1 US 2004199731A1
Authority
US
United States
Prior art keywords
memory
front side
side bus
accesses
access
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/827,797
Inventor
Eric Sprangle
Onur Mutlu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/827,797 priority Critical patent/US20040199731A1/en
Publication of US20040199731A1 publication Critical patent/US20040199731A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/16Handling requests for interconnection or transfer for access to memory bus
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/0215Addressing or allocation; Relocation with look ahead addressing means
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/16Handling requests for interconnection or transfer for access to memory bus
    • G06F13/1605Handling requests for interconnection or transfer for access to memory bus based on arbitration
    • G06F13/161Handling requests for interconnection or transfer for access to memory bus based on arbitration with latency improvement
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/41Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger
    • G11C11/413Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing, timing or power reduction

Definitions

  • the present invention is related to the field of electronics.
  • the present invention is related to a method and apparatus for controlling memory accesses.
  • DRAMs Dynamic Random Access Memories
  • a memory controller that addresses a bank of memory must first precharge the memory bank, then the addressed page within the bank must be activated before the addressed column in that page is accessed (read or written).
  • a “DRAM page open” or a “page hit” indicates the memory being accessed has already been precharged and activated, and data may be read or written from the page without having to precharge or activate the memory during each memory access.
  • a “page miss occurs” i.e., data is accessed from a page in memory other than from the page that is open
  • the open page must be written back to the DRAM chip from the sense amps.
  • the new memory page has to first be precharged and activated before being accessed.
  • Writing the old page to DRAM, and precharging and activating the new DRAM pages takes time and slows down memory accesses resulting in an inefficient use of the memory bus (reduced bandwidth) and a loss in performance of an apparatus (e.g., a computer) employing DRAM.
  • FIG. 1 illustrates a front side bus (FSB) controller that schedules memory accesses according to a prior art embodiment.
  • the FSB controller 105 includes a FSB scheduler 125 that schedules accesses (reads and writes) from a processor 110 to DRAM 120 via a FSB access queue 130 .
  • the FSB controller is coupled to processor 110 via a L 2 miss request bus and to memory controller 115 via a front side bus.
  • the memory controller 115 is coupled to DRAM 120 via a memory bus.
  • Processor 110 comprises an out-of-order core 135 and a hardware prefetcher (HWP) 140 .
  • the out-of-order core 135 uses a pipelining technique wherein multiple instructions are overlapped in execution in an effort to improve the overall performance of the processor.
  • the HWP prefetches data needed by execution units in the out-of-order core from DRAM.
  • the FSB controller 105 and in particular the FSB scheduler 125 schedules DRAM accesses from the processor 110 (i.e., from the out-of-order core and from the HWP) based upon the relative age of the instructions that caused the access (i.e., a load or store resulting in a request for reading or writing data to DRAM). Hence the accesses in the FSB access queue 130 are scheduled to DRAM in program order.
  • DRAM accesses from the out-of-order core have priority over DRAM accesses from the HWP, and accesses generated by the out-of-order core are scheduled in program order.
  • the FSB controller minimizes the DRAM access latency by first scheduling the accesses associated with the oldest instruction in the FSB access queue.
  • scheduling DRAM accesses based upon minimizing the latency of DRAM accesses is not always the optimum solution, as successive DRAM accesses may not always access open DRAM pages.
  • Accesses from a closed DRAM page involve writing the currently open page to DRAM, and precharging and activating a new DRAM page.
  • accessing a closed DRAM page takes time and slows down memory accesses, thereby rendering the processor's DRAM bus inefficient.
  • FIG. 1 illustrates a front side bus (FSB) controller that schedules memory accesses according to a prior art embodiment
  • FIG. 2A illustrates a front side bus (FSB) controller that schedules memory accesses according to one embodiment of the invention
  • FIG. 2B illustrates parameters associated with memory accesses according to one embodiment of the invention.
  • FIG. 3 illustrates a flow diagram of memory accesses according to one embodiment of the invention
  • FIG. 4 illustrates one embodiment of a computer system in which the present invention operates.
  • FIG. 2A illustrates a front side bus (FSB) controller that schedules memory accesses according to one embodiment of the invention.
  • the FSB controller 205 includes a FSB scheduler 225 that schedules DRAM accesses from a processor 210 to DRAM 220 using a FSB access queue 230 .
  • the FSB controller is coupled to processor 210 via a L 2 miss request bus and to memory controller 215 via a FSB.
  • the memory controller 215 is coupled to DRAM 220 via a memory bus.
  • Processor 210 comprises an out-of-order core 235 and a hardware prefetcher (HWP) 240 .
  • HWP hardware prefetcher
  • the out-of-order core 235 uses a pipelining technique wherein multiple instructions are overlapped in execution in an effort to improve the overall performance of the processor.
  • the HWP prefetches DRAM accesses that are predicted to be eventually needed by execution units in the out-of-order core.
  • the FSB controller 205 comprises a FSB scheduler 225 and a DRAM page open predictor 250 .
  • the FSB scheduler 225 is coupled to the DRAM page open predictor 250
  • the FSB scheduler is coupled to a FSB access queue 230 .
  • Alternate embodiments may have both the DRAM page open predictor and the FSB scheduler coupled to the FSB access queue 230 .
  • the FSB scheduler 225 schedules accesses from the processor 210 (i.e., from the out-of-order core and from the HWP) to DRAM based upon the application, or portions of the application, that is being processed by the processor.
  • the FSB scheduler 225 schedules memory accesses for maximizing the memory bus bandwidth.
  • the FSB scheduler 225 schedules memory accesses to minimize memory access latency.
  • the FSB scheduler arranges memory accesses in the FSB access queue 230 based upon the characteristics of the application being processed by processor 210 .
  • An application may be latency intolerant e.g., when a memory access is needed to resolve a branch missprediction and therefore the speculative work performed by the core when a branch is mispredicted is useless until the memory access is complete and the processor fetches instructions from the correct branch of program execution.
  • the FSB scheduler 225 monitors the memory accesses generated by the HWP 240 and by the out-of-order core 235 . The FSB does this by monitoring the entries in the FSB access queue 230 .
  • FIG. 2B illustrates parameters associated with memory accesses according to one embodiment of the invention.
  • each DRAM access (entry) in the FSB access queue 230 has associated with it at least the following information: a) The instruction number e.g., the program instruction number, 260 , of the DRAM access (i.e., the elapsed time since the DRAM access was generated); b) The memory address, 262 , of the DRAM access; c) A number, 264 , indicating the position of the DRAM access in the FSB queue (older accesses are placed higher in the queue hierarchy than later instructions); d) A flag, 266 , that indicates whether the access was created by the out-of-order core or by the HWP; e) A flag, 268 , that indicates whether the entry created by the HWP was also generated/used by the core; f) A flag, 270 , that indicates whether the access is predicted to access an open DRAM page; and
  • the FSB scheduler 225 may re-order the entries in the FSB queue 230 for maximizing the memory bus bandwidth. This means that the FSB scheduler prioritizes DRAM accesses that are predicted to access data from the same DRAM page. In the embodiment of FIG.
  • the FSB scheduler schedules memory accesses in accordance with the following queue entries 1, 6, 7, 4, 0, 8, 9, 11, 2, 12, 5, and 3.
  • accesses by the out-of-order-core have priority over accesses by the HWP for accessing open DRAM pages.
  • the FSB scheduler schedules memory accesses in accordance with the following queue entries 5, 3, 1, 6, 7, 4, 0, 8, 9, 11, 2, and 12.
  • older instructions from the core are scheduled by older instructions from the HWP regardless of whether a DRAM page is open. Details of this are provided with respect to FIG. 3 below.
  • the FSB scheduler 225 utilizes the DRAM page open predictor 250 .
  • the DRAM page open predictor keeps track of the history of DRAM pages that are accessed, and based on the history of the DRAM pages that are accessed, the DRAM page open predictor 250 generates a list of DRAM pages predicted to be open in DRAM. For example, if DRAM pages 12, 14, 16 and 18 were accessed in the past, and the memory system allows for 4 DRAM pages to be simultaneously open, then the DRAM page open predictor predicts that a new access to page 12 will hit, and a new access to page 13 will miss.
  • the DRAM page open predictor 250 generates or updates the list of DRAM pages predicted to be open in DRAM at least every memory bus cycle, in other embodiments, the DRAM page open predictor may generate or update the list of DRAM pages predicted to be open in DRAM every few (e.g., 2 or more) memory bus cycles.
  • the memory controller may send the list of open DRAM pages to the DRAM page open predictor over the FSB.
  • the memory controller is on the processor core and therefore the list of open DRAM pages, needed by the memory controller, can be utilized as the DRAM page open predictor.
  • the memory controller predicts which DRAM pages may be speculatively opened based on previous access patterns.
  • the memory controller may use an algorithm (e.g., a curve fit algorithm) that uses the addresses of previously opened DRAM pages to predict future DRAM pages that may be opened.
  • the DRAM page open predictor can be made aware of this algorithm (e.g., by programming the DRAM page open predictor at the time of manufacture), and infer from the accesses being sent to the memory controller which pages the memory controller will speculatively open. This eliminates the need for the memory controller to send the list of DRAM pages that are predicted to be open to the DRAM page open predictor.
  • the FSB scheduler uses the list of DRAM pages predicted to be open, generated by the DRAM page hit predictor, to set flag 270 for each memory access.
  • FIG. 3 illustrates a flow diagram of memory accesses according to one embodiment of the invention.
  • the DRAM page open predictor may predict the DRAM accesses that will access open DRAM pages and the accesses that will access closed DRAM pages. Using this information (i.e., if a DRAM access is predicted to access an open page) the FSB scheduler sets flag 270 (i.e., the predicted DRAM page open flag) for entries in the FSB access queue.
  • the FSB scheduler determines if the HWP generates most of the DRAM accesses utilized by the out-of-order core. In one embodiment of the invention, determining whether the HWP generates most of the DRAM accesses utilized by the out-of-order core implies determining whether flags 266 and 268 are set in some unit time interval. In another embodiment of the invention, determining whether the HWP generates most of the DRAM accesses utilized by the out-of-order core implies determining whether the percentage of accesses that have both flags 266 and 268 set exceeds some threshold e.g., a programmable percentage.
  • the programmable percentage is programmed at the time of manufacture of the FSB controller. In alternate embodiments, the programmable percentage is programmed by a user or automatically by the program being executed.
  • the flag 266 is set (e.g., by the HWP) indicating that the HWP generated the DRAM access.
  • flag 268 is set (e.g., by the out-of-order core), indicating the out-of-order core utilized the access generated by the HWP.
  • the following may be inferred if both flags are set for most DRAM accesses: 1) The HWP is generating the required DRAM accesses, and 2) The HWP is not generating unused DRAM accesses. Based at least upon conditions 1) and 2) above it may be inferred that the memory bus is saturated. This assumption is based upon the fact that the HWP requests entering the FSB queue are stalled in the FSB queue long enough such that the out-of-order core then generates the same DRAM access.
  • the application may be described as latency tolerant and the bandwidth of the memory bus may be assumed to be constrained.
  • the FSB scheduler determines that the application being processed is latency tolerant and that the bandwidth of the memory bus is constrained, the FSB scheduler switches to maximize bus bandwidth mode. Otherwise, the FSB scheduler assumes that the application being processed is not latency tolerant and switches to the minimize latency mode.
  • the FSB scheduler if the FSB scheduler is in the maximize bus bandwidth mode, the FSB scheduler re-orders the entries in the FSB access queue to maximize the memory bus bandwidth.
  • the FSB scheduler schedules FSB accesses such that the memory accesses that are generated by earlier instructions in the out-of-order core are scheduled to access DRAM before accesses generated by later instructions. Also, the FSB scheduler schedules DRAM accesses generated by the out-of-order core before DRAM accesses generated by the HWP. In the minimize latency mode when scheduling entries that are earliest in time (i.e., accesses associated with the oldest instruction in the FSB queue), the FSB scheduler does not consider whether entries in the FSB access queue are accessed from the same DRAM page or from different DRAM pages.
  • the FSB scheduler searches the FSB access queue for an entry associated with the oldest instruction (instructions from the out-of-order core have priority over instructions from the HWP) that is predicted to access an open DRAM page.
  • the first parameter, the “search window size”, describes how many entries will be searched when searching for an entry that accesses an open DRAM page. Starting with the list of entries, sorted (e.g., when the entries are entered into the FSB queue) by the age of the instruction associated with the entry (older instruction first), the FSB scheduler searches the “search window size” entries on this list for an access that is predicted to access an open DRAM page. If none of the “search window size” entries are predicted to access an open DRAM page, then the first entry on the list is scheduled.
  • the second parameter determines how many entries are allowed to bypass the first entry on the list, before the first entry on the list is chosen even though it is predicted to access a closed DRAM page. If an entry is scheduled that is not the first entry on the list, a “Bypass Counter” is incremented. If the first entry on the list is chosen, the “Bypass Counter” is reset to 0. If the value of the Bypass Counter is greater than or equal to the “Max Bypass Count”, the first entry on the list is chosen event though it is predicted to access a closed DRAM page ( resetting the Bypass Counter to 0).
  • the maximum bypass count, and the search window size may be programmed e.g., at the time of manufacture of the FSB scheduler.
  • the FSB scheduler schedules oldest entry in the search window that is predicted to access an open DRAM page and increments the bypass counter.
  • the FSB scheduler if the FSB scheduler schedules DRAM accesses in the maximize bus bandwidth mode, and if the FSB scheduler determines that the percentage of accesses that are scheduled on the FSB access queue are generated by the HWP and requested by the out-of-order core are below the threshold percentage, the FSB scheduler automatically changes the mode of scheduling DRAM accesses from the maximize bus bandwidth mode to the minimize latency mode.
  • the FSB scheduler schedules DRAM access in the minimize latency mode, and if the FSB scheduler determines that the percentage of accesses that are scheduled on the FSB access queue are created by the HWP and requested by the out-of-order core are equal to or above the threshold percentage the FSB scheduler automatically changes the mode of scheduling DRAM accesses from the minimize latency mode to the maximize bus bandwidth mode.
  • the change of modes from one to the other is done dynamically (i.e., on-the-fly) based upon the application or portion thereof being processed.
  • FIG. 3 accesses DRAM
  • alternate embodiments may access other types of memory e.g., Synchronous DRAM etc.
  • the embodiment described illustrates the FSB controller as a separate device, in other embodiments, a processor or even a memory controller may incorporate the functionality of the FSB controller described with respect to FIG. 3.
  • FIG. 4 illustrates one embodiment of a computer system in which the present invention operates.
  • the computer system 400 illustrated by FIG. 4 includes a processing unit 402 (e.g., a microprocessor) coupled through a bus 401 to a system memory 413 .
  • System memory 413 comprises a read only memory (ROM) 404 and a random access memory (RAM) 403 .
  • ROM 404 comprises Basic Input Output System (BIOS) 416
  • BIOS Basic Input Output System
  • RAM 403 comprises operating system 418 , application programs 420 , and program data 424 .
  • Processing unit 402 communicates with system memory 413 via FSB controller 422
  • FSB controller 422 communicates with system memory 413 via a memory controller (not shown).
  • the FSB controller 422 operates in accordance with the flow diagram described with respect to FIG. 3.
  • Computer system 400 includes mass storage devices 407 , input devices 406 and display device 405 coupled to processing unit 402 via bus 401 .
  • Mass storage devices 407 represent a persistent data storage device, such as a floppy disk drive, fixed disk drive (e.g., magnetic, optical, magneto-optical, or the like), or streaming tape drive. Mass storage devices store program data including application programs 428 and operating system 426 .
  • Processing unit 402 may be any of a wide variety of general purpose processors or microprocessors (such as the Pentium® family of processors manufactured by Intel® Corporation), a special purpose processor, or even a specifically programmed logic device.
  • Display device 405 provides graphical output for computer system 400 .
  • Input devices 406 such as a keyboard or mouse, may be coupled to bus 401 for communicating information and command selections to processing unit 402 .
  • Network devices 408 also connect computer system 400 to a network, and may include Ethernet devices, phone jacks, and satellite links.

Abstract

A method and apparatus for accessing memory comprising monitoring memory accesses from a hardware prefetcher; determining whether the memory accesses from the hardware prefetcher are used by an out-of-order core; and switching memory accesses from a first mode to a second mode if a percentage of the memory access generated by the hardware prefetcher are used by the out-of-order core.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • The present invention is related to the field of electronics. In particular, the present invention is related to a method and apparatus for controlling memory accesses. [0002]
  • 2. Description of the Related Art [0003]
  • Dynamic Random Access Memories (DRAMs) have memory precharge, activate, read, and write operations. In particular, a memory controller that addresses a bank of memory must first precharge the memory bank, then the addressed page within the bank must be activated before the addressed column in that page is accessed (read or written). A “DRAM page open” or a “page hit” indicates the memory being accessed has already been precharged and activated, and data may be read or written from the page without having to precharge or activate the memory during each memory access. When a “page miss occurs” (i.e., data is accessed from a page in memory other than from the page that is open), the open page must be written back to the DRAM chip from the sense amps. Next, the new memory page has to first be precharged and activated before being accessed. Writing the old page to DRAM, and precharging and activating the new DRAM pages takes time and slows down memory accesses resulting in an inefficient use of the memory bus (reduced bandwidth) and a loss in performance of an apparatus (e.g., a computer) employing DRAM. [0004]
  • FIG. 1 illustrates a front side bus (FSB) controller that schedules memory accesses according to a prior art embodiment. As illustrated in FIG. 1, the FSB [0005] controller 105 includes a FSB scheduler 125 that schedules accesses (reads and writes) from a processor 110 to DRAM 120 via a FSB access queue 130. The FSB controller is coupled to processor 110 via a L2 miss request bus and to memory controller 115 via a front side bus. The memory controller 115 is coupled to DRAM 120 via a memory bus. Processor 110 comprises an out-of-order core 135 and a hardware prefetcher (HWP) 140. The out-of-order core 135 uses a pipelining technique wherein multiple instructions are overlapped in execution in an effort to improve the overall performance of the processor. The HWP prefetches data needed by execution units in the out-of-order core from DRAM.
  • The FSB [0006] controller 105 and in particular the FSB scheduler 125 schedules DRAM accesses from the processor 110 (i.e., from the out-of-order core and from the HWP) based upon the relative age of the instructions that caused the access (i.e., a load or store resulting in a request for reading or writing data to DRAM). Hence the accesses in the FSB access queue 130 are scheduled to DRAM in program order. In particular, DRAM accesses from the out-of-order core have priority over DRAM accesses from the HWP, and accesses generated by the out-of-order core are scheduled in program order. Thus, the FSB controller minimizes the DRAM access latency by first scheduling the accesses associated with the oldest instruction in the FSB access queue. However, scheduling DRAM accesses based upon minimizing the latency of DRAM accesses is not always the optimum solution, as successive DRAM accesses may not always access open DRAM pages. Accesses from a closed DRAM page involve writing the currently open page to DRAM, and precharging and activating a new DRAM page. Thus, accessing a closed DRAM page takes time and slows down memory accesses, thereby rendering the processor's DRAM bus inefficient.
  • BRIEF SUMMARY OF THE DRAWINGS
  • Examples of the present invention are illustrated in the accompanying drawings. The accompanying drawings, however, do not limit the scope of the present invention. Similar references in the drawings indicate similar elements. [0007]
  • FIG. 1 illustrates a front side bus (FSB) controller that schedules memory accesses according to a prior art embodiment; [0008]
  • FIG. 2A illustrates a front side bus (FSB) controller that schedules memory accesses according to one embodiment of the invention; [0009]
  • FIG. 2B illustrates parameters associated with memory accesses according to one embodiment of the invention. [0010]
  • FIG. 3 illustrates a flow diagram of memory accesses according to one embodiment of the invention; [0011]
  • FIG. 4 illustrates one embodiment of a computer system in which the present invention operates. [0012]
  • DETAILED DESCRIPTION OF THE INVENTION
  • Described is a method and apparatus to control memory accesses. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. It will be apparent, however, to one of ordinary skill in the art that the present invention may be practiced without these specific details. In other instances, well-known architectures, steps, and techniques have not been shown to avoid unnecessarily obscuring the present invention. [0013]
  • Parts of the description are presented using terminology commonly employed by those skilled in the art to convey the substance of their work to others skilled in the art. Also, parts of the description will be presented in terms of operations performed through the execution of programming instructions. As well understood by those skilled in the art, these operations often take the form of electrical, magnetic, or optical signals capable of being stored, transferred, combined, and otherwise manipulated through, for instance, electrical components. [0014]
  • FIG. 2A illustrates a front side bus (FSB) controller that schedules memory accesses according to one embodiment of the invention. As illustrated in the embodiment of FIG. 2A, the FSB [0015] controller 205 includes a FSB scheduler 225 that schedules DRAM accesses from a processor 210 to DRAM 220 using a FSB access queue 230. The FSB controller is coupled to processor 210 via a L2 miss request bus and to memory controller 215 via a FSB. The memory controller 215 is coupled to DRAM 220 via a memory bus. Processor 210 comprises an out-of-order core 235 and a hardware prefetcher (HWP) 240. The out-of-order core 235 uses a pipelining technique wherein multiple instructions are overlapped in execution in an effort to improve the overall performance of the processor. The HWP prefetches DRAM accesses that are predicted to be eventually needed by execution units in the out-of-order core.
  • The FSB [0016] controller 205 comprises a FSB scheduler 225 and a DRAM page open predictor 250. In one embodiment, the FSB scheduler 225 is coupled to the DRAM page open predictor 250, and the FSB scheduler is coupled to a FSB access queue 230. Alternate embodiments may have both the DRAM page open predictor and the FSB scheduler coupled to the FSB access queue 230. In one embodiment, the FSB scheduler 225 schedules accesses from the processor 210 (i.e., from the out-of-order core and from the HWP) to DRAM based upon the application, or portions of the application, that is being processed by the processor. For example, if the application being processed is latency tolerant, the FSB scheduler 225 schedules memory accesses for maximizing the memory bus bandwidth. Alternately, if the application being processed is latency intolerant, the FSB scheduler 225 schedules memory accesses to minimize memory access latency. In other words, the FSB scheduler arranges memory accesses in the FSB access queue 230 based upon the characteristics of the application being processed by processor 210. An application may be latency intolerant e.g., when a memory access is needed to resolve a branch missprediction and therefore the speculative work performed by the core when a branch is mispredicted is useless until the memory access is complete and the processor fetches instructions from the correct branch of program execution. In order to determine whether an application is “latency tolerant” or “latency intolerant”, the FSB scheduler 225 monitors the memory accesses generated by the HWP 240 and by the out-of-order core 235. The FSB does this by monitoring the entries in the FSB access queue 230.
  • FIG. 2B illustrates parameters associated with memory accesses according to one embodiment of the invention. As FIG. 2B illustrates, each DRAM access (entry) in the [0017] FSB access queue 230 has associated with it at least the following information: a) The instruction number e.g., the program instruction number, 260, of the DRAM access (i.e., the elapsed time since the DRAM access was generated); b) The memory address, 262, of the DRAM access; c) A number, 264, indicating the position of the DRAM access in the FSB queue (older accesses are placed higher in the queue hierarchy than later instructions); d) A flag, 266, that indicates whether the access was created by the out-of-order core or by the HWP; e) A flag, 268, that indicates whether the entry created by the HWP was also generated/used by the core; f) A flag, 270, that indicates whether the access is predicted to access an open DRAM page; and g) A flag, 272, that indicates whether the memory access is for reading or writing memory.
  • In one embodiment of the invention, if a majority of the memory accesses scheduled by the FSB scheduler on to the memory bus are generated by the [0018] HWP 240, determined by checking whether flag 268 is set, and if the majority of these generated accesses are utilized by the out-of-order core 235, determined by checking whether flag 266 is set, then the application determined to be latency tolerant. For a latency tolerant application, in one embodiment of the invention, the FSB scheduler 225 may re-order the entries in the FSB queue 230 for maximizing the memory bus bandwidth. This means that the FSB scheduler prioritizes DRAM accesses that are predicted to access data from the same DRAM page. In the embodiment of FIG. 2B, in the maximize bandwidth mode the FSB scheduler schedules memory accesses in accordance with the following queue entries 1, 6, 7, 4, 0, 8, 9, 11, 2, 12, 5, and 3. Thus, accesses by the out-of-order-core have priority over accesses by the HWP for accessing open DRAM pages. However, in the minimize latency mode the FSB scheduler schedules memory accesses in accordance with the following queue entries 5, 3, 1, 6, 7, 4, 0, 8, 9, 11, 2, and 12. Thus, older instructions from the core are scheduled by older instructions from the HWP regardless of whether a DRAM page is open. Details of this are provided with respect to FIG. 3 below.
  • In order to schedule DRAM accesses that are predicted to access data from an open DRAM page, the [0019] FSB scheduler 225 utilizes the DRAM page open predictor 250. In one embodiment, the DRAM page open predictor keeps track of the history of DRAM pages that are accessed, and based on the history of the DRAM pages that are accessed, the DRAM page open predictor 250 generates a list of DRAM pages predicted to be open in DRAM. For example, if DRAM pages 12, 14, 16 and 18 were accessed in the past, and the memory system allows for 4 DRAM pages to be simultaneously open, then the DRAM page open predictor predicts that a new access to page 12 will hit, and a new access to page 13 will miss. In one embodiment of the invention, the DRAM page open predictor 250 generates or updates the list of DRAM pages predicted to be open in DRAM at least every memory bus cycle, in other embodiments, the DRAM page open predictor may generate or update the list of DRAM pages predicted to be open in DRAM every few (e.g., 2 or more) memory bus cycles. In one embodiment, the memory controller may send the list of open DRAM pages to the DRAM page open predictor over the FSB. In another embodiment, the memory controller is on the processor core and therefore the list of open DRAM pages, needed by the memory controller, can be utilized as the DRAM page open predictor. In another embodiment, the memory controller predicts which DRAM pages may be speculatively opened based on previous access patterns. For example, the memory controller may use an algorithm (e.g., a curve fit algorithm) that uses the addresses of previously opened DRAM pages to predict future DRAM pages that may be opened. The DRAM page open predictor can be made aware of this algorithm (e.g., by programming the DRAM page open predictor at the time of manufacture), and infer from the accesses being sent to the memory controller which pages the memory controller will speculatively open. This eliminates the need for the memory controller to send the list of DRAM pages that are predicted to be open to the DRAM page open predictor. In one embodiment of the invention, the FSB scheduler uses the list of DRAM pages predicted to be open, generated by the DRAM page hit predictor, to set flag 270 for each memory access.
  • FIG. 3 illustrates a flow diagram of memory accesses according to one embodiment of the invention. The DRAM page open predictor may predict the DRAM accesses that will access open DRAM pages and the accesses that will access closed DRAM pages. Using this information (i.e., if a DRAM access is predicted to access an open page) the FSB scheduler sets flag [0020] 270 (i.e., the predicted DRAM page open flag) for entries in the FSB access queue.
  • At [0021] 310, the FSB scheduler determines if the HWP generates most of the DRAM accesses utilized by the out-of-order core. In one embodiment of the invention, determining whether the HWP generates most of the DRAM accesses utilized by the out-of-order core implies determining whether flags 266 and 268 are set in some unit time interval. In another embodiment of the invention, determining whether the HWP generates most of the DRAM accesses utilized by the out-of-order core implies determining whether the percentage of accesses that have both flags 266 and 268 set exceeds some threshold e.g., a programmable percentage. In one embodiment of the invention, the programmable percentage is programmed at the time of manufacture of the FSB controller. In alternate embodiments, the programmable percentage is programmed by a user or automatically by the program being executed. In one embodiment of the invention, when the HWP generates the DRAM access, the flag 266 is set (e.g., by the HWP) indicating that the HWP generated the DRAM access. Subsequently, if the out-of-order core also generates the same DRAM access, flag 268 is set (e.g., by the out-of-order core), indicating the out-of-order core utilized the access generated by the HWP. Thus, by monitoring flags 266 and 268 for DRAM accesses in the FSB queue, the following may be inferred if both flags are set for most DRAM accesses: 1) The HWP is generating the required DRAM accesses, and 2) The HWP is not generating unused DRAM accesses. Based at least upon conditions 1) and 2) above it may be inferred that the memory bus is saturated. This assumption is based upon the fact that the HWP requests entering the FSB queue are stalled in the FSB queue long enough such that the out-of-order core then generates the same DRAM access.
  • If the conditions described above are met, the application may be described as latency tolerant and the bandwidth of the memory bus may be assumed to be constrained. Once the FSB scheduler determines that the application being processed is latency tolerant and that the bandwidth of the memory bus is constrained, the FSB scheduler switches to maximize bus bandwidth mode. Otherwise, the FSB scheduler assumes that the application being processed is not latency tolerant and switches to the minimize latency mode. In one embodiment of the invention, if the FSB scheduler is in the maximize bus bandwidth mode, the FSB scheduler re-orders the entries in the FSB access queue to maximize the memory bus bandwidth. [0022]
  • In the minimize latency mode, at [0023] 320, the FSB scheduler schedules FSB accesses such that the memory accesses that are generated by earlier instructions in the out-of-order core are scheduled to access DRAM before accesses generated by later instructions. Also, the FSB scheduler schedules DRAM accesses generated by the out-of-order core before DRAM accesses generated by the HWP. In the minimize latency mode when scheduling entries that are earliest in time (i.e., accesses associated with the oldest instruction in the FSB queue), the FSB scheduler does not consider whether entries in the FSB access queue are accessed from the same DRAM page or from different DRAM pages.
  • When in maximize bandwidth mode, the FSB scheduler searches the FSB access queue for an entry associated with the oldest instruction (instructions from the out-of-order core have priority over instructions from the HWP) that is predicted to access an open DRAM page. In one embodiment of the invention, there are 2 parameters used when performing this search. The first parameter, the “search window size”, describes how many entries will be searched when searching for an entry that accesses an open DRAM page. Starting with the list of entries, sorted (e.g., when the entries are entered into the FSB queue) by the age of the instruction associated with the entry (older instruction first), the FSB scheduler searches the “search window size” entries on this list for an access that is predicted to access an open DRAM page. If none of the “search window size” entries are predicted to access an open DRAM page, then the first entry on the list is scheduled. [0024]
  • The second parameter, the “Max Bypass Count”, determines how many entries are allowed to bypass the first entry on the list, before the first entry on the list is chosen even though it is predicted to access a closed DRAM page. If an entry is scheduled that is not the first entry on the list, a “Bypass Counter” is incremented. If the first entry on the list is chosen, the “Bypass Counter” is reset to 0. If the value of the Bypass Counter is greater than or equal to the “Max Bypass Count”, the first entry on the list is chosen event though it is predicted to access a closed DRAM page ( resetting the Bypass Counter to 0). In one embodiment of the invention, the maximum bypass count, and the search window size may be programmed e.g., at the time of manufacture of the FSB scheduler. [0025]
  • In the maximize bandwidth mode, at [0026] 330, a determination is made whether the bypass counter is greater than the bypass count. If the bypass counter is greater than the bypass count then at 350, the first entry in the FSB is scheduled for a DRAM access and the bypass counter is reset e.g., to 0. If at 330, the value of the bypass counter is not greater than the maximum bypass count, at 335, a determination is made whether the first entry in the search window (the search window is defined as the entries in the FSB access queue equal to the search window size) is predicted to access an open DRAM page. If the first entry in the search window is predicted to access an open DRAM page at, 350, the FSB scheduler schedules the first entry for a DRAM access and the bypass counter is reset.
  • However, if the first entry in the search window is not predicted to access an open. DRAM page, at [0027] 340, a determination is made whether any of the entries in the search window in the FSB access queue is predicted to access an open DRAM page. If none of the entries in the search window is predicted to access an open DRAM page at 350 the first entry in the FSB access queue is scheduled. However, if at 340 at least one of the entries in the search window is predicted to access an open DRAM page, at 345, the FSB scheduler schedules oldest entry in the search window that is predicted to access an open DRAM page and increments the bypass counter.
  • In one embodiment of the invention, if the FSB scheduler schedules DRAM accesses in the maximize bus bandwidth mode, and if the FSB scheduler determines that the percentage of accesses that are scheduled on the FSB access queue are generated by the HWP and requested by the out-of-order core are below the threshold percentage, the FSB scheduler automatically changes the mode of scheduling DRAM accesses from the maximize bus bandwidth mode to the minimize latency mode. So also, if the FSB scheduler schedules DRAM access in the minimize latency mode, and if the FSB scheduler determines that the percentage of accesses that are scheduled on the FSB access queue are created by the HWP and requested by the out-of-order core are equal to or above the threshold percentage the FSB scheduler automatically changes the mode of scheduling DRAM accesses from the minimize latency mode to the maximize bus bandwidth mode. Thus, the change of modes from one to the other is done dynamically (i.e., on-the-fly) based upon the application or portion thereof being processed. [0028]
  • Although the embodiment of FIG. 3 accesses DRAM, alternate embodiments may access other types of memory e.g., Synchronous DRAM etc. Although the embodiment described illustrates the FSB controller as a separate device, in other embodiments, a processor or even a memory controller may incorporate the functionality of the FSB controller described with respect to FIG. 3. [0029]
  • FIG. 4 illustrates one embodiment of a computer system in which the present invention operates. In general, the [0030] computer system 400 illustrated by FIG. 4 includes a processing unit 402 (e.g., a microprocessor) coupled through a bus 401 to a system memory 413. System memory 413 comprises a read only memory (ROM) 404 and a random access memory (RAM) 403. ROM 404 comprises Basic Input Output System (BIOS) 416, and RAM 403 comprises operating system 418, application programs 420, and program data 424. Processing unit 402 communicates with system memory 413 via FSB controller 422, and FSB controller 422 communicates with system memory 413 via a memory controller (not shown). The FSB controller 422 operates in accordance with the flow diagram described with respect to FIG. 3.
  • [0031] Computer system 400 includes mass storage devices 407, input devices 406 and display device 405 coupled to processing unit 402 via bus 401. Mass storage devices 407 represent a persistent data storage device, such as a floppy disk drive, fixed disk drive (e.g., magnetic, optical, magneto-optical, or the like), or streaming tape drive. Mass storage devices store program data including application programs 428 and operating system 426. Processing unit 402 may be any of a wide variety of general purpose processors or microprocessors (such as the Pentium® family of processors manufactured by Intel® Corporation), a special purpose processor, or even a specifically programmed logic device.
  • [0032] Display device 405, provides graphical output for computer system 400. Input devices 406, such as a keyboard or mouse, may be coupled to bus 401 for communicating information and command selections to processing unit 402. Also coupled to processing unit 402 through bus 401 are one or more network devices 408 that can be used to control and transfer data to electronic devices (printers, other computers, etc.) connected to computer system 400. Network devices 408 also connect computer system 400 to a network, and may include Ethernet devices, phone jacks, and satellite links.
  • Thus, a method and apparatus have been disclosed to control memory accesses. While there has been illustrated and described what are presently considered to be example embodiments of the present invention, it will be understood by those skilled in the art that various other modifications may be made, and equivalents may be substituted, without departing from the true scope of the invention. Additionally, many modifications may be made to adapt a particular situation to the teachings of the present invention without departing from the central inventive concept described herein. Therefore, it is intended that the present invention not be limited to the particular embodiments disclosed, but that the invention include all embodiments falling within the scope of the appended claims. [0033]

Claims (25)

1. An apparatus for accessing memory comprising:
a page open predictor to predict open memory pages;
a front side bus scheduler to switch memory access modes from a first mode to a second mode, said front side bus scheduler coupled to the page open predictor; and
a front side bus access queue, coupled to the front side bus scheduler, to contain memory accesses.
2. The apparatus of claim 1 further comprising a processor coupled to the front side bus access queue, wherein the processor comprises an out-of-order core and a hardware prefetcher.
3. The apparatus of claim 2 further comprising a memory controller coupled to the front side bus access queue.
4. The apparatus of claim 1 wherein the page open predictor predicts memory accesses that are predicted to occur from a page in memory.
5. The apparatus of claim 1 wherein the front side bus scheduler determines whether an application being processed is latency tolerant or latency intolerant.
6. The apparatus of claim 1 wherein the first mode comprises the front side bus scheduler scheduling memory accesses to minimize memory access latency, and the second mode comprises the front side bus scheduler scheduling memory accesses to maximize memory bus bandwidth.
7. The apparatus of claim 6 wherein the minimize memory access latency mode comprises the front side bus scheduler to first schedule memory accesses associated with earlier instructions.
8. The apparatus of claim 6 wherein maximize memory bus bandwidth mode comprises the front side bus scheduler to schedule memory accesses that are predicted to access data from an open memory page.
9. The apparatus of claim 1 wherein the front side bus scheduler searches a predetermined number of entries in the front side bus access queue to find entries that are predicted to access data from an open memory page, prior to scheduling a first entry in the front side bus access queue.
10. The apparatus of claim 5 wherein the front side bus scheduler automatically switches from the first mode to the second mode and vice versa.
11. The apparatus of claim 10 wherein the front side bus scheduler switches from the minimize memory access latency mode to the maximize memory bus bandwidth mode if for more than X percentage of accesses generated by a hardware prefetcher, more than Y percentage of accesses generated by the hardware prefetcher are also requested by an out-of-order core, based on any one of time and last n accesses and a weighted combination of time and last n accesses.
12. A method comprising:
monitoring memory accesses generated by a hardware prefetcher;
determining whether the memory accesses from the hardware prefetcher are used by an out-of-order core; and
switching memory accesses from a first mode to a second mode if a percentage of the memory accesses generated by the hardware prefetcher are used by the out-of-order core.
13. The method of claim 12 wherein the percentage of the memory accesses generated by the hardware prefetcher that is used by the out-of-order core is at least 50 percent in a unit time.
14-19. (Cancelled)
20. A computer system comprising:
a bus;
a processor coupled to the bus;
a front side bus controller coupled to the bus, said front side bus controller comprising a page open predictor, and a front side bus scheduler, said front side bus scheduler to switch memory access modes from a first mode to a second mode, said front side bus scheduler coupled to the page open predictor; and
a front side bus access queue, coupled to the front side bus scheduler, to contain memory accesses.
21. The computer system of claim 20 wherein the processor comprises an out-of-order core and a hardware prefetcher.
22. The computer system of claim 20 wherein the page open predictor predicts memory accesses that are likely to occur from a page in memory.
23. The computer system of claim 20 wherein the front side bus scheduler switches memory access modes from a first mode to a second mode.
24. The computer system of claim 23 wherein the first mode comprises memory accesses to minimize memory access latency, and the second mode comprises memory accesses to maximize memory bus bandwidth.
25. The computer system of claim 24 wherein the minimizing memory access latency mode comprises the front side bus scheduler to first schedule memory accesses generated by earlier instructions.
26. The computer system of claim 24 wherein maximizing memory bus bandwidth mode comprises the front side bus scheduler to schedule memory accesses that are predicted to access data from an open memory page.
27. The computer system of claim 24 wherein in the maximizing bus bandwidth mode the front side bus scheduler searches a predetermined number of entries in the front side bus access queue to find entries that are predicted to access data from an open memory page, prior to scheduling a first entry in the front side bus access queue.
28. (Cancelled)
29. The computer system of claim 26 wherein the front side bus scheduler switches from the minimize memory access latency mode to the maximize memory bus bandwidth mode dynamically.
30. (Cancelled)
US10/827,797 2002-02-21 2004-04-19 Method and apparatus to control memory accesses Abandoned US20040199731A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/827,797 US20040199731A1 (en) 2002-02-21 2004-04-19 Method and apparatus to control memory accesses

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/079,967 US6799257B2 (en) 2002-02-21 2002-02-21 Method and apparatus to control memory accesses
US10/827,797 US20040199731A1 (en) 2002-02-21 2004-04-19 Method and apparatus to control memory accesses

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/079,967 Continuation US6799257B2 (en) 2002-02-21 2002-02-21 Method and apparatus to control memory accesses

Publications (1)

Publication Number Publication Date
US20040199731A1 true US20040199731A1 (en) 2004-10-07

Family

ID=27733116

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/079,967 Expired - Lifetime US6799257B2 (en) 2002-02-21 2002-02-21 Method and apparatus to control memory accesses
US10/827,797 Abandoned US20040199731A1 (en) 2002-02-21 2004-04-19 Method and apparatus to control memory accesses

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/079,967 Expired - Lifetime US6799257B2 (en) 2002-02-21 2002-02-21 Method and apparatus to control memory accesses

Country Status (10)

Country Link
US (2) US6799257B2 (en)
JP (1) JP4064924B2 (en)
KR (1) KR100668001B1 (en)
CN (1) CN1318990C (en)
AU (1) AU2003209365A1 (en)
DE (1) DE10392278T5 (en)
GB (1) GB2402246B (en)
HK (1) HK1068973A1 (en)
TW (1) TWI289747B (en)
WO (1) WO2003073300A1 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040123067A1 (en) * 2002-12-24 2004-06-24 Sprangle Eric A. Method and apparatus for determining a dynamic random access memory page management implementation
US20090061675A1 (en) * 2006-04-03 2009-03-05 Aopen Inc. Video signal transmitting device for computer system having analog and digital video signal output functionality
US20090265293A1 (en) * 2008-04-18 2009-10-22 International Business Machines Corporation Access speculation predictor implemented via idle command processing resources
US20090327615A1 (en) * 2008-04-18 2009-12-31 International Business Machines Corporation Access Speculation Predictor with Predictions Based on a Scope Predictor
US20090327619A1 (en) * 2008-04-18 2009-12-31 International Business Machines Corporation Access Speculation Predictor with Predictions Based on Memory Region Prior Requestor Tag Information
US20090327612A1 (en) * 2008-04-18 2009-12-31 International Business Machines Corporation Access Speculation Predictor with Predictions Based on a Domain Indicator of a Cache Line
US9311988B2 (en) 2011-08-15 2016-04-12 Fujitsu Limited Storage control system and method, and replacing system and method
US9552196B2 (en) * 2015-03-26 2017-01-24 International Business Machines Corporation Schedulers with load-store queue awareness

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7159084B1 (en) * 2003-12-30 2007-01-02 Altera Corporation Memory controller
US7457888B2 (en) * 2004-01-12 2008-11-25 Hewlett-Packard Development Company, L.P. Data delivery based on a select data delivery performance parameter in a data processing system
US7904906B2 (en) * 2004-11-23 2011-03-08 Stratus Technologies Bermuda Ltd. Tracking modified pages on a computer system
US20060112240A1 (en) * 2004-11-24 2006-05-25 Walker Robert M Priority scheme for executing commands in memories
US7426621B2 (en) 2005-12-09 2008-09-16 Advanced Micro Devices, Inc. Memory access request arbitration
KR100803005B1 (en) 2006-10-26 2008-02-14 삼성전자주식회사 Memory interfacing method and apparatus
US7761669B2 (en) * 2007-07-10 2010-07-20 International Business Machines Corporation Memory controller granular read queue dynamic optimization of command selection
US20090019238A1 (en) * 2007-07-10 2009-01-15 Brian David Allison Memory Controller Read Queue Dynamic Optimization of Command Selection
JP5340658B2 (en) * 2007-07-10 2013-11-13 インターナショナル・ビジネス・マシーンズ・コーポレーション Command-optimized memory controller read queue dynamic optimization
KR101231055B1 (en) * 2008-12-19 2013-02-07 한국전자통신연구원 Disk system for using processor memory control signal
CN102073605B (en) * 2010-12-27 2012-11-21 深圳市创新科信息技术有限公司 Method for storage interface bypassing Bio layer to access disk drive
US8930641B1 (en) 2011-06-14 2015-01-06 Altera Corporation Systems and methods for providing memory controllers with scheduler bypassing capabilities
US9535860B2 (en) * 2013-01-17 2017-01-03 Intel Corporation Arbitrating memory accesses via a shared memory fabric
US9565139B2 (en) * 2013-03-15 2017-02-07 Comcast Cable Communications, Llc Remote latency adjustment
US9658793B2 (en) * 2015-02-20 2017-05-23 Qualcomm Incorporated Adaptive mode translation lookaside buffer search and access fault
US9858201B2 (en) 2015-02-20 2018-01-02 Qualcomm Incorporated Selective translation lookaside buffer search and page fault
US9684461B1 (en) 2016-10-31 2017-06-20 International Business Machines Corporation Dynamically adjusting read data return sizes based on memory interface bus utilization
US9892066B1 (en) * 2016-10-31 2018-02-13 International Business Machines Corporation Dynamically adjusting read data return sizes based on interconnect bus utilization
US11029879B2 (en) * 2018-01-29 2021-06-08 Samsung Electronics Co., Ltd Page size synchronization and page size aware scheduling method for non-volatile memory dual in-line memory module (NVDIMM) over memory channel
US11042325B2 (en) 2019-08-05 2021-06-22 International Business Machines Corporation Speculative bank activate dynamic random access memory (DRAM) scheduler

Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5745913A (en) * 1996-08-05 1998-04-28 Exponential Technology, Inc. Multi-processor DRAM controller that prioritizes row-miss requests to stale banks
US5860106A (en) * 1995-07-13 1999-01-12 Intel Corporation Method and apparatus for dynamically adjusting power/performance characteristics of a memory subsystem
US5926828A (en) * 1996-02-09 1999-07-20 Intel Corporation Method and apparatus for controlling data transfer between a synchronous DRAM-type memory and a system bus
US5966544A (en) * 1996-11-13 1999-10-12 Intel Corporation Data speculatable processor having reply architecture
US6026465A (en) * 1994-06-03 2000-02-15 Intel Corporation Flash memory including a mode register for indicating synchronous or asynchronous mode of operation
US6055650A (en) * 1998-04-06 2000-04-25 Advanced Micro Devices, Inc. Processor configured to detect program phase changes and to adapt thereto
US6088772A (en) * 1997-06-13 2000-07-11 Intel Corporation Method and apparatus for improving system performance when reordering commands
US6094717A (en) * 1998-07-31 2000-07-25 Intel Corp. Computer processor with a replay system having a plurality of checkers
US6148380A (en) * 1997-01-02 2000-11-14 Intel Corporation Method and apparatus for controlling data transfer between a synchronous DRAM-type memory and a system bus
US6163838A (en) * 1996-11-13 2000-12-19 Intel Corporation Computer processor with a replay system
US6182177B1 (en) * 1997-06-13 2001-01-30 Intel Corporation Method and apparatus for maintaining one or more queues of elements such as commands using one or more token queues
US6212626B1 (en) * 1996-11-13 2001-04-03 Intel Corporation Computer processor having a checker
US6212598B1 (en) * 1998-11-30 2001-04-03 Micron Technology, Inc. Controlling a paging policy based on a requestor characteristic
US6243768B1 (en) * 1996-02-09 2001-06-05 Intel Corporation Method and apparatus for controlling data transfer between a synchronous DRAM-type memory and a system bus
US6304953B1 (en) * 1998-07-31 2001-10-16 Intel Corporation Computer processor with instruction-specific schedulers
US20020023197A1 (en) * 2000-08-21 2002-02-21 Hitachi, Ltd. Memory controller and data processing system
US6484239B1 (en) * 1997-12-29 2002-11-19 Intel Corporation Prefetch queue

Patent Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6026465A (en) * 1994-06-03 2000-02-15 Intel Corporation Flash memory including a mode register for indicating synchronous or asynchronous mode of operation
US5860106A (en) * 1995-07-13 1999-01-12 Intel Corporation Method and apparatus for dynamically adjusting power/performance characteristics of a memory subsystem
US5926828A (en) * 1996-02-09 1999-07-20 Intel Corporation Method and apparatus for controlling data transfer between a synchronous DRAM-type memory and a system bus
US6243768B1 (en) * 1996-02-09 2001-06-05 Intel Corporation Method and apparatus for controlling data transfer between a synchronous DRAM-type memory and a system bus
US5745913A (en) * 1996-08-05 1998-04-28 Exponential Technology, Inc. Multi-processor DRAM controller that prioritizes row-miss requests to stale banks
US5966544A (en) * 1996-11-13 1999-10-12 Intel Corporation Data speculatable processor having reply architecture
US6212626B1 (en) * 1996-11-13 2001-04-03 Intel Corporation Computer processor having a checker
US6163838A (en) * 1996-11-13 2000-12-19 Intel Corporation Computer processor with a replay system
US6148380A (en) * 1997-01-02 2000-11-14 Intel Corporation Method and apparatus for controlling data transfer between a synchronous DRAM-type memory and a system bus
US6182177B1 (en) * 1997-06-13 2001-01-30 Intel Corporation Method and apparatus for maintaining one or more queues of elements such as commands using one or more token queues
US6088772A (en) * 1997-06-13 2000-07-11 Intel Corporation Method and apparatus for improving system performance when reordering commands
US6484239B1 (en) * 1997-12-29 2002-11-19 Intel Corporation Prefetch queue
US6055650A (en) * 1998-04-06 2000-04-25 Advanced Micro Devices, Inc. Processor configured to detect program phase changes and to adapt thereto
US6094717A (en) * 1998-07-31 2000-07-25 Intel Corp. Computer processor with a replay system having a plurality of checkers
US6304953B1 (en) * 1998-07-31 2001-10-16 Intel Corporation Computer processor with instruction-specific schedulers
US6212598B1 (en) * 1998-11-30 2001-04-03 Micron Technology, Inc. Controlling a paging policy based on a requestor characteristic
US20020023197A1 (en) * 2000-08-21 2002-02-21 Hitachi, Ltd. Memory controller and data processing system

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040123067A1 (en) * 2002-12-24 2004-06-24 Sprangle Eric A. Method and apparatus for determining a dynamic random access memory page management implementation
US7020762B2 (en) 2002-12-24 2006-03-28 Intel Corporation Method and apparatus for determining a dynamic random access memory page management implementation
US20060112255A1 (en) * 2002-12-24 2006-05-25 Intel Corporation Method and apparatus for determining a dynamic random access memory page management implementation
US7536530B2 (en) 2002-12-24 2009-05-19 Intel Corporation Method and apparatus for determining a dynamic random access memory page management implementation
US20090061675A1 (en) * 2006-04-03 2009-03-05 Aopen Inc. Video signal transmitting device for computer system having analog and digital video signal output functionality
US20090327612A1 (en) * 2008-04-18 2009-12-31 International Business Machines Corporation Access Speculation Predictor with Predictions Based on a Domain Indicator of a Cache Line
US20090327615A1 (en) * 2008-04-18 2009-12-31 International Business Machines Corporation Access Speculation Predictor with Predictions Based on a Scope Predictor
US20090327619A1 (en) * 2008-04-18 2009-12-31 International Business Machines Corporation Access Speculation Predictor with Predictions Based on Memory Region Prior Requestor Tag Information
US20090265293A1 (en) * 2008-04-18 2009-10-22 International Business Machines Corporation Access speculation predictor implemented via idle command processing resources
US8122222B2 (en) 2008-04-18 2012-02-21 International Business Machines Corporation Access speculation predictor with predictions based on a scope predictor
US8122223B2 (en) 2008-04-18 2012-02-21 International Business Machines Corporation Access speculation predictor with predictions based on memory region prior requestor tag information
US8127106B2 (en) 2008-04-18 2012-02-28 International Business Machines Corporation Access speculation predictor with predictions based on a domain indicator of a cache line
US8131974B2 (en) 2008-04-18 2012-03-06 International Business Machines Corporation Access speculation predictor implemented via idle command processing resources
US9311988B2 (en) 2011-08-15 2016-04-12 Fujitsu Limited Storage control system and method, and replacing system and method
US9552196B2 (en) * 2015-03-26 2017-01-24 International Business Machines Corporation Schedulers with load-store queue awareness
US9563428B2 (en) * 2015-03-26 2017-02-07 International Business Machines Corporation Schedulers with load-store queue awareness

Also Published As

Publication number Publication date
GB2402246A (en) 2004-12-01
TWI289747B (en) 2007-11-11
TW200307865A (en) 2003-12-16
DE10392278T5 (en) 2005-04-14
CN1639699A (en) 2005-07-13
WO2003073300A1 (en) 2003-09-04
JP2005518606A (en) 2005-06-23
JP4064924B2 (en) 2008-03-19
AU2003209365A1 (en) 2003-09-09
HK1068973A1 (en) 2005-05-06
KR20040091069A (en) 2004-10-27
GB2402246B (en) 2005-10-19
GB0420866D0 (en) 2004-10-20
KR100668001B1 (en) 2007-01-15
US6799257B2 (en) 2004-09-28
CN1318990C (en) 2007-05-30
US20030159008A1 (en) 2003-08-21

Similar Documents

Publication Publication Date Title
US6799257B2 (en) Method and apparatus to control memory accesses
JP5305542B2 (en) Speculative precharge detection
US7536530B2 (en) Method and apparatus for determining a dynamic random access memory page management implementation
US5983325A (en) Dataless touch to open a memory page
US8806141B2 (en) List based prefetch
US8291169B2 (en) Cache line use history based done bit modification to D-cache replacement scheme
US8572322B2 (en) Asynchronously scheduling memory access requests
US20110238941A1 (en) Scheduling memory access requests using predicted memory timing and state information
US6782454B1 (en) System and method for pre-fetching for pointer linked data structures
JP2001515624A (en) Cache storage replacement method based on penalty
US8356143B1 (en) Prefetch mechanism for bus master memory access
US20060129764A1 (en) Methods and apparatus for storing a command
US20100306474A1 (en) Cache line use history based done bit modification to i-cache replacement scheme
US20070239939A1 (en) Apparatus for Performing Stream Prefetch within a Multiprocessor System
GB2454809A (en) Pre-fetching data when it has been transferred into system memory
JP2000090003A (en) Micro processor provided with pre-fetch mechanism of main storage memory
JPH0553908A (en) High-speed access storage device
JPH08235138A (en) Computer system

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION