US20040218459A1 - Oscillation based access time measurement - Google Patents

Oscillation based access time measurement Download PDF

Info

Publication number
US20040218459A1
US20040218459A1 US10/863,962 US86396204A US2004218459A1 US 20040218459 A1 US20040218459 A1 US 20040218459A1 US 86396204 A US86396204 A US 86396204A US 2004218459 A1 US2004218459 A1 US 2004218459A1
Authority
US
United States
Prior art keywords
integrated circuit
ring oscillator
access time
under test
circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/863,962
Inventor
Steven Korson
Brian Borchers
Bryan Sheffield
Clive Bittlestone
Doug Counce
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/863,962 priority Critical patent/US20040218459A1/en
Publication of US20040218459A1 publication Critical patent/US20040218459A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/50Marginal testing, e.g. race, voltage or current testing
    • G11C29/50012Marginal testing, e.g. race, voltage or current testing of timing
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/30Marginal testing, e.g. by varying supply voltage
    • G01R31/3016Delay or race condition test, e.g. race hazard test
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • G11C29/14Implementation of control logic, e.g. test mode decoders
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/50Marginal testing, e.g. race, voltage or current testing

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Tests Of Electronic Circuits (AREA)

Abstract

An embodiment of the invention is a method for measuring access time where the frequency of a ring oscillator is measured with and without a device under test 1 in the ring. Those two frequencies are compared to calculate the access time of the device under test 1. Another embodiment of the invention is circuitry 25 that measures the frequency of a ring oscillator with and without a device under test 1. Again the two frequencies are compared to calculate the access time of the device under test 1.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is related to application Ser. No. ______ (Attorney Docket Number TI-34810) filed on the same date as this application and entitled “Oscillation Based Cycle Time Measurement”. With its mention in this section, this patent application is not admitted to be prior art with respect to the present invention.[0001]
  • BACKGROUND OF THE INVENTION
  • This invention relates to the measurement of device access time using oscillation based sequential element characterization.[0002]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 shows the best mode OCM circuit. [0003]
  • FIG. 2 shows OCM waveforms for read-read mode. [0004]
  • FIG. 3 shows the best mode divide down circuit. [0005]
  • FIG. 4 shows OCM waveforms for write-write mode. [0006]
  • FIG. 5 shows OCM waveforms for write-read mode. [0007]
  • DETAILED DESCRIPTION OF THE INVENTION
  • Access time is the measurement from the clock input to the output (“Q”) of the same device. Several aspects of the invention are described below with reference to example applications for illustration. It should be understood that numerous specific details, relationships, and methods are set forth to provide a full understanding of the invention. One skilled in the relevant art, however, will readily recognize that the invention can be practiced without one or more of the specific details or with other methods. In other instances, well-known structures or operations are not shown in detail to avoid obscuring the invention. [0008]
  • In the best mode application, an on-chip measurement (“OCM”) oscillation circuit performs both the access time measurement and the cycle time measurement of a device under test (“DUT”) such as a memory device. The measurement of a device's access time using oscillation based sequential element characterization is described herein. [0009]
  • A device's “access time” is the measurement from the clock input to the output of the DUT. This is time measured by oscillating the OCM circuit with the memory in the oscillation path and again without the memory in the oscillation path and then calculating the difference in oscillation time. [0010]
  • Referring to the drawings, FIG. 1 depicts a best [0011] mode OCM circuit 25 for performing the access time measurement. With the DUT 1 in the oscillation path the OCM circuit oscillates when the output of the DUT changes at every clock cycle. Non-write through devices being tested will oscillate only in read-read mode. Write through devices being tested also oscillate in write-write and write-read modes.
  • In the best mode application, there is a set-up procedure that occurs before the measurement is performed. It is within the scope of this invention to modify the following set-up procedure as appropriate. For example, an inverted data bit matched by a corresponding change in the control logic is still comprehended by this invention. [0012]
  • The access time measurement operation for read-read mode will be described first. In the best mode application, address bits A[0013] 0 and A1, data bit D0, and output bit Q0 are used. However the use of any two address bits, any data bit, and any corresponding output bit are also within the scope of this invention.
  • The operation of the OCM in read-read mode is described first. Here the [0014] example DUT 1 is a synchronous memory device. Because the OCM circuit is in read-read mode every cycle is a read operation.
  • In the best mode application, the first frequency measurement is with the OCM circuit oscillating with the [0015] synchronous memory 1 in the oscillation path. This is accomplished by setting the multiplexer control input bit 6 to a logic “0” level.
  • A tester (not shown) is used to provide address input signals and data input signals on [0016] line 10 to the control circuit, 2. The control circuit 2 drives the non-clock inputs to the memory 1 through line 3. The purpose of control circuitry 2 is to force an address bit for the next cycle to be the inverse of the current cycle during testing. By using the control circuit 2 to pre-load address 0 with a logic “0” and the second address with a logic “1” every cycle will read from the opposite address causing a change on the output 4 of memory 1. The result is that when the memory 1 is clocked it produces a change in the memory output 4 on every cycle.
  • The [0017] output 4 of the memory is connected to the 0 input bit of the multiplexer 5. As noted above, the multiplexer control input bit 6 is initially set to a logic “0” level, therefore the signal on memory output 4 is passed through, on line 7, to a programmable delay circuit, 8.
  • The [0018] programmable delay circuit 8 ensures that the feedback loop from the memory output 4 to the address/data/control memory inputs 3 will not have any setup violations with respect to the clock pulse on line 11. The programmable feature provides three types of adjustments: course, medium, and fine. In the best mode application, the programmable delay circuit 8 is set for maximum delay on line 27 during access time measurement—ensuring that the delay path of the oscillator is long enough for the memory to operate properly.
  • The [0019] output signal 12 of programmable delay circuit 8 is one of two inputs to NOR gate 13. The other input, 14, to NOR gate 13 is initially at a logic “0” level until the start of the test; thereby holding all of the signals in the loop—15, 18, 7, and 12—at the same logic level. The inverting nature of gate 13 is what changes signal 15 to the inverse of signal 12 when signal 14 is set to logic level “1”, initiating the ring oscillator and the test.
  • Circuitry (not shown) added to the OCM ensures that the pulse generator is disabled when the OCM is not running. During access time measurement testing, the pulse generator is enabled and a change in signal level on [0020] line 15 causes the pulse generator 16 to generate a clock pulse on line 17. This clock pulse travels on line 17 to the OR gate 19, thereby sending a clock pulse on line 11 to memory 1. (Line 26 is used by the tester to control the clock input of memory 1 during other tests; however, the tester holds this input at logic “0” when the OCM is being used.)
  • By the time this new clock pulse reaches the memory on [0021] line 11, the new memory address has already been set to the opposite value, thereby forcing another change on the output. As described above, this change on the output causes the pulse generator to again send another clock pulse to the memory which has in the mean time changed it's address back to the original value. This cycle of events repeats, creating the oscillation ring.
  • The oscillation of [0022] memory output 4 is measured by setting the control bit 24 of multiplexer 21 to a logic level “1”. This passes the memory output signal 4 through a divide down circuit 29 (described later) to the tester on line 23 to be measured. This first oscillation frequency (with the DUT in the oscillation path) is considered the reference oscillation frequency.
  • FIG. 2 shows OCM waveforms for selected pins during the read-read mode access time measurement. The write enable (“WZ”) input on [0023] line 3 is held high during read-read mode operation and the data input on line 3 is in a ‘Don't Care’ state during read-read mode. Note that both the address and the output toggle at one half the clock rate.
  • Once the reference oscillation frequency has been obtained, the next step in the best mode application is to change the OCM to oscillate without the [0024] memory 1. Now the free-running circuit oscillation frequency is calculated. For this measurement the pulse generator 16 mimics the toggling DUT by changing its output signal level on line 18 when the input level changes. Here, the output signal 18 from the pulse generator 16 is passed through an OR gate 20 in order to equalize the signal paths; therefore matching the delay created by OR gate 19 in the previous measurement.
  • The control bit [0025] 24 of multiplexer 21 is now set at a logic “0” level and the oscillation signal (now without the DUT in the oscillation path) is sent to the divide down circuit 29 on line 22. After the output signal's frequency has been reduced by the divide down circuit 29 the output signal is sent to the tester on line 23. It should be noted that it is within the scope of this invention to send the output signal to the tester directly from the multiplexer 21 on line 22 (without processing the output signal through a divide down circuit).
  • Referring again to the drawings, FIG. 3 shows the best mode divide down [0026] circuit 29. This divide down circuit 29 is capable of manipulating an input oscillation signal so that the output is a “power-of-two” division of its input frequency. The selection of the division magnitude is accomplished through one or more select lines 28 that are driven by the tester. The divide down circuit 29 contains multiple D flip-flops 30-34 that can be activated as desired by multiplexer 35 in response to an input signal on select line(s) 28. As an example, the divide down circuit will take the signal received on line 22 and divide it by 32 so that the signal is at a slower speed that the average tester can process without additional expensive circuitry. Without this divide down circuitry, either A) expensive test circuitry will be needed to process the high-speed signals created by the high speed DUT operating at the rated speeds, or B) the DUT will have to be operated at a speed far below its rated operating speed during device test, preventing the calculation of the minimum cycle time.
  • The second (free-running circuit) frequency is subtracted from the reference frequency to calculate the access time of the DUT. For example the reference frequency (after taking into account the divide down circuit) might be 102 MHz and the free-running frequency 120 MHz. Converting those frequencies to time periods (i.e. calculating the inverse of the frequency values) results in 9.8 ηs and 8.33 ηs respectively. These have to be divided by 2 again since there are 2 accesses per period, so T[0027] access=(9.8/2)−(8.33/2)=0.73 ηs.
  • FIG. 4 shows selected OCM waveforms for the access time measurement operation performed in write-write mode. As an example, this test would be used in situations where the DUT is a memory with write-through capability. When testing such devices, the OCM can also be operated in write-write mode. This operation will be very similar to the read-read operation previously described. However, in write-write mode the same address (e.g. A[0028] 0) is always used. Furthermore, the data written to memory 1 on line 3 is always the inverse of the memory output (“Q”). Note that WZ is held at a logic “0” level (active). Also note that the data and output signals toggle at one half the clock rate.
  • FIG. 5 shows selected OCM waveforms for the access time measurement operation performed in write-read mode. As an example, this test would be used in situations where the DUT is a memory with write-through capability. In write-read mode, the cycles alternate between writing and reading and the final frequency is a combination of the two. This operation will also be very similar to the read-read operation previously described. The difference is that both the address bit used and the WZ bit follow the output (“Q”). The memory is preloaded with a logic level “1” in the first address ( i.e. A[0]) and a logic level “0” in the second address being used (i.e. A[1]). Writing the 1 at the first address will set everything up to read from the other address on the next pulse. The result is that the OCM circuit will always write to the first address and read from the second address. The data being written will always by the inverse of Q, so the data being written at the first address will always be a logic “1”. [0029]
  • Various modifications to the invention as described above are within the scope of the claimed invention. As an example, instead of the pulse generator device described above, a custom pulse generator could be used having balanced pulse and level output paths. The frequency measurements could be analyzed through the use of an oscilloscope instead of a tester. In addition, the functions comprehended by the invention could be accomplished in various technologies such as CMOS or TTL. Moreover, it is within the scope of this invention to exercise any or all address, data, and output pins during access time measurement testing. [0030]
  • While various embodiments of the present invention have been described above, it should be understood that they have been presented by way of example only, and not limitation. Numerous changes to the disclosed embodiments can be made in accordance with the disclosure herein without departing from the spirit or scope of the invention. Thus, the breadth and scope of the present invention should not be limited by any of the above described embodiments. Rather, the scope of the invention should be defined in accordance with the following claims and their equivalents. [0031]

Claims (21)

1. (Cancelled)
2. (Cancelled)
3. (Cancelled)
4. An integrated circuit comprising:
an on-chip measurement circuit, said on-chip measurement circuit containing a ring oscillator that facilitates frequency measurements both with circuitry under test in said ring oscillator and also free-running with said circuitry under test out of said ring oscillator.
5. The integrated circuit of claim 4 wherein the access time of said circuitry under test is the difference between said frequencies.
6. The integrated circuit of claim 4 wherein said ring oscillator contains a pulse generator.
7. The integrated circuit of claim 6, wherein said pulse generator creates a clock pulse for said circuitry under test every time the output changes.
8. The integrated circuit of claim 4, wherein said frequency measurements are performed at the output of a frequency divider circuit coupled to said ring oscillator.
9. The integrated circuit of claim 8 wherein the access time of said circuitry under test is the difference between said frequency measurements.
10. A memory comprising:
an on-chip measurement circuit, said on-chip measurement circuit containing a ring oscillator that facilitates frequency measurements both with circuitry under test in said ring oscillator and also free-running with said circuitry under test out of said ring oscillator.
11. The integrated circuit of claim 10 wherein the access time of said circuitry under test is the difference between said frequencies.
12. The integrated circuit of claim 10 wherein said ring oscillator contains a pulse generator.
13. The integrated circuit of claim 12, wherein said pulse generator creates a clock pulse for said circuitry under test every time the output changes.
14. The integrated circuit of claim 10, wherein said frequency measurements are performed at the output of a frequency divider circuit coupled to said ring oscillator.
15. The integrated circuit of claim 14 wherein the access time of said circuitry under test is the difference between said frequency measurements.
16. An integrated circuit comprising:
an on-chip measurement circuit, said on-chip measurement circuit containing a ring oscillator that facilitates frequency measurements both with memory circuitry in said ring oscillator and also free-running with said memory circuitry out of said ring oscillator.
17. The integrated circuit of claim 16 wherein the access time of said memory circuitry is the difference between said frequencies.
18. The integrated circuit of claim 16 wherein said ring oscillator contains a pulse generator.
19. The integrated circuit of claim 18, wherein said pulse generator creates a clock pulse for said memory circuitry every time the output changes.
20. The integrated circuit of claim 16, wherein said frequency measurements are performed at the output of a frequency divider circuit coupled to said ring oscillator.
21. The integrated circuit of claim 20 wherein the access time of said memory circuitry is the difference between said frequency measurements.
US10/863,962 2002-09-06 2004-06-08 Oscillation based access time measurement Abandoned US20040218459A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/863,962 US20040218459A1 (en) 2002-09-06 2004-06-08 Oscillation based access time measurement

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/236,328 US6909301B2 (en) 2002-09-06 2002-09-06 Oscillation based access time measurement
US10/863,962 US20040218459A1 (en) 2002-09-06 2004-06-08 Oscillation based access time measurement

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/236,328 Division US6909301B2 (en) 2002-09-06 2002-09-06 Oscillation based access time measurement

Publications (1)

Publication Number Publication Date
US20040218459A1 true US20040218459A1 (en) 2004-11-04

Family

ID=31990635

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/236,328 Expired - Lifetime US6909301B2 (en) 2002-09-06 2002-09-06 Oscillation based access time measurement
US10/863,962 Abandoned US20040218459A1 (en) 2002-09-06 2004-06-08 Oscillation based access time measurement

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/236,328 Expired - Lifetime US6909301B2 (en) 2002-09-06 2002-09-06 Oscillation based access time measurement

Country Status (1)

Country Link
US (2) US6909301B2 (en)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7046094B2 (en) * 2004-03-04 2006-05-16 International Business Machines Corporation Method and ring oscillator circuit for measuring circuit delays over a wide operating range
US7475318B2 (en) * 2005-01-28 2009-01-06 Honeywell International Inc. Method for testing the sensitive input range of Byzantine filters
FR2901362B1 (en) * 2006-05-19 2011-03-11 St Microelectronics Sa CIRCUIT FOR QUALIFYING AND CHARACTERIZING A MEMORY EMBARKED IN A SEMICONDUCTOR PRODUCT
US7810000B2 (en) * 2006-11-14 2010-10-05 International Business Machines Corporation Circuit timing monitor having a selectable-path ring oscillator
US7414904B2 (en) * 2006-12-12 2008-08-19 International Business Machines Corporation Method for evaluating storage cell design using a wordline timing and cell access detection circuit
US7409305B1 (en) 2007-03-06 2008-08-05 International Business Machines Corporation Pulsed ring oscillator circuit for storage cell read timing evaluation
US7760565B2 (en) * 2007-07-24 2010-07-20 International Business Machines Corporation Wordline-to-bitline output timing ring oscillator circuit for evaluating storage array performance
TWI456224B (en) 2011-04-26 2014-10-11 Realtek Semiconductor Corp Device measurement circuit and method thereof
CN102760639A (en) * 2011-04-27 2012-10-31 瑞昱半导体股份有限公司 Configurable process variation monitoring circuit and monitoring method for crystal particles
CN106297897B (en) * 2015-05-27 2019-07-30 华邦电子股份有限公司 Storage unit and its test method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5047967A (en) * 1989-07-19 1991-09-10 Apple Computer, Inc. Digital front end for time measurement and generation of electrical signals
US5101127A (en) * 1989-03-23 1992-03-31 Texas Instruments Incorporated Digital integrated frequency discriminator of external clock and internally generated signal backup
US5329254A (en) * 1991-08-09 1994-07-12 Sony Corporation Semiconductor integrated circuit having clock signal generator
US6466520B1 (en) * 1996-09-17 2002-10-15 Xilinx, Inc. Built-in AC self test using pulse generators

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5625288A (en) * 1993-10-22 1997-04-29 Sandia Corporation On-clip high frequency reliability and failure test structures
US5790479A (en) * 1996-09-17 1998-08-04 Xilinx, Inc. Method for characterizing interconnect timing characteristics using reference ring oscillator circuit
US6219305B1 (en) * 1996-09-17 2001-04-17 Xilinx, Inc. Method and system for measuring signal propagation delays using ring oscillators
US6069849A (en) * 1996-09-17 2000-05-30 Xilinx, Inc. Method and system for measuring signal propagation delays using the duty cycle of a ring oscillator
JP4286375B2 (en) * 1999-04-02 2009-06-24 株式会社アドバンテスト Delay clock generating device and delay time measuring device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5101127A (en) * 1989-03-23 1992-03-31 Texas Instruments Incorporated Digital integrated frequency discriminator of external clock and internally generated signal backup
US5047967A (en) * 1989-07-19 1991-09-10 Apple Computer, Inc. Digital front end for time measurement and generation of electrical signals
US5329254A (en) * 1991-08-09 1994-07-12 Sony Corporation Semiconductor integrated circuit having clock signal generator
US6466520B1 (en) * 1996-09-17 2002-10-15 Xilinx, Inc. Built-in AC self test using pulse generators

Also Published As

Publication number Publication date
US6909301B2 (en) 2005-06-21
US20040049711A1 (en) 2004-03-11

Similar Documents

Publication Publication Date Title
KR100438343B1 (en) Semiconductor memory device
US7613971B2 (en) Semiconductor integrated circuit with delay test circuit, and method for testing semiconductor integrated circuit
US8164966B2 (en) Variable-loop-path ring oscillator test circuit and systems and methods utilizing same
US6055658A (en) Apparatus and method for testing high speed components using low speed test apparatus
US7408371B2 (en) Apparatus for measuring on-chip characteristics in semiconductor circuits and related methods
US6057691A (en) Delay element testing apparatus and integrated circuit having testing function for delay elements
JP3625400B2 (en) Test circuit for variable delay element
US11374561B2 (en) Integrated circuit and method of testing
US6909301B2 (en) Oscillation based access time measurement
US6215345B1 (en) Semiconductor device for setting delay time
US6799134B2 (en) Characterization of self-timed sequential circuits
CN111341376B (en) SRAM (static random Access memory) timing sequence test circuit and test method
JP2003028928A (en) Semiconductor device and testing method therefor
US20110234282A1 (en) Method And Circuit For Testing And Characterizing High Speed Signals Using An ON-Chip Oscilloscope
KR100310715B1 (en) Synchronous-type semiconductor storage
US6734743B2 (en) Oscillation based cycle time measurement
CN111312323B (en) SRAM (static random Access memory) timing sequence test circuit and method and memory
JP2002196046A (en) Semiconductor integrated circuit and testing method for it
JP2000090693A (en) Memory test device
US9234942B2 (en) Transition fault testing of source synchronous interface
JP4724774B2 (en) Semiconductor circuit device, memory test circuit, and test method for semiconductor circuit device
JP2009276301A (en) Circuit and method of measuring digital signal delay
US7058911B2 (en) Measurement of timing skew between two digital signals
JP3847150B2 (en) Semiconductor integrated circuit and jitter measurement method thereof
CN111383702B (en) SRAM (static random Access memory) timing sequence test circuit and method and memory

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION