US20040245568A1 - Non-volatile floating gate memory cell with floating gates formed in cavities, and array thereof, and method of formation - Google Patents

Non-volatile floating gate memory cell with floating gates formed in cavities, and array thereof, and method of formation Download PDF

Info

Publication number
US20040245568A1
US20040245568A1 US10/885,923 US88592304A US2004245568A1 US 20040245568 A1 US20040245568 A1 US 20040245568A1 US 88592304 A US88592304 A US 88592304A US 2004245568 A1 US2004245568 A1 US 2004245568A1
Authority
US
United States
Prior art keywords
region
forming
floating gate
channel region
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US10/885,923
Other versions
US6913975B2 (en
Inventor
Bomy Chen
Dana Lee
Bing Yeh
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/885,923 priority Critical patent/US6913975B2/en
Publication of US20040245568A1 publication Critical patent/US20040245568A1/en
Application granted granted Critical
Publication of US6913975B2 publication Critical patent/US6913975B2/en
Assigned to JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT reassignment JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SILICON STORAGE TECHNOLOGY, INC.
Assigned to JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT reassignment JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ATMEL CORPORATION, MICROCHIP TECHNOLOGY INCORPORATED, MICROSEMI CORPORATION, MICROSEMI STORAGE SOLUTIONS, INC., SILICON STORAGE TECHNOLOGY, INC.
Assigned to WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT reassignment WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ATMEL CORPORATION, MICROCHIP TECHNOLOGY INCORPORATED, MICROSEMI CORPORATION, MICROSEMI STORAGE SOLUTIONS, INC., SILICON STORAGE TECHNOLOGY, INC.
Assigned to JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT reassignment JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ATMEL CORPORATION, MICROCHIP TECHNOLOGY INC., MICROSEMI CORPORATION, MICROSEMI STORAGE SOLUTIONS, INC., SILICON STORAGE TECHNOLOGY, INC.
Assigned to SILICON STORAGE TECHNOLOGY, INC., MICROSEMI STORAGE SOLUTIONS, INC., MICROSEMI CORPORATION, ATMEL CORPORATION, MICROCHIP TECHNOLOGY INC. reassignment SILICON STORAGE TECHNOLOGY, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: JPMORGAN CHASE BANK, N.A, AS ADMINISTRATIVE AGENT
Assigned to WELLS FARGO BANK, NATIONAL ASSOCIATION reassignment WELLS FARGO BANK, NATIONAL ASSOCIATION SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ATMEL CORPORATION, MICROCHIP TECHNOLOGY INC., MICROSEMI CORPORATION, MICROSEMI STORAGE SOLUTIONS, INC., SILICON STORAGE TECHNOLOGY, INC.
Assigned to WELLS FARGO BANK, NATIONAL ASSOCIATION, AS COLLATERAL AGENT reassignment WELLS FARGO BANK, NATIONAL ASSOCIATION, AS COLLATERAL AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ATMEL CORPORATION, MICROCHIP TECHNOLOGY INCORPORATED, MICROSEMI CORPORATION, MICROSEMI STORAGE SOLUTIONS, INC., SILICON STORAGE TECHNOLOGY, INC.
Assigned to WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT reassignment WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ATMEL CORPORATION, MICROCHIP TECHNOLOGY INCORPORATED, MICROSEMI CORPORATION, MICROSEMI STORAGE SOLUTIONS, INC., SILICON STORAGE TECHNOLOGY, INC.
Assigned to SILICON STORAGE TECHNOLOGY, INC., ATMEL CORPORATION, MICROCHIP TECHNOLOGY INCORPORATED, MICROSEMI STORAGE SOLUTIONS, INC., MICROSEMI CORPORATION reassignment SILICON STORAGE TECHNOLOGY, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT
Assigned to SILICON STORAGE TECHNOLOGY, INC. reassignment SILICON STORAGE TECHNOLOGY, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT
Assigned to SILICON STORAGE TECHNOLOGY, INC., MICROSEMI CORPORATION, ATMEL CORPORATION, MICROSEMI STORAGE SOLUTIONS, INC., MICROCHIP TECHNOLOGY INCORPORATED reassignment SILICON STORAGE TECHNOLOGY, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT
Assigned to ATMEL CORPORATION, MICROCHIP TECHNOLOGY INCORPORATED, SILICON STORAGE TECHNOLOGY, INC., MICROSEMI CORPORATION, MICROSEMI STORAGE SOLUTIONS, INC. reassignment ATMEL CORPORATION RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT
Assigned to SILICON STORAGE TECHNOLOGY, INC., MICROSEMI CORPORATION, MICROCHIP TECHNOLOGY INCORPORATED, ATMEL CORPORATION, MICROSEMI STORAGE SOLUTIONS, INC. reassignment SILICON STORAGE TECHNOLOGY, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT
Assigned to MICROSEMI STORAGE SOLUTIONS, INC., ATMEL CORPORATION, MICROSEMI CORPORATION, MICROCHIP TECHNOLOGY INCORPORATED, SILICON STORAGE TECHNOLOGY, INC. reassignment MICROSEMI STORAGE SOLUTIONS, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66825Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a floating gate
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/04Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
    • G11C16/0408Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells containing floating gate transistors
    • G11C16/0441Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells containing floating gate transistors comprising cells containing multiple floating gate devices, e.g. separate read-and-write FAMOS transistors with connected floating gates
    • G11C16/0458Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells containing floating gate transistors comprising cells containing multiple floating gate devices, e.g. separate read-and-write FAMOS transistors with connected floating gates comprising two or more independent floating gates which store independent data
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/04Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
    • G11C16/0466Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells with charge storage in an insulating layer, e.g. metal-nitride-oxide-silicon [MNOS], silicon-oxide-nitride-oxide-silicon [SONOS]
    • G11C16/0475Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells with charge storage in an insulating layer, e.g. metal-nitride-oxide-silicon [MNOS], silicon-oxide-nitride-oxide-silicon [SONOS] comprising two or more independent storage sites which store independent data
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator

Definitions

  • the present invention relates to a non-volatile memory cell, that uses a floating gate formed in a cavity for the storage of charges. More particularly, the present invention relates to such a non-volatile memory cell in which two floating gates are formed, and is capable of bi-directionally storing and reading a plurality of bits in a single cell and an array of such cells, and a method of manufacturing.
  • Uni-directional read/program non-volatile memory cells using floating gate for storage are well known in the art. See for example, U.S. Pat. No. 5,029,130.
  • each of these types of memory cells uses a conductive floating gate to store one bit, i.e. either the floating gate stores charges or it does not.
  • the charges stored on a floating gate control the conduction of charges in a channel of a transistor.
  • the floating gate of such memory cell is programmed to store some charges, with the different amount of charges stored being determinative of the different states of the cell, thereby causing a plurality of bits to be stored in a single cell.
  • Bi-directional read/program non-volatile memory cells capable of storing a plurality of bits in a single cell are also well known in the art. See, for example, U.S. Pat. No. 6,011,725.
  • these types of memory cells use an insulating trapping material, such as silicon nitride, which is between two other insulation layers, such as silicon dioxide, to trap charges.
  • the charges are trapped near the source/drain also to control the conduction of charges in a channel of a transistor.
  • the cell is read in one direction to determine the state of charges trapped near one of the source/drain regions, and is read in the opposite direction to determine the state of charges trapped near the other source/drain region.
  • these cells are read and programmed bi-directionally.
  • a non-volatile memory cell comprises a substantially single crystalline semiconductive material, such as single crystalline silicon, of a first conductivity type.
  • a first and a second region each of a second conductivity type, different from the first conductivity type, spaced apart from one another is formed in the semiconductive material.
  • a channel region having a first portion, and a second portion, connects the first and second regions for the conduction of charges.
  • a dielectric is on the channel region.
  • a floating gate is on the dielectric, spaced apart from the first portion of the channel region. The first portion of the channel region is adjacent to the first region, with the floating gate having generally a triangular shape.
  • a gate electrode is capacitively coupled to the floating gate, and is spaced apart from the second portion of the channel region. The second portion of the channel region is between the first portion and the second region.
  • the present invention also relates to a bi-directional read/program non-volatile memory cell having two floating gates, each having a generally triangular shape, and an array of the foregoing described non-volatile memory cells, and a method of making the non-volatile memory cell and the array.
  • FIG. 1A is a top view of a semiconductor substrate used in the first step of the method of present invention to form isolation regions.
  • FIG. 1B is a cross sectional view of the structure taken along the line 1 B- 1 B showing the initial processing steps of the present invention.
  • FIG. 1C is a top view of the structure showing the next step in the processing of the structure of FIG. 1B, in which isolation regions are defined.
  • FIG. 1D is a cross sectional view of the structure in FIG. 1C taken along the line 1 D- 1 D showing the isolation trenches formed in the structure.
  • FIG. 1E is a cross sectional view of the structure in FIG. 1D showing the formation of isolation blocks of material in the isolation trenches.
  • FIGS. 2A-2O are cross sectional views of the semiconductor structure in FIG. 1F taken along the line 2 A- 2 A showing in sequence the steps in the processing of the semiconductor structure in the formation of the cell portion of a non-volatile memory array of floating gate memory cells of the present invention.
  • FIGS. 3A-3O are cross sectional views of the semiconductor structure in FIG. 1F taken along the line 2 A- 2 A showing in sequence the steps in the processing of the semiconductor structure in the formation of the periphery portion of a non-volatile memory array of floating gate memory cells of the present invention.
  • FIG. 4 is a cross sectional view of a memory cell of the present invention.
  • FIG. 5 is a schematic circuit diagram of the memory cell array of the present invention.
  • FIGS. 1A to 1 E and 2 A to 2 O show the processing steps in making the memory cell array of the present invention
  • FIGS. 3A to 3 O show the processing steps in making the peripheral portion of the memory cell array of the present invention.
  • the method begins with a semiconductor substrate 10 , which is preferably of P type and is well known in the art.
  • the thickness of the layers described below will depend upon the design rules and the process technology generation. What is described herein is for the 0.10 micron process. However, it will be understood by those skilled in the art that the present invention is not limited to any specific process technology generation, nor to any specific value in any of the process parameters described hereinafter.
  • FIG. 1A there is shown a top plan view of a semiconductor substrate 10 (or a semiconductor well), which is preferably of P type and is well known in the art.
  • a first layer 11 of silicon dioxide (hereinafter “oxide”) is formed (e.g. grown or deposited) on the substrate 10 by any well known technique such as oxidation or oxide deposition (e.g. chemical vapor deposition or OVD) to a thickness of approximately 50-120 angstroms.
  • a second layer of polysilicon 12 is formed (e.g. grown or deposited) on the oxide 11 .
  • the second layer of polysilicon 12 comprises three sublayers: a first sublayer of intrinsic polysilicon (having a thickness on the order of 100-500 angstroms), a second sublayer of doped polysilicon (doped with e.g. As, and having a thickness on the order of 30-50 angstroms) on the first sublayer of intrinsic polysilicon, and a third sublayer of intrinsic polysilicon (having a thickness on the order of 30-50 angstroms) on the layer of doped polysilicon.
  • the second layer of polysilicon 12 is a sacrificial layer.
  • nitride silicon nitride
  • suitable photo resist material 16 is applied on the nitride layer 14 and a masking step is performed to selectively remove the photo resist material from certain regions (stripes 18 ) that extend in the Y or column direction, as shown in FIG. 1C.
  • the exposed nitride layer 14 , polysilicon layer 12 , and oxide layer 11 are etched away in stripes 18 using standard etching techniques (i.e. anisotropic nitride, polysilicon, and oxide etch processes) to form trenches 20 in the structure.
  • the distance W between adjacent stripes 18 can be as small as the smallest lithographic feature of the process used.
  • a silicon etch process is then used to extend trenches 20 down into the silicon substrate 10 to a depth of approximately 500-4000 angstroms, as shown in FIG. 1D. Where the photo resist 16 is not removed, the nitride layer 14 , polysilicon layer 12 and oxide layer 11 are maintained. The resulting structure illustrated in FIG. 1D now defines active regions 22 interlaced with isolation regions 24 .
  • the structure is further processed to remove the remaining photo resist 16 .
  • an isolation material such as silicon dioxide is formed in trenches 20 by depositing a thick oxide layer, followed by a Chemical-Mechanical-Polishing or CMP etch (using nitride layer 14 as an etch stop) to remove the oxide layer except for oxide blocks 26 in trenches 20 , as shown in FIG. 1E.
  • FIGS. 1A to 1 E illustrate the memory cell array region of the substrate, in which columns of memory cells will be formed in the active regions 22 which are separated by the isolation regions 24 .
  • the substrate 10 also includes at least one periphery region in which control circuitry is formed that will be used to operate the memory cells formed in the memory cell array region.
  • isolation blocks 26 are also formed in the periphery region during the same STI process described above.
  • FIGS. 2A to 20 show the cross sections of the structure in the active regions 22 from a view orthogonal to that of FIG. 1E (along line 2 A- 2 A as shown in FIGS. 1C).
  • FIG. 2A is a cross sectional view of the structure shown in FIG. 1E taken along the line 2 A- 2 A in the memory cell array portion.
  • FIG. 3A is a cross sectional view of the peripheral portion.
  • Photoresist 16 is then applied every where, including over the periphery portion.
  • a masking step is performed wherein stripes extending in the X direction, of the photoreists 16 are removed. Openings 30 in the photoresist are made.
  • an anisotropic etch of the nitride 14 is then made, with polysilicon 12 used as an etch stop. This is followed by an anisotropic etch of the polysilicon 12 with the oxide 11 used as an etch stop.
  • the resultant structure is shown in FIG. 2B. It should be noted that the opening 30 shown in FIG. 2B is not continuous in the X direction (i.e. in or out of the paper) since adjacent to the opening 30 is the STI oxide 26 . The periphery portion, protected by the photoresist 16 will remain unaffected by this processes, as shown in FIG. 3B.
  • a wet etch of polysilicon 12 is then made. Because the periphery is still protected by the photoresist 16 , there is no change due to the wet etch of the polysilicon. In the cell portion, the wet etch of polysilicon 12 causes “sideways” etching of the polysilicon 12 , such that the doped polysilicon sublayer will etch faster than the undoped or intrinsic polysilicon sublayers. This is due to the difference in the etch rate between doped polysilicon and undoped or intrinsic polyslicon. Furthermore, this etching process is inherently self limiting in that the sideway length by which this process etches the polysilicon is limited by the diffusion rate of the etchant. A wet etching process of the oxide layer 11 is then made. The resultant structure is shown in FIG. 2C. The periphery portion remains unchanged as shown in FIG. 3C.
  • FIG. 2C An oxidation process of the structure shown in FIG. 2C is carried out. This oxidizes the exposed polysilicon 12 , forming layer 32 . Further a layer of oxide 34 is deposited, preferably by HTO CVD process forming a layer of approximately 200-250 angstroms. The resultant structure is shown in FIG. 2D. The periphery portion remains unchanged as shown in FIG. 3D.
  • Doped polysilicon 36 is then deposited onto the structure by, e.g. CVD, to a thickness on the order of 100-250 angstroms.
  • the polysilicon 36 fills the “cavities” from which the previous wet etch was made. This is then followed by an anisotropic etch, with the oxide layer 34 used as an etch stop.
  • the resultant structure is shown in FIG. 2E.
  • the periphery portion remains unaffected, as shown in FIG. 3E.
  • a material that can trap charges such as nitride, instead of polysilicon, can be used to fill the “cavities” from which the wet etch was made. Using nitride will result in the memory cell operate similar to that disclosed in U.S. Pat. No. 6,011,725.
  • the layer 34 of oxide is then removed by anisotropic etch, exposing the underlying substrate 10 .
  • the removal of the oxide layer 34 also causes a portion of the oxide in the STI 26 to be removed.
  • the substrate 10 is then anisotropically etched to a depth of approximately 500-4000 angstroms, which is the depth of the STI 26 in the substrate 10 .
  • An anisotropic etching of the oxide 26 in the STI is then performed, with the substrate 10 used as an etch stop.
  • the result is a trench 30 that is continuous in the X direction, as shown in FIG. 2F.
  • an implant is made forming source/drain regions 40 ( a,b ) that surround the trench 30 .
  • the source/drain 40 ( a,b ) extend continuously in the X direction.
  • the source/drain 40 ( a,b ) form source/drain regions for the active devices to one side of the trench 30 and form the source/drain for the active devices on the other side of the trench 30 .
  • adjacent rows of devices share common source/drain regions.
  • the resultant structure is shown in FIG. 2F. The periphery remains unchanged as shown in FIG. 3F.
  • the photoresist layer 16 is removed, and then re-applied over the entire structure. Openings 42 are made in the periphery portion as shown in FIG. 3G.
  • the cell portion protected by the photoresist 16 is unchanged, as shown in FIG. 2G.
  • the resultant structure is shown in FIG. 3G.
  • the exposed oxide layer 11 in the periphery region is anisotropically etched until the substrate 10 is reached.
  • the substrate 10 is then etched in the periphery to form STI trenches 42 .
  • the photoresist 16 is then removed.
  • STI oxide 44 is deposited in the trench 42
  • STI oxide 50 is deposited in the trench 30 .
  • Conventional CMP process is used to polish the planar surface of the oxide in the STI 30 and 42 to be planar with the nitride layer 14 .
  • the resultant structure is shown in FIGS. 2H and 3H.
  • the cell portion is again masked by using photoresist 16 .
  • the resultant structure is shown in FIG. 21.
  • the conventional process to form logic circuits such as removal of the nitride layer 14 , the masking and etching of the polysilicon layer 12 to form gates of logic devices can be made.
  • the resultant structure is generally shown in FIG. 31.
  • the photoresist 16 is then removed from the cell portion.
  • the STI oxide 50 and STI 44 can be anisotropically etched to a height desired. As will be explained in greater detail later, the height of the STI 50 which is above the plane of the substrate 10 impacts the capacitive coupling of the operation of the device.
  • the resultant structure is shown in FIGS. 2J and 3J.
  • the layer of nitride 14 is then removed.
  • the layer 12 of polysilicon is anisotropically removed by Reactive Ion Etching, using the oxide layer 11 as the etch stop. Because the polysilicon 36 that was deposited in a “cavity” as formed previously, is covered by a layer of oxide 32 , the polysilicon 36 , which is generally of a triangular shape, is not affected by the RIE polysilicon removal process. The polysilicon 36 will form the floating gate for the memory cell.
  • the resultant structure is shown in FIGS. 2K and 3K.
  • Photoresist 16 is then again applied covering the periphery portion of the device.
  • the cell portion of the device is subject to an ion implantation step (which may include multiple ion implant steps), to adjust the Vth of the channel of the memory cell.
  • the resultant structure is shown in FIGS. 2L and 3L.
  • the photoresist 16 is then removed from the periphery portion, and then applied again to cover just the cell portioni.
  • the periphery portion of the device is subject to an ion implantation step (which may include multiple ion implant steps), to adjust the Vth of the channel of the periphery logic devices.
  • the resultant structure is shown in FIGS. 2M and 3M.
  • the photoresist 16 is then removed.
  • a wet oxide etch is then applied to remove the oxide layer 32 covering the floating gate 36 .
  • a high voltage gate dielectric is formed over the floating gate 36 . This can be done by re-oxidizing the floating gate 36 and by applying a layer of HTO (High Temperature Oxide) 52 to the structure.
  • the resultant structure is shown in FIGS. 2N and 3N.
  • a layer 54 of polysilicon is then applied, and doped and etched to form the control gate.
  • the resultant structure is shown in FIGS. 20 and 30.
  • FIG. 4 An example of a cross sectional view of a memory cell 60 of the present invention is shown in FIG. 4.
  • the cell 60 comprises a first and a second source/drain 40 a and 40 b , respectively each of, e.g. N type if the substrate 10 is of P type.
  • a channel region 70 connects the first source/drain 40 a to the second source/drain 40 b .
  • the channel region 70 has three portions: a first portion, immediately adjacent to the first source/drain 40 a , a third portion, immediately adjacent to the second source/drain 40 b , and a second portion between the first portion and the second portion.
  • a first floating gate 36 a is insulated from the channel region 70 and is “above” the first portion.
  • a second floating gate 36 b is insulated from the channel region 70 and is “above” the third portion.
  • a control gate 54 is capacitively coupled to the first and second floating gates 36 a and 36 b and is insulated from the second portion of the channel region 70 .
  • the control gate generally runs in the Y or the column direction.
  • Each of the floating gate 36 a and 36 b is formed in a cavity, and is generally triangularly shaped having “tips” 62 , 64 and 66 .
  • the floating gates 36 (a&b) can be made of a trapping material, such as nitride, in addition to polysilicon. Therefore, as used herein and in the claims, the term “floating gate” means any charge storage element, whether conducting or non-conducting, so long as the material can be formed in the “cavities” as discussed above.
  • the “height” of the STI 50 controls the capacitive coupling between the control gate 54 and the floating gate 36 . If the STI 50 were “taller” then the control gate 54 would be spaced further away from the floating gate 36 resulting in less capacitive coupling between them. If the STI 50 were at or near the planar level of the substrate 10 , as shown in FIG. 4, then the capacitive coupling between the control gate 54 and the floating gate 36 is near a maximum.
  • the memory cell 60 is erased by applying 0 volts to the source/drain 40 ( a,b ), and a high voltage, such as +12 volts to the control gate 54 . Since the same voltage is applied to both source/drain regions 40 ( a,b ), no charges will conduct in the channel region 70 . Furthermore, because the control gate 54 is highly capacitively coupled to the floating gates 36 ( a,b ), electrons from the floating gates 36 ( a,b ) will be pulled by the positive voltage applied to the control gate 54 , and through the mechanism of Fowler-Nordheim tunneling, the electrons are removed from the floating gates 54 ( a,b ), and tunnel from the tips 62 through the tunneling oxide layer 52 onto the control gate 54 . This mechanism of poly-to-poly tunneling for erase is set forth in U.S. Pat. No. 5,029,130, whose disclosure is incorporated herein in its entirety by reference.
  • Programming of the memory cell 60 can occur in one of two mechanisms: either the first floating gate 36 a is programmed or the second floating gate 36 b is programmed.
  • the first floating gate 36 a is held at a positive voltage of between 10 to 15 volts.
  • the control gate 54 is held at a positive voltage of between 2 to 3 volts.
  • the second source region 40 b is held at 0 volts. Because the control gate 54 is strongly capacitively coupled to the second floating gate 40 b , the positive voltage of 2-3 volts on the control gate 54 is sufficient to turn on the third portion of the channel region 70 , i.e.
  • the positive voltage of 2-3 volts on the control gate 54 is sufficient to turn on the second portion of the channel region 70 , i.e. the portion of the channel region 70 between the first portion and the third portion.
  • the positive voltage of 10-15 volts on the first source region 40 a is sufficient to attract the electrons in the channel region 70 .
  • electrons will traverse in the channel region 70 from the second source region 40 b to the first source region 40 a .
  • Reading of the memory cell 60 can occur in one of two mechanisms: either the state of the first floating gate 36 a is read, or the state of the second floating gate 36 b is read. Let us first discuss the action of reading the state of the second floating gate 36 b , whether electrons are stored on the second floating gate 36 b .
  • the first source/drain region 40 a is held at a positive voltage of between 2 to 3.5 volts. This is sufficient to create a depletion region that extends beyond the first portion of the channel region 70 .
  • the control gate 54 is held at a positive voltage of between 1 to 2 volts.
  • the second source/drain region 40 b is held at 0 volts.
  • the positive voltage of 1-2 volts on the control gate 54 is sufficient to turn on the second portion of the channel region 70 . Electrons will traverse in the channel region 70 from the second source/drain region 40 b to the first source/drain region 40 a , depending on whether the second floating gate 36 b is programmed or not. If the second floating gate 36 b is programmed, the third portion of the channel region 70 over which the second floating gate 36 lies will not be turned on. In that event no electron flow would occur.
  • the second floating gate 36 b is erased, then electrons will flow from the second source/drain region 40 b , through the third portion of the channel region 70 , through the second portion of the channel region 70 (because the control gate 54 has turned it on) and to the outer limit of the depletion region caused by the positive voltage applied to the first source/drain region 40 a .
  • the amount of current or the presence/absence of current sensed at the first source region 40 a determines the state of programming of the second floating gate 36 b.
  • the voltages applied to the first source/drain region 40 a are reversed from those applied to the second source/drain region 40 b.
  • an array of memory cells 60 comprises a plurality of memory cells 60 arranged in a plurality of columns: 60 a (1 ⁇ k), 60 b (1 ⁇ k), and 60 c (1 ⁇ k) and in rows: 60 ( a ⁇ n)1, 60(a ⁇ n)2 and 60(a ⁇ n)3.
  • the control gate 54 connected to a memory cell 60 is also connected to other memory cells 60 in the same column.
  • the first and second source/drain regions 40 connected to a memory cell 60 are also connected to other memory cells 60 in the same row.
  • memory cells 60 in the same column connected by the common control gate line 54 are erased simultaneously.
  • the control gate line 54 b is held at between 8 to 12 volts.
  • the unselected control gate lines 54 a and 54 c are held at 0 volts.
  • All the source/drain region lines 40 a , 40 b , and 40 c are held at 0 volts. In this manner all of the memory cells 60 b (1 ⁇ n) are erased simultaneously, while no erase disturbance occurs with respect to the memory cells 60 in the other columns because all terminals to the memory cells 60 in all the other columns are at ground voltage.
  • control gate line 54 b is at a positive voltage of between 2 to 3 volts. All the other unselected control gate lines 54 are held at 0 volts.
  • Source/drain line 40 b is held at 0 volts. All the unselected source/drain line 40 that are adjacent to the source/drain line 40 b (on the side opposite source/drain 40 b ), such as source/drain line 40 a , are held at 0 volts.
  • Selected source/drain line 40 c is held at a positive voltage of between 10 to 15 volts.
  • the “disturbance” on the unselected memory cells 15 are as follows:
  • the application of 0 volts to control gate 54 means that none of the channel regions 70 for those memory cells 15 c (1 ⁇ n) and 15 a (1 ⁇ n) are turned on, because the second portion of the channel region (the portion to which the control gate 54 directly controls) are not turned on. Thus, there is no disturbance.
  • the application of 0 volts to the source/drain lines 40 a and 40 b means that the channel region 70 is not turned on.
  • the application of 3-4 volts to source/drain line 40 d which is greater than the voltage applied to the control gate 54 b means that the channel region will not be turned on.
  • the channel region 70 of those memory cells 60 will not be turned on, due to the voltage to the source/drain lines to that memory cell 60 being at the same voltage.
  • the voltages applied to the source/drain lines 40 b and 40 c are reversed.
  • the other unselected row lines will have the following voltages applied: for all the unselected source/drain lines on the same side as the source/drain line 40 c , such as source/drain line 40 d, 0 volts is applied; for all the unselected source/drain lines on the same side as the source/drain line 40 b , such as source/drain line 40 a, 3-4 volts is applied.
  • the source/drain line 40 b is held at a positive voltage of between 2 to 3.5 volts.
  • the control gate line 54 b is held at a positive voltage between 1 to 2 volts.
  • the source/drain line 40 c is held at 0 volts.
  • the voltages applied to the unselected control gate lines 54 are at ground or 0 volts.
  • the voltage on the unselected source/drain lines 40 to the same side as the source/drain line 40 b , such as source/drain line 40 a is also at 2 to 3.5 volts.
  • the voltage on the unselected source/drain lines 40 to the same side as a the source/drain line 40 c , such as source/drain line 40 d is at 0 volts.
  • the “disturbance” on the unselected memory cells 60 is as follows:
  • the application of 0 volts to control gate lines 54 means that none of the channel regions 70 for those memory cells 60 c (1 ⁇ k) and 60 a (1 ⁇ k) is turned on. Thus, there is no disturbance.
  • the application of 0 volts to line 40 d the same voltage as applied to source/drain 40 c means that the channel region 70 of the memory cell 60 b 3 is not turned on. Thus, little or no disturbance to memory cell 60 b 3 would occur.
  • the voltages applied to the source/drain region line 40 a are reversed from those applied to the source/drain region line 40 b .
  • the voltage applied to the source/drain region 40 of all the lines to the same side as the source/drain line 40 b is reversed from that applied to the voltage applied to the same side as the source/drain lines 40 c.

Abstract

A non-volatile memory cell has a single crystalline semiconductive material, such as single crystalline silicon, of a first conductivity type. A first and a second region each of a second conductivity type, different from the first conductivity type, spaced apart from one another is formed in the semiconductive material. A channel region, having a first portion, and a second portion, connects the first and second regions for the conduction of charges. A dielectric is on the channel region. A floating gate, which can be conductive or non-conductive, is on the dielectric, spaced apart from the first portion of the channel region. The first portion of the channel region is adjacent to the first region, with the first floating gate having generally a triangular shape. The floating gate is formed in a cavity. A gate electrode is capacitively coupled to the first floating gate, and is spaced apart from the second portion of the channel region. The second portion of the channel region is between the first portion and the second region. A bi-directional non-volatile memory cell has two floating gates each formed in a cavity. A method of making the non-volatile memory cell and the array are also disclosed.

Description

    TECHNICAL FIELD
  • The present invention relates to a non-volatile memory cell, that uses a floating gate formed in a cavity for the storage of charges. More particularly, the present invention relates to such a non-volatile memory cell in which two floating gates are formed, and is capable of bi-directionally storing and reading a plurality of bits in a single cell and an array of such cells, and a method of manufacturing. [0001]
  • BACKGROUND OF THE INVENTION
  • Uni-directional read/program non-volatile memory cells using floating gate for storage are well known in the art. See for example, U.S. Pat. No. 5,029,130. Typically, each of these types of memory cells uses a conductive floating gate to store one bit, i.e. either the floating gate stores charges or it does not. The charges stored on a floating gate control the conduction of charges in a channel of a transistor. In a desire to increase the storage capacity of such non-volatile memory cells, the floating gate of such memory cell is programmed to store some charges, with the different amount of charges stored being determinative of the different states of the cell, thereby causing a plurality of bits to be stored in a single cell. The problem with programming a cell to one of a multilevel state and then reading such a state is that the amount of charge stored on the floating gate differentiating one state from another must be very carefully controlled. Further, in the uni-directional read/program non-volatile memory cell of the prior art, the floating gate has been made by a lithographic process involving masking steps and the like, resulting in a “large” structure. [0002]
  • In an article entitled “Quantum-well Memory Device (QWMD) with Extremely Good Charge Retention” by Z. Krivokapic et al., published by IEEE in 2002, the authors described a device using floating gates as quantum wells. This however, is very different from a non-volatile memory cell with spaced apart regions and a channel therebetween for the conduction of charges. [0003]
  • Bi-directional read/program non-volatile memory cells capable of storing a plurality of bits in a single cell are also well known in the art. See, for example, U.S. Pat. No. 6,011,725. Typically, these types of memory cells use an insulating trapping material, such as silicon nitride, which is between two other insulation layers, such as silicon dioxide, to trap charges. The charges are trapped near the source/drain also to control the conduction of charges in a channel of a transistor. The cell is read in one direction to determine the state of charges trapped near one of the source/drain regions, and is read in the opposite direction to determine the state of charges trapped near the other source/drain region. Hence, these cells are read and programmed bi-directionally. The problem with these types of cells is that to erase, holes or charges of the opposite conductivity must also be “programmed” or injected into the trapping material at precisely the same location where the programming charges were initially trapped in order to “neutralize” the programming charges. Since the programming charges and the erase charges are injected into a non-conductive trapping material, the charges do not move as in a conductive material. Therefore, if there is any error in injecting the erase charges to the location of the programming charges, the erase charges will not neutralize the programming charges, and the cell will not be completely erased. Moreover, to inject the erase charges, the cell must be erased bi-directionally, thereby increasing the time required for erasure of one cell. [0004]
  • Hence there is a need for a non-volatile memory cell and array that overcomes these problems. [0005]
  • SUMMARY OF THE INVENTION
  • In the present invention, a non-volatile memory cell comprises a substantially single crystalline semiconductive material, such as single crystalline silicon, of a first conductivity type. A first and a second region each of a second conductivity type, different from the first conductivity type, spaced apart from one another is formed in the semiconductive material. A channel region, having a first portion, and a second portion, connects the first and second regions for the conduction of charges. A dielectric is on the channel region. A floating gate is on the dielectric, spaced apart from the first portion of the channel region. The first portion of the channel region is adjacent to the first region, with the floating gate having generally a triangular shape. A gate electrode is capacitively coupled to the floating gate, and is spaced apart from the second portion of the channel region. The second portion of the channel region is between the first portion and the second region. [0006]
  • The present invention also relates to a bi-directional read/program non-volatile memory cell having two floating gates, each having a generally triangular shape, and an array of the foregoing described non-volatile memory cells, and a method of making the non-volatile memory cell and the array.[0007]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1A is a top view of a semiconductor substrate used in the first step of the method of present invention to form isolation regions. [0008]
  • FIG. 1B is a cross sectional view of the structure taken along the line [0009] 1B-1B showing the initial processing steps of the present invention.
  • FIG. 1C is a top view of the structure showing the next step in the processing of the structure of FIG. 1B, in which isolation regions are defined. [0010]
  • FIG. 1D is a cross sectional view of the structure in FIG. 1C taken along the line [0011] 1D-1D showing the isolation trenches formed in the structure.
  • FIG. 1E is a cross sectional view of the structure in FIG. 1D showing the formation of isolation blocks of material in the isolation trenches. [0012]
  • FIGS. 2A-2O are cross sectional views of the semiconductor structure in FIG. 1F taken along the line [0013] 2A-2A showing in sequence the steps in the processing of the semiconductor structure in the formation of the cell portion of a non-volatile memory array of floating gate memory cells of the present invention.
  • FIGS. 3A-3O are cross sectional views of the semiconductor structure in FIG. 1F taken along the line [0014] 2A-2A showing in sequence the steps in the processing of the semiconductor structure in the formation of the periphery portion of a non-volatile memory array of floating gate memory cells of the present invention.
  • FIG. 4 is a cross sectional view of a memory cell of the present invention. [0015]
  • FIG. 5 is a schematic circuit diagram of the memory cell array of the present invention.[0016]
  • DETAILED DESCRIPTION OF THE INVENTION
  • The method of the present invention is illustrated in FIGS. 1A to [0017] 1E and 2A to 2O, which show the processing steps in making the memory cell array of the present invention, and FIGS. 3A to 3O which show the processing steps in making the peripheral portion of the memory cell array of the present invention. The method begins with a semiconductor substrate 10, which is preferably of P type and is well known in the art. The thickness of the layers described below will depend upon the design rules and the process technology generation. What is described herein is for the 0.10 micron process. However, it will be understood by those skilled in the art that the present invention is not limited to any specific process technology generation, nor to any specific value in any of the process parameters described hereinafter.
  • Isolation Region Formation [0018]
  • FIGS. 1A to [0019] 1E illustrate the well known STI method of forming isolation regions on a substrate. Referring to FIG. 1A there is shown a top plan view of a semiconductor substrate 10 (or a semiconductor well), which is preferably of P type and is well known in the art. A first layer 11 of silicon dioxide (hereinafter “oxide”) is formed (e.g. grown or deposited) on the substrate 10 by any well known technique such as oxidation or oxide deposition (e.g. chemical vapor deposition or OVD) to a thickness of approximately 50-120 angstroms. A second layer of polysilicon 12 is formed (e.g. grown or deposited) on the oxide 11. As will be discussed in greater detail, hereinafter, the second layer of polysilicon 12 comprises three sublayers: a first sublayer of intrinsic polysilicon (having a thickness on the order of 100-500 angstroms), a second sublayer of doped polysilicon (doped with e.g. As, and having a thickness on the order of 30-50 angstroms) on the first sublayer of intrinsic polysilicon, and a third sublayer of intrinsic polysilicon (having a thickness on the order of 30-50 angstroms) on the layer of doped polysilicon. As will be seen, the second layer of polysilicon 12 is a sacrificial layer. Although it is described as being formed of polysilicon, it can be formed of any material, including but not limited to insulating material such as oxide or silicon nitride (hereinafter “nitride”). Finally, a third layer of nitride 14 is formed over polysilicon layer 12 preferably by CVD to a thickness of approximately 1000-2000 angstroms. FIG. 1B illustrates a cross-section of the resulting structure.
  • Once the first, second and [0020] third layers 11/12/14 have been formed, suitable photo resist material 16 is applied on the nitride layer 14 and a masking step is performed to selectively remove the photo resist material from certain regions (stripes 18) that extend in the Y or column direction, as shown in FIG. 1C. Where the photo-resist material 16 is removed, the exposed nitride layer 14, polysilicon layer 12, and oxide layer 11 are etched away in stripes 18 using standard etching techniques (i.e. anisotropic nitride, polysilicon, and oxide etch processes) to form trenches 20 in the structure. The distance W between adjacent stripes 18 can be as small as the smallest lithographic feature of the process used. A silicon etch process is then used to extend trenches 20 down into the silicon substrate 10 to a depth of approximately 500-4000 angstroms, as shown in FIG. 1D. Where the photo resist 16 is not removed, the nitride layer 14, polysilicon layer 12 and oxide layer 11 are maintained. The resulting structure illustrated in FIG. 1D now defines active regions 22 interlaced with isolation regions 24.
  • The structure is further processed to remove the remaining photo resist [0021] 16. Then, an isolation material such as silicon dioxide is formed in trenches 20 by depositing a thick oxide layer, followed by a Chemical-Mechanical-Polishing or CMP etch (using nitride layer 14 as an etch stop) to remove the oxide layer except for oxide blocks 26 in trenches 20, as shown in FIG. 1E.
  • FIGS. 1A to [0022] 1E illustrate the memory cell array region of the substrate, in which columns of memory cells will be formed in the active regions 22 which are separated by the isolation regions 24. It should be noted that the substrate 10 also includes at least one periphery region in which control circuitry is formed that will be used to operate the memory cells formed in the memory cell array region. Preferably, isolation blocks 26 are also formed in the periphery region during the same STI process described above.
  • Memory Cell Array Formation [0023]
  • The structure shown in FIG. 1E is further processed as follows. FIGS. 2A to [0024] 20 show the cross sections of the structure in the active regions 22 from a view orthogonal to that of FIG. 1E (along line 2A-2A as shown in FIGS. 1C). FIG. 2A is a cross sectional view of the structure shown in FIG. 1E taken along the line 2A-2A in the memory cell array portion. FIG. 3A is a cross sectional view of the peripheral portion.
  • [0025] Photoresist 16 is then applied every where, including over the periphery portion. A masking step is performed wherein stripes extending in the X direction, of the photoreists 16 are removed. Openings 30 in the photoresist are made. With the photoresist as a mask, an anisotropic etch of the nitride 14 is then made, with polysilicon 12 used as an etch stop. This is followed by an anisotropic etch of the polysilicon 12 with the oxide 11 used as an etch stop. The resultant structure is shown in FIG. 2B. It should be noted that the opening 30 shown in FIG. 2B is not continuous in the X direction (i.e. in or out of the paper) since adjacent to the opening 30 is the STI oxide 26. The periphery portion, protected by the photoresist 16 will remain unaffected by this processes, as shown in FIG. 3B.
  • A wet etch of [0026] polysilicon 12 is then made. Because the periphery is still protected by the photoresist 16, there is no change due to the wet etch of the polysilicon. In the cell portion, the wet etch of polysilicon 12 causes “sideways” etching of the polysilicon 12, such that the doped polysilicon sublayer will etch faster than the undoped or intrinsic polysilicon sublayers. This is due to the difference in the etch rate between doped polysilicon and undoped or intrinsic polyslicon. Furthermore, this etching process is inherently self limiting in that the sideway length by which this process etches the polysilicon is limited by the diffusion rate of the etchant. A wet etching process of the oxide layer 11 is then made. The resultant structure is shown in FIG. 2C. The periphery portion remains unchanged as shown in FIG. 3C.
  • An oxidation process of the structure shown in FIG. 2C is carried out. This oxidizes the exposed [0027] polysilicon 12, forming layer 32. Further a layer of oxide 34 is deposited, preferably by HTO CVD process forming a layer of approximately 200-250 angstroms. The resultant structure is shown in FIG. 2D. The periphery portion remains unchanged as shown in FIG. 3D.
  • Doped [0028] polysilicon 36 is then deposited onto the structure by, e.g. CVD, to a thickness on the order of 100-250 angstroms. The polysilicon 36 fills the “cavities” from which the previous wet etch was made. This is then followed by an anisotropic etch, with the oxide layer 34 used as an etch stop. The resultant structure is shown in FIG. 2E. The periphery portion remains unaffected, as shown in FIG. 3E. Alternatively, a material that can trap charges, such as nitride, instead of polysilicon, can be used to fill the “cavities” from which the wet etch was made. Using nitride will result in the memory cell operate similar to that disclosed in U.S. Pat. No. 6,011,725.
  • The [0029] layer 34 of oxide is then removed by anisotropic etch, exposing the underlying substrate 10. The removal of the oxide layer 34 also causes a portion of the oxide in the STI 26 to be removed. The substrate 10 is then anisotropically etched to a depth of approximately 500-4000 angstroms, which is the depth of the STI 26 in the substrate 10. An anisotropic etching of the oxide 26 in the STI is then performed, with the substrate 10 used as an etch stop. The result is a trench 30 that is continuous in the X direction, as shown in FIG. 2F. Further, an implant is made forming source/drain regions 40(a,b) that surround the trench 30. Thus, the source/drain 40(a,b) extend continuously in the X direction. In addition, the source/drain 40(a,b) form source/drain regions for the active devices to one side of the trench 30 and form the source/drain for the active devices on the other side of the trench 30. Thus, adjacent rows of devices share common source/drain regions. The resultant structure is shown in FIG. 2F. The periphery remains unchanged as shown in FIG. 3F.
  • The [0030] photoresist layer 16 is removed, and then re-applied over the entire structure. Openings 42 are made in the periphery portion as shown in FIG. 3G. The cell portion protected by the photoresist 16 is unchanged, as shown in FIG. 2G. In the periphery portion, an anisotropic etch of the nitride layer 14 with the polysilicon layer 12 used as an etch stop. Thereafter the polysilicon layer 12 is anisotropically etched until the oxide layer 11 is reached. The resultant structure is shown in FIG. 3G.
  • The exposed [0031] oxide layer 11 in the periphery region is anisotropically etched until the substrate 10 is reached. The substrate 10 is then etched in the periphery to form STI trenches 42. The photoresist 16 is then removed. STI oxide 44 is deposited in the trench 42, and STI oxide 50 is deposited in the trench 30. Conventional CMP process is used to polish the planar surface of the oxide in the STI 30 and 42 to be planar with the nitride layer 14. The resultant structure is shown in FIGS. 2H and 3H.
  • The cell portion is again masked by using [0032] photoresist 16. The resultant structure is shown in FIG. 21. As for the periphery portion, the conventional process to form logic circuits, such as removal of the nitride layer 14, the masking and etching of the polysilicon layer 12 to form gates of logic devices can be made. The resultant structure is generally shown in FIG. 31.
  • The [0033] photoresist 16 is then removed from the cell portion. The STI oxide 50 and STI 44 can be anisotropically etched to a height desired. As will be explained in greater detail later, the height of the STI 50 which is above the plane of the substrate 10 impacts the capacitive coupling of the operation of the device. The resultant structure is shown in FIGS. 2J and 3J.
  • The layer of [0034] nitride 14 is then removed. The layer 12 of polysilicon is anisotropically removed by Reactive Ion Etching, using the oxide layer 11 as the etch stop. Because the polysilicon 36 that was deposited in a “cavity” as formed previously, is covered by a layer of oxide 32, the polysilicon 36, which is generally of a triangular shape, is not affected by the RIE polysilicon removal process. The polysilicon 36 will form the floating gate for the memory cell. The resultant structure is shown in FIGS. 2K and 3K.
  • [0035] Photoresist 16 is then again applied covering the periphery portion of the device. The cell portion of the device is subject to an ion implantation step (which may include multiple ion implant steps), to adjust the Vth of the channel of the memory cell. The resultant structure is shown in FIGS. 2L and 3L.
  • The [0036] photoresist 16 is then removed from the periphery portion, and then applied again to cover just the cell portioni. The periphery portion of the device is subject to an ion implantation step (which may include multiple ion implant steps), to adjust the Vth of the channel of the periphery logic devices. The resultant structure is shown in FIGS. 2M and 3M.
  • The [0037] photoresist 16 is then removed. A wet oxide etch is then applied to remove the oxide layer 32 covering the floating gate 36. A high voltage gate dielectric is formed over the floating gate 36. This can be done by re-oxidizing the floating gate 36 and by applying a layer of HTO (High Temperature Oxide) 52 to the structure. The resultant structure is shown in FIGS. 2N and 3N.
  • Finally, a [0038] layer 54 of polysilicon is then applied, and doped and etched to form the control gate. The resultant structure is shown in FIGS. 20 and 30.
  • An example of a cross sectional view of a [0039] memory cell 60 of the present invention is shown in FIG. 4. As shown in FIG. 4, the cell 60 comprises a first and a second source/ drain 40 a and 40 b, respectively each of, e.g. N type if the substrate 10 is of P type. A channel region 70 connects the first source/drain 40 a to the second source/drain 40 b. The channel region 70 has three portions: a first portion, immediately adjacent to the first source/drain 40 a, a third portion, immediately adjacent to the second source/drain 40 b, and a second portion between the first portion and the second portion. A first floating gate 36 a is insulated from the channel region 70 and is “above” the first portion. A second floating gate 36 b is insulated from the channel region 70 and is “above” the third portion. A control gate 54 is capacitively coupled to the first and second floating gates 36 a and 36 b and is insulated from the second portion of the channel region 70. The control gate generally runs in the Y or the column direction. Each of the floating gate 36 a and 36 b is formed in a cavity, and is generally triangularly shaped having “tips” 62, 64 and 66. As previously discussed, the floating gates 36 (a&b) can be made of a trapping material, such as nitride, in addition to polysilicon. Therefore, as used herein and in the claims, the term “floating gate” means any charge storage element, whether conducting or non-conducting, so long as the material can be formed in the “cavities” as discussed above.
  • As previously discussed, the “height” of the [0040] STI 50 controls the capacitive coupling between the control gate 54 and the floating gate 36. If the STI 50 were “taller” then the control gate 54 would be spaced further away from the floating gate 36 resulting in less capacitive coupling between them. If the STI 50 were at or near the planar level of the substrate 10, as shown in FIG. 4, then the capacitive coupling between the control gate 54 and the floating gate 36 is near a maximum.
  • Memory Cell Operation [0041]
  • The operation of the [0042] memory cell 60 shown in FIG. 4 will now be described.
  • Erase [0043]
  • The [0044] memory cell 60 is erased by applying 0 volts to the source/drain 40(a,b), and a high voltage, such as +12 volts to the control gate 54. Since the same voltage is applied to both source/drain regions 40(a,b), no charges will conduct in the channel region 70. Furthermore, because the control gate 54 is highly capacitively coupled to the floating gates 36(a,b), electrons from the floating gates 36(a,b) will be pulled by the positive voltage applied to the control gate 54, and through the mechanism of Fowler-Nordheim tunneling, the electrons are removed from the floating gates 54(a,b), and tunnel from the tips 62 through the tunneling oxide layer 52 onto the control gate 54. This mechanism of poly-to-poly tunneling for erase is set forth in U.S. Pat. No. 5,029,130, whose disclosure is incorporated herein in its entirety by reference.
  • It should be noted, however, that because the capacitive coupling between the [0045] control gate 54 and the floating gate 36 can be changed by the height of the STI 50, it is possible for the floating gates 36 to be highly capacitively coupled to the source/drain regions 40(a,b). In that event, to erase, a zero volt is applied to the control gate 54, and a high positive voltage such as +12 volts is applied to the source/drain 40(a,b). Electrons then tunnel from the tips 64 through the oxide layer 11, to the source/drain 40.
  • Programming [0046]
  • Programming of the [0047] memory cell 60 can occur in one of two mechanisms: either the first floating gate 36 a is programmed or the second floating gate 36 b is programmed. Let us first discuss the action of programming the first floating gate 36 a, i.e. storage of electrons on the first floating gate 36 a. The first source region 40 a is held at a positive voltage of between 10 to 15 volts. The control gate 54 is held at a positive voltage of between 2 to 3 volts. The second source region 40 b is held at 0 volts. Because the control gate 54 is strongly capacitively coupled to the second floating gate 40 b, the positive voltage of 2-3 volts on the control gate 54 is sufficient to turn on the third portion of the channel region 70, i.e. the portion adjacent to the second source/drain region 40 b, over which the second floating gate 36 b lies, even if the second floating gate 36 b is programmed, i.e. has electrons stored thereon. The positive voltage of 2-3 volts on the control gate 54 is sufficient to turn on the second portion of the channel region 70, i.e. the portion of the channel region 70 between the first portion and the third portion. The positive voltage of 10-15 volts on the first source region 40 a is sufficient to attract the electrons in the channel region 70. Thus, electrons will traverse in the channel region 70 from the second source region 40 b to the first source region 40 a. However, at the junction in the channel region 70 where the channel region 70 is close to the tip 66 a of the first floating gate 36 a, the electrons will experience a sudden increase in voltage, caused by the positive high voltage of the first source region 40 a, capacitively coupled to the first floating gate 36 a. This causes the electrons to be hot channel injected onto the first floating gate 36 a. This mechanism of hot channel electron injection for programming is set forth in U.S. Pat. No. 5,029,130, whose disclosure is incorporated herein in its entirety by reference.
  • To program the second floating [0048] gate 36 b, the voltages applied to the first source region 40 a are reversed from those applied to the second source region 40 b.
  • Read [0049]
  • Reading of the [0050] memory cell 60 can occur in one of two mechanisms: either the state of the first floating gate 36 a is read, or the state of the second floating gate 36 b is read. Let us first discuss the action of reading the state of the second floating gate 36 b, whether electrons are stored on the second floating gate 36 b. The first source/drain region 40 a is held at a positive voltage of between 2 to 3.5 volts. This is sufficient to create a depletion region that extends beyond the first portion of the channel region 70. The control gate 54 is held at a positive voltage of between 1 to 2 volts. The second source/drain region 40 b is held at 0 volts. The positive voltage of 1-2 volts on the control gate 54 is sufficient to turn on the second portion of the channel region 70. Electrons will traverse in the channel region 70 from the second source/drain region 40 b to the first source/drain region 40 a, depending on whether the second floating gate 36 b is programmed or not. If the second floating gate 36 b is programmed, the third portion of the channel region 70 over which the second floating gate 36 lies will not be turned on. In that event no electron flow would occur. If, however, the second floating gate 36 b is erased, then electrons will flow from the second source/drain region 40 b, through the third portion of the channel region 70, through the second portion of the channel region 70 (because the control gate 54 has turned it on) and to the outer limit of the depletion region caused by the positive voltage applied to the first source/drain region 40 a. Thus, the amount of current or the presence/absence of current sensed at the first source region 40 a determines the state of programming of the second floating gate 36 b.
  • To read the first floating [0051] gate 36 a, the voltages applied to the first source/drain region 40 a are reversed from those applied to the second source/drain region 40 b.
  • Memory Cell Array Operation [0052]
  • The operation of an array of [0053] memory cells 60 will now be described. Schematically, an array of memory cells is shown in FIG. 5. As shown in FIG. 5, an array of memory cells 60 comprises a plurality of memory cells 60 arranged in a plurality of columns: 60 a(1−k), 60 b(1−k), and 60 c(1−k) and in rows: 60(a−n)1, 60(a−n)2 and 60(a−n)3. The control gate 54 connected to a memory cell 60 is also connected to other memory cells 60 in the same column. The first and second source/drain regions 40 connected to a memory cell 60 are also connected to other memory cells 60 in the same row.
  • Erase [0054]
  • In the erase operation, [0055] memory cells 60 in the same column connected by the common control gate line 54 are erased simultaneously. Thus, for example, if it is desired to erase memory cells 60 in the column 60 b(1−n), the control gate line 54 b is held at between 8 to 12 volts. The unselected control gate lines 54 a and 54 c are held at 0 volts. All the source/ drain region lines 40 a, 40 b, and 40 c are held at 0 volts. In this manner all of the memory cells 60 b(1−n) are erased simultaneously, while no erase disturbance occurs with respect to the memory cells 60 in the other columns because all terminals to the memory cells 60 in all the other columns are at ground voltage.
  • Program [0056]
  • Let us assume that the second floating [0057] gate 36 b of the memory cell 60 b 2 is to be programmed. Then based upon the foregoing discussion, the voltages applied to the various lines are as follows: control gate line 54 b is at a positive voltage of between 2 to 3 volts. All the other unselected control gate lines 54 are held at 0 volts. Source/drain line 40 b is held at 0 volts. All the unselected source/drain line 40 that are adjacent to the source/drain line 40 b (on the side opposite source/drain 40 b), such as source/drain line 40 a, are held at 0 volts. Selected source/drain line 40 c is held at a positive voltage of between 10 to 15 volts. All unselected source/drain lines 40 adjacent to the source/drain line 40 b (on the side opposite source/drain 40 a), such as source/drain line 40 d, are held at a voltage of between 3 to 4 volts. The “disturbance” on the unselected memory cells 15 are as follows:
  • For the [0058] memory cells 60 in the unselected column, the application of 0 volts to control gate 54 means that none of the channel regions 70 for those memory cells 15 c(1−n) and 15 a(1−n) are turned on, because the second portion of the channel region (the portion to which the control gate 54 directly controls) are not turned on. Thus, there is no disturbance. For the memory cell 60 b 1 which is in the same selected column, but in an unselected row, the application of 0 volts to the source/ drain lines 40 a and 40 b means that the channel region 70 is not turned on. For the memory cell 60 b 3 which is on the same selected column, but in an unselected row, the application of 3-4 volts to source/drain line 40 d, which is greater than the voltage applied to the control gate 54 b means that the channel region will not be turned on. Similarly for all other memory cells 60 in the selected column but unselected row, the channel region 70 of those memory cells 60 will not be turned on, due to the voltage to the source/drain lines to that memory cell 60 being at the same voltage.
  • To program the first floating [0059] gate 36 a, the voltages applied to the source/ drain lines 40 b and 40 c are reversed. In addition, the other unselected row lines will have the following voltages applied: for all the unselected source/drain lines on the same side as the source/drain line 40 c, such as source/drain line 40 d, 0 volts is applied; for all the unselected source/drain lines on the same side as the source/drain line 40 b, such as source/drain line 40 a, 3-4 volts is applied.
  • Read [0060]
  • Let us assume that the second floating [0061] gate 36 b of the memory cell 60 b 2 is to be read. Then based upon the foregoing discussion, the voltages applied to the various lines are as follows: The source/drain line 40 b is held at a positive voltage of between 2 to 3.5 volts. The control gate line 54 b is held at a positive voltage between 1 to 2 volts. The source/drain line 40 c is held at 0 volts.
  • The voltages applied to the unselected [0062] control gate lines 54 are at ground or 0 volts. The voltage on the unselected source/drain lines 40 to the same side as the source/drain line 40 b, such as source/drain line 40 a, is also at 2 to 3.5 volts. The voltage on the unselected source/drain lines 40 to the same side as a the source/drain line 40 c, such as source/drain line 40 d, is at 0 volts. The “disturbance” on the unselected memory cells 60 is as follows:
  • For the [0063] memory cells 60 in the unselected columns, the application of 0 volts to control gate lines 54 means that none of the channel regions 70 for those memory cells 60 c(1−k) and 60 a(1−k) is turned on. Thus, there is no disturbance. For the memory cell 60 b 3 which is in the same selected column, but in an unselected row, the application of 0 volts to line 40 d, the same voltage as applied to source/drain 40 c means that the channel region 70 of the memory cell 60 b 3 is not turned on. Thus, little or no disturbance to memory cell 60 b 3 would occur. Similarly, for the memory cells 60 in the same selected column but unselected rows to the other side of the source/drain 40 b, there will not be any disturbance because the channel region 70 also will not be turned on, because the same voltage is applied to both of the source/drain lines 40 to each of the unselected memory cells 60, e.g. memory cell 60 b 1.
  • To read the first floating [0064] gate 36 a, the voltages applied to the source/drain region line 40 a are reversed from those applied to the source/drain region line 40 b. In addition, the voltage applied to the source/drain region 40 of all the lines to the same side as the source/drain line 40 b is reversed from that applied to the voltage applied to the same side as the source/drain lines 40 c.
  • From the foregoing it can be seen that a novel, high density non-volatile memory cell, array and method of manufacturing is disclosed. It should be appreciated that although the preferred embodiment has been described in which a single bit is stored in each of the two floating gates in a memory cell, it is also within the spirit of the present invention to store multi-bits on each one of the floating gates in a single memory cell, thereby increasing further the density of storage. [0065]

Claims (19)

1-25. (Cancelled)
26. A method of manufacturing a non-volatile memory cell in a substantially single crystalline semiconductive material of a first conductivity type, wherein said method comprising:
forming a first region and a second region in said material, with said first region and said second region being of a second conductivity type, different from said first conductivity type, with a channel region for the conduction of charges connecting said first region and said second region; said channel region, having a first portion and a second portion, with said first portion of said channel region adjacent to said first region and said second portion of said channel between said first portion and said second region;
forming a dielectric on said channel region;
forming a sacrificial layer on said dielectric;
forming a first cavity in said sacrificial layer, said first cavity being spaced apart from said first portion of said channel region;
forming a first floating gate in said first cavity; and
forming a gate electrode, capacitively coupled to said first floating gate, and spaced apart from said second portion of said channel region.
27. The method of claim 26 further comprising:
forming a second cavity in said sacrificial layer, said second cavity being spaced apart from said second portion of said channel region;
forming a second floating gate in said second cavity; and
wherein said step for forming said gate electrode forms said gate electrode capacitively coupled to said second floating gate.
28. The method of claim 26 wherein said sacrificial layer comprises a first layer of intrinsic polysilicon, a layer of doped polysilicon on said first layer of intrinsic polysilicon, and a second layer of intrinsic polysilicon on said layer of doped polysilicon.
29. The method of claim 26 wherein said sacrificial layer is silicon nitride.
30. The method of claim 26 further comprising:
forming a first and a second trench in said semiconductive material, spaced apart from one another; each of said trenches having a sidewall and a bottom wall; and
wherein said step for forming a first region and a second region in said material, comprises forming said first region and second region adjacent to said side wall of said first trench and said second trench, respectively.
31. The method of claim 28 wherein each of said first and second floating gates is substantially triangularly shaped.
32. The method of claim 31 wherein each of said first and second floating gate is made of polysilicon.
33. The method of claim 31 wherein each of said first and second floating gate is made of silicon nitride.
34. A method of manufacturing an array of non-volatile memory cells in a substantially single crystalline semiconductive material of a first conductivity type, wherein said array of non-volatile memory cells has a plurality of non-volatile memory cells arranged in a plurality of rows and columns in said semiconductive substrate material, said method comprising:
forming spaced apart isolation regions on said semiconductive substrate that are substantially parallel to one another and extend in a column direction, with an active region between each pair of adjacent isolation regions, wherein said semiconductive substrate has a surface;
forming a plurality of memory cells in each of the active regions, wherein the formation of each of the memory cells includes:
forming a first region and a second region in said material, with said first region and said second region being of a second conductivity type, different from said first conductivity type, with a channel region for the conduction of charges connecting said first region and said second region; said channel region, having a first portion and a second portion, with said first portion of said channel region adjacent to said first region and said second portion of said channel between said first portion and said second region;
forming a dielectric on said channel region;
forming a sacrificial layer on said dielectric;
forming a first cavity in said sacrificial layer, said first cavity being spaced apart from said first portion of said channel region;
forming a first floating gate in said first cavity; and
forming a gate electrode, capacitively coupled to said first floating gate, and spaced apart from said second portion of said channel region.
35. The method of claim 34 further comprising:
forming a second cavity in said sacrificial layer, said second cavity being spaced apart from said second portion of said channel region;
forming a second floating gate in said second cavity; and
wherein said step for forming said gate electrode forms said gate electrode capacitively coupled to said second floating gate.
36. The method of claim 34 wherein said sacrificial layer comprises a first layer of intrinsic polysilicon, a layer of doped polysilicon on said first layer of intrinsic polysilicon, and a second layer of intrinsic polysilicon on said layer of doped polysilicon.
37. The method of claim 34 wherein said sacrificial layer is silicon nitride.
38. The method of claim 35 further comprising:
forming a first and a second trench in said semiconductive material, spaced apart from one another; each of said trenches having a sidewall and a bottom wall; and
wherein said step for forming a first region and a second region in said material, comprises forming said first region and second region adjacent to said side wall of said first trench and said second trench, respectively.
39. The method of claim 36 wherein each of said first and second floating gates is substantially triangularly shaped.
40. The method of claim 39 wherein each of said first and second floating gate is made of polysilicon.
41. The method of claim 39 wherein each of said first and second floating gate is made of silicon nitride.
42. The method of claim 37 wherein said step of forming said first region and said second region includes forming said first region and said second region continuously in said row direction across a plurality of columns, and wherein adjacent rows of memory cells share a common first region.
43. The method of claim 37 wherein said step of forming said gate electrode includes forming said gate electrode continuously in said column direction across a plurality of memory cells.
US10/885,923 2003-04-07 2004-07-06 Non-volatile floating gate memory cell with floating gates formed in cavities, and array thereof, and method of formation Expired - Lifetime US6913975B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/885,923 US6913975B2 (en) 2003-04-07 2004-07-06 Non-volatile floating gate memory cell with floating gates formed in cavities, and array thereof, and method of formation

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/409,248 US6806531B1 (en) 2003-04-07 2003-04-07 Non-volatile floating gate memory cell with floating gates formed in cavities, and array thereof, and method of formation
US10/885,923 US6913975B2 (en) 2003-04-07 2004-07-06 Non-volatile floating gate memory cell with floating gates formed in cavities, and array thereof, and method of formation

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/409,248 Division US6806531B1 (en) 2003-04-07 2003-04-07 Non-volatile floating gate memory cell with floating gates formed in cavities, and array thereof, and method of formation

Publications (2)

Publication Number Publication Date
US20040245568A1 true US20040245568A1 (en) 2004-12-09
US6913975B2 US6913975B2 (en) 2005-07-05

Family

ID=33097822

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/409,248 Expired - Lifetime US6806531B1 (en) 2003-04-07 2003-04-07 Non-volatile floating gate memory cell with floating gates formed in cavities, and array thereof, and method of formation
US10/885,923 Expired - Lifetime US6913975B2 (en) 2003-04-07 2004-07-06 Non-volatile floating gate memory cell with floating gates formed in cavities, and array thereof, and method of formation

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/409,248 Expired - Lifetime US6806531B1 (en) 2003-04-07 2003-04-07 Non-volatile floating gate memory cell with floating gates formed in cavities, and array thereof, and method of formation

Country Status (5)

Country Link
US (2) US6806531B1 (en)
JP (1) JP4936644B2 (en)
KR (1) KR101025148B1 (en)
CN (1) CN100440514C (en)
TW (1) TWI326905B (en)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100575339B1 (en) * 2004-10-25 2006-05-02 에스티마이크로일렉트로닉스 엔.브이. Method of manufacturing a flash memory device
DE102005004596B4 (en) * 2005-02-01 2011-09-15 Austriamicrosystems Ag Process for producing rounded polysilicon electrodes on semiconductor devices
US7355236B2 (en) * 2005-12-22 2008-04-08 Taiwan Semiconductor Manufacturing Co., Ltd. Non-volatile floating gate memory cells with polysilicon storage dots and fabrication methods thereof
US7768812B2 (en) 2008-01-15 2010-08-03 Micron Technology, Inc. Memory cells, memory cell programming methods, memory cell reading methods, memory cell operating methods, and memory devices
KR100956601B1 (en) * 2008-03-25 2010-05-11 주식회사 하이닉스반도체 Vertical channel transister in semiconductor device and method for forming the same
US8034655B2 (en) 2008-04-08 2011-10-11 Micron Technology, Inc. Non-volatile resistive oxide memory cells, non-volatile resistive oxide memory arrays, and methods of forming non-volatile resistive oxide memory cells and memory arrays
US8211743B2 (en) 2008-05-02 2012-07-03 Micron Technology, Inc. Methods of forming non-volatile memory cells having multi-resistive state material between conductive electrodes
US8134137B2 (en) 2008-06-18 2012-03-13 Micron Technology, Inc. Memory device constructions, memory cell forming methods, and semiconductor construction forming methods
US9343665B2 (en) 2008-07-02 2016-05-17 Micron Technology, Inc. Methods of forming a non-volatile resistive oxide memory cell and methods of forming a non-volatile resistive oxide memory array
US8411477B2 (en) 2010-04-22 2013-04-02 Micron Technology, Inc. Arrays of vertically stacked tiers of non-volatile cross point memory cells, methods of forming arrays of vertically stacked tiers of non-volatile cross point memory cells, and methods of reading a data value stored by an array of vertically stacked tiers of non-volatile cross point memory cells
US8427859B2 (en) 2010-04-22 2013-04-23 Micron Technology, Inc. Arrays of vertically stacked tiers of non-volatile cross point memory cells, methods of forming arrays of vertically stacked tiers of non-volatile cross point memory cells, and methods of reading a data value stored by an array of vertically stacked tiers of non-volatile cross point memory cells
US8289763B2 (en) 2010-06-07 2012-10-16 Micron Technology, Inc. Memory arrays
US8351242B2 (en) 2010-09-29 2013-01-08 Micron Technology, Inc. Electronic devices, memory devices and memory arrays
US8759809B2 (en) 2010-10-21 2014-06-24 Micron Technology, Inc. Integrated circuitry comprising nonvolatile memory cells having platelike electrode and ion conductive material layer
TWI558022B (en) 2010-10-27 2016-11-11 康寧吉伯特公司 Push-on cable connector with a coupler and retention and release mechanism
US8796661B2 (en) 2010-11-01 2014-08-05 Micron Technology, Inc. Nonvolatile memory cells and methods of forming nonvolatile memory cell
US8526213B2 (en) 2010-11-01 2013-09-03 Micron Technology, Inc. Memory cells, methods of programming memory cells, and methods of forming memory cells
US9454997B2 (en) 2010-12-02 2016-09-27 Micron Technology, Inc. Array of nonvolatile memory cells having at least five memory cells per unit cell, having a plurality of the unit cells which individually comprise three elevational regions of programmable material, and/or having a continuous volume having a combination of a plurality of vertically oriented memory cells and a plurality of horizontally oriented memory cells; array of vertically stacked tiers of nonvolatile memory cells
US8431458B2 (en) 2010-12-27 2013-04-30 Micron Technology, Inc. Methods of forming a nonvolatile memory cell and methods of forming an array of nonvolatile memory cells
CN102593061B (en) * 2011-01-07 2015-12-02 上海华虹宏力半导体制造有限公司 Flash memory of discrete gate and manufacture method thereof
US8791447B2 (en) 2011-01-20 2014-07-29 Micron Technology, Inc. Arrays of nonvolatile memory cells and methods of forming arrays of nonvolatile memory cells
US8488365B2 (en) 2011-02-24 2013-07-16 Micron Technology, Inc. Memory cells
US8537592B2 (en) 2011-04-15 2013-09-17 Micron Technology, Inc. Arrays of nonvolatile memory cells and methods of forming arrays of nonvolatile memory cells
CN102956643A (en) * 2011-08-24 2013-03-06 硅存储技术公司 Non-volatile floating gate storage unit manufacturing method and storage unit manufactured by same
US9548380B2 (en) 2013-03-14 2017-01-17 Silicon Storage Technology, Inc. Non-volatile memory cell having a trapping charge layer in a trench and an array and a method of manufacturing therefor

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5021999A (en) * 1987-12-17 1991-06-04 Mitsubishi Denki Kabushiki Kaisha Non-volatile semiconductor memory device with facility of storing tri-level data
US5029130A (en) * 1990-01-22 1991-07-02 Silicon Storage Technology, Inc. Single transistor non-valatile electrically alterable semiconductor memory device
US5768192A (en) * 1996-07-23 1998-06-16 Saifun Semiconductors, Ltd. Non-volatile semiconductor memory cell utilizing asymmetrical charge trapping
US6002152A (en) * 1992-01-14 1999-12-14 Sandisk Corporation EEPROM with split gate source side injection with sidewall spacers
US6011725A (en) * 1997-08-01 2000-01-04 Saifun Semiconductors, Ltd. Two bit non-volatile electrically erasable and programmable semiconductor memory cell utilizing asymmetrical charge trapping
US6093945A (en) * 1998-07-09 2000-07-25 Windbond Electronics Corp. Split gate flash memory with minimum over-erase problem
US6103573A (en) * 1999-06-30 2000-08-15 Sandisk Corporation Processing techniques for making a dual floating gate EEPROM cell array
US6281545B1 (en) * 1997-11-20 2001-08-28 Taiwan Semiconductor Manufacturing Company Multi-level, split-gate, flash memory cell
US6329685B1 (en) * 1999-09-22 2001-12-11 Silicon Storage Technology, Inc. Self aligned method of forming a semiconductor memory array of floating gate memory cells and a memory array made thereby
US20020056870A1 (en) * 1999-06-30 2002-05-16 Hyundai Electronics Industries Co., Ltd. Flash EEPROM cell and method of manufacturing the same
US6426896B1 (en) * 2000-05-22 2002-07-30 Actrans System Inc. Flash memory cell with contactless bit line, and process of fabrication
US20020163031A1 (en) * 2001-05-02 2002-11-07 Chien-Hung Liu Dual-bit flash memory built from a discontinuous floating gate
US6597036B1 (en) * 2000-04-15 2003-07-22 Samsung Electronics Co., Ltd. Multi-value single electron memory using double-quantum dot and driving method thereof

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS538074A (en) * 1976-07-12 1978-01-25 Hitachi Ltd Mis type semiconductor device
JP2964969B2 (en) * 1996-12-20 1999-10-18 日本電気株式会社 Nonvolatile semiconductor memory device and method of manufacturing the same
JP3973819B2 (en) * 1999-03-08 2007-09-12 株式会社東芝 Semiconductor memory device and manufacturing method thereof
US6133098A (en) * 1999-05-17 2000-10-17 Halo Lsi Design & Device Technology, Inc. Process for making and programming and operating a dual-bit multi-level ballistic flash memory
US6525371B2 (en) * 1999-09-22 2003-02-25 International Business Machines Corporation Self-aligned non-volatile random access memory cell and process to make the same
US6868015B2 (en) * 2000-09-20 2005-03-15 Silicon Storage Technology, Inc. Semiconductor memory array of floating gate memory cells with control gate spacer portions
JP3683895B2 (en) * 2001-11-21 2005-08-17 シャープ株式会社 Semiconductor memory device and portable electronic device
US6806517B2 (en) * 2003-03-17 2004-10-19 Samsung Electronics Co., Ltd. Flash memory having local SONOS structure using notched gate and manufacturing method thereof

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5021999A (en) * 1987-12-17 1991-06-04 Mitsubishi Denki Kabushiki Kaisha Non-volatile semiconductor memory device with facility of storing tri-level data
US5029130A (en) * 1990-01-22 1991-07-02 Silicon Storage Technology, Inc. Single transistor non-valatile electrically alterable semiconductor memory device
US6002152A (en) * 1992-01-14 1999-12-14 Sandisk Corporation EEPROM with split gate source side injection with sidewall spacers
US5768192A (en) * 1996-07-23 1998-06-16 Saifun Semiconductors, Ltd. Non-volatile semiconductor memory cell utilizing asymmetrical charge trapping
US6011725A (en) * 1997-08-01 2000-01-04 Saifun Semiconductors, Ltd. Two bit non-volatile electrically erasable and programmable semiconductor memory cell utilizing asymmetrical charge trapping
US6281545B1 (en) * 1997-11-20 2001-08-28 Taiwan Semiconductor Manufacturing Company Multi-level, split-gate, flash memory cell
US6093945A (en) * 1998-07-09 2000-07-25 Windbond Electronics Corp. Split gate flash memory with minimum over-erase problem
US6103573A (en) * 1999-06-30 2000-08-15 Sandisk Corporation Processing techniques for making a dual floating gate EEPROM cell array
US20020056870A1 (en) * 1999-06-30 2002-05-16 Hyundai Electronics Industries Co., Ltd. Flash EEPROM cell and method of manufacturing the same
US6420231B1 (en) * 1999-06-30 2002-07-16 Sandisk Corporation Processing techniques for making a dual floating gate EEPROM cell array
US6329685B1 (en) * 1999-09-22 2001-12-11 Silicon Storage Technology, Inc. Self aligned method of forming a semiconductor memory array of floating gate memory cells and a memory array made thereby
US6597036B1 (en) * 2000-04-15 2003-07-22 Samsung Electronics Co., Ltd. Multi-value single electron memory using double-quantum dot and driving method thereof
US6426896B1 (en) * 2000-05-22 2002-07-30 Actrans System Inc. Flash memory cell with contactless bit line, and process of fabrication
US20020163031A1 (en) * 2001-05-02 2002-11-07 Chien-Hung Liu Dual-bit flash memory built from a discontinuous floating gate

Also Published As

Publication number Publication date
KR20040087925A (en) 2004-10-15
JP2004312019A (en) 2004-11-04
KR101025148B1 (en) 2011-03-31
US6913975B2 (en) 2005-07-05
US6806531B1 (en) 2004-10-19
TW200511513A (en) 2005-03-16
CN1538526A (en) 2004-10-20
JP4936644B2 (en) 2012-05-23
CN100440514C (en) 2008-12-03
US20040195614A1 (en) 2004-10-07
TWI326905B (en) 2010-07-01

Similar Documents

Publication Publication Date Title
US6913975B2 (en) Non-volatile floating gate memory cell with floating gates formed in cavities, and array thereof, and method of formation
US7205198B2 (en) Method of making a bi-directional read/program non-volatile floating gate memory cell
US7307308B2 (en) Buried bit line non-volatile floating gate memory cell with independent controllable control gate in a trench, and array thereof, and method of formation
US9449693B2 (en) Split gate NAND flash memory structure and array, method of programming, erasing and reading thereof, and method of manufacturing
US7151021B2 (en) Bi-directional read/program non-volatile floating gate memory cell and array thereof, and method of formation
US7547603B2 (en) Non-planar non-volatile memory cell with an erase gate, an array therefor, and a method of making same
US7851846B2 (en) Non-volatile memory cell with buried select gate, and method of making same
US6818509B2 (en) Methods of fabricating electrically erasable programmable read-only memory (EEPROM) devices including multilayer sense and select transistor gates
WO2000051188A1 (en) Flash memory cell with self-aligned gates and fabrication process
JP2005528801A (en) Non-volatile semiconductor memory dense array structure
US7358559B2 (en) Bi-directional read/program non-volatile floating gate memory array, and method of formation
KR20000076852A (en) Semiconductor memory device and method of manufacturing the same
US7183163B2 (en) Method of manufacturing an isolation-less, contact-less array of bi-directional read/program non-volatile floating gate memory cells with independent controllable control gates
KR20060043534A (en) Buried bit line non-volatile floating gate memory cell with independent controllable control gate in a trench, and array thereof, and method of formation
KR20060079693A (en) 2-bit non-volatile memory device and method of manufacturing the same
US20030089944A1 (en) Electrically erasable programmable read-only memory (EEPROM) devices including multilayer sense and select transistor gates

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12

AS Assignment

Owner name: JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT, ILLINOIS

Free format text: SECURITY INTEREST;ASSIGNOR:SILICON STORAGE TECHNOLOGY, INC.;REEL/FRAME:041675/0316

Effective date: 20170208

Owner name: JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT

Free format text: SECURITY INTEREST;ASSIGNOR:SILICON STORAGE TECHNOLOGY, INC.;REEL/FRAME:041675/0316

Effective date: 20170208

AS Assignment

Owner name: JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT, ILLINOIS

Free format text: SECURITY INTEREST;ASSIGNORS:MICROCHIP TECHNOLOGY INCORPORATED;SILICON STORAGE TECHNOLOGY, INC.;ATMEL CORPORATION;AND OTHERS;REEL/FRAME:046426/0001

Effective date: 20180529

Owner name: JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT

Free format text: SECURITY INTEREST;ASSIGNORS:MICROCHIP TECHNOLOGY INCORPORATED;SILICON STORAGE TECHNOLOGY, INC.;ATMEL CORPORATION;AND OTHERS;REEL/FRAME:046426/0001

Effective date: 20180529

AS Assignment

Owner name: WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT, CALIFORNIA

Free format text: SECURITY INTEREST;ASSIGNORS:MICROCHIP TECHNOLOGY INCORPORATED;SILICON STORAGE TECHNOLOGY, INC.;ATMEL CORPORATION;AND OTHERS;REEL/FRAME:047103/0206

Effective date: 20180914

Owner name: WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES C

Free format text: SECURITY INTEREST;ASSIGNORS:MICROCHIP TECHNOLOGY INCORPORATED;SILICON STORAGE TECHNOLOGY, INC.;ATMEL CORPORATION;AND OTHERS;REEL/FRAME:047103/0206

Effective date: 20180914

AS Assignment

Owner name: JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT, DELAWARE

Free format text: SECURITY INTEREST;ASSIGNORS:MICROCHIP TECHNOLOGY INC.;SILICON STORAGE TECHNOLOGY, INC.;ATMEL CORPORATION;AND OTHERS;REEL/FRAME:053311/0305

Effective date: 20200327

AS Assignment

Owner name: MICROSEMI CORPORATION, CALIFORNIA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A, AS ADMINISTRATIVE AGENT;REEL/FRAME:053466/0011

Effective date: 20200529

Owner name: MICROCHIP TECHNOLOGY INC., ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A, AS ADMINISTRATIVE AGENT;REEL/FRAME:053466/0011

Effective date: 20200529

Owner name: SILICON STORAGE TECHNOLOGY, INC., ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A, AS ADMINISTRATIVE AGENT;REEL/FRAME:053466/0011

Effective date: 20200529

Owner name: ATMEL CORPORATION, ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A, AS ADMINISTRATIVE AGENT;REEL/FRAME:053466/0011

Effective date: 20200529

Owner name: MICROSEMI STORAGE SOLUTIONS, INC., ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A, AS ADMINISTRATIVE AGENT;REEL/FRAME:053466/0011

Effective date: 20200529

AS Assignment

Owner name: WELLS FARGO BANK, NATIONAL ASSOCIATION, MINNESOTA

Free format text: SECURITY INTEREST;ASSIGNORS:MICROCHIP TECHNOLOGY INC.;SILICON STORAGE TECHNOLOGY, INC.;ATMEL CORPORATION;AND OTHERS;REEL/FRAME:053468/0705

Effective date: 20200529

AS Assignment

Owner name: WELLS FARGO BANK, NATIONAL ASSOCIATION, AS COLLATERAL AGENT, MINNESOTA

Free format text: SECURITY INTEREST;ASSIGNORS:MICROCHIP TECHNOLOGY INCORPORATED;SILICON STORAGE TECHNOLOGY, INC.;ATMEL CORPORATION;AND OTHERS;REEL/FRAME:055671/0612

Effective date: 20201217

AS Assignment

Owner name: WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT, MINNESOTA

Free format text: SECURITY INTEREST;ASSIGNORS:MICROCHIP TECHNOLOGY INCORPORATED;SILICON STORAGE TECHNOLOGY, INC.;ATMEL CORPORATION;AND OTHERS;REEL/FRAME:057935/0474

Effective date: 20210528

AS Assignment

Owner name: MICROSEMI STORAGE SOLUTIONS, INC., ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT;REEL/FRAME:059333/0222

Effective date: 20220218

Owner name: MICROSEMI CORPORATION, ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT;REEL/FRAME:059333/0222

Effective date: 20220218

Owner name: ATMEL CORPORATION, ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT;REEL/FRAME:059333/0222

Effective date: 20220218

Owner name: SILICON STORAGE TECHNOLOGY, INC., ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT;REEL/FRAME:059333/0222

Effective date: 20220218

Owner name: MICROCHIP TECHNOLOGY INCORPORATED, ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT;REEL/FRAME:059333/0222

Effective date: 20220218

AS Assignment

Owner name: SILICON STORAGE TECHNOLOGY, INC., ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT;REEL/FRAME:059687/0344

Effective date: 20220218

AS Assignment

Owner name: MICROSEMI STORAGE SOLUTIONS, INC., ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT;REEL/FRAME:059358/0001

Effective date: 20220228

Owner name: MICROSEMI CORPORATION, ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT;REEL/FRAME:059358/0001

Effective date: 20220228

Owner name: ATMEL CORPORATION, ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT;REEL/FRAME:059358/0001

Effective date: 20220228

Owner name: SILICON STORAGE TECHNOLOGY, INC., ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT;REEL/FRAME:059358/0001

Effective date: 20220228

Owner name: MICROCHIP TECHNOLOGY INCORPORATED, ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT;REEL/FRAME:059358/0001

Effective date: 20220228

AS Assignment

Owner name: MICROSEMI STORAGE SOLUTIONS, INC., ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT;REEL/FRAME:059863/0400

Effective date: 20220228

Owner name: MICROSEMI CORPORATION, ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT;REEL/FRAME:059863/0400

Effective date: 20220228

Owner name: ATMEL CORPORATION, ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT;REEL/FRAME:059863/0400

Effective date: 20220228

Owner name: SILICON STORAGE TECHNOLOGY, INC., ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT;REEL/FRAME:059863/0400

Effective date: 20220228

Owner name: MICROCHIP TECHNOLOGY INCORPORATED, ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT;REEL/FRAME:059863/0400

Effective date: 20220228

AS Assignment

Owner name: MICROSEMI STORAGE SOLUTIONS, INC., ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT;REEL/FRAME:059363/0001

Effective date: 20220228

Owner name: MICROSEMI CORPORATION, ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT;REEL/FRAME:059363/0001

Effective date: 20220228

Owner name: ATMEL CORPORATION, ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT;REEL/FRAME:059363/0001

Effective date: 20220228

Owner name: SILICON STORAGE TECHNOLOGY, INC., ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT;REEL/FRAME:059363/0001

Effective date: 20220228

Owner name: MICROCHIP TECHNOLOGY INCORPORATED, ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT;REEL/FRAME:059363/0001

Effective date: 20220228

AS Assignment

Owner name: MICROSEMI STORAGE SOLUTIONS, INC., ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT;REEL/FRAME:060894/0437

Effective date: 20220228

Owner name: MICROSEMI CORPORATION, ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT;REEL/FRAME:060894/0437

Effective date: 20220228

Owner name: ATMEL CORPORATION, ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT;REEL/FRAME:060894/0437

Effective date: 20220228

Owner name: SILICON STORAGE TECHNOLOGY, INC., ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT;REEL/FRAME:060894/0437

Effective date: 20220228

Owner name: MICROCHIP TECHNOLOGY INCORPORATED, ARIZONA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS NOTES COLLATERAL AGENT;REEL/FRAME:060894/0437

Effective date: 20220228