US20040251549A1 - Hybrid copper/low k dielectric interconnect integration method and device - Google Patents

Hybrid copper/low k dielectric interconnect integration method and device Download PDF

Info

Publication number
US20040251549A1
US20040251549A1 US10/602,751 US60275103A US2004251549A1 US 20040251549 A1 US20040251549 A1 US 20040251549A1 US 60275103 A US60275103 A US 60275103A US 2004251549 A1 US2004251549 A1 US 2004251549A1
Authority
US
United States
Prior art keywords
dielectric
hybrid
hsq
dielectric layer
dielectric constant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/602,751
Inventor
Tai-Chun Huang
Chih-Hsiang Yao
Kang-Cheng Lin
Chin Hsia
Mong Liang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US10/602,751 priority Critical patent/US20040251549A1/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HSIA, CHIN CHIOU, HUANG, TAI-CHUN, LIANG, MONG SONG, LIN, KANG-CHENG, YAO, CHIH-HSIANG
Publication of US20040251549A1 publication Critical patent/US20040251549A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates generally to the field of semiconductor devices and more particularly to semiconductor devices having a multi-level metallization stack in which different inter-level dielectric layers having differing mechanical and electrical properties are employed.
  • the dielectric constant, k is a value of a material's insulating properties.
  • Low k dielectric materials are becoming increasingly popular in integrated circuits because of the improved electrical performance that can be obtained through the use of low k dielectrics as inter-metal or inter-level insulating material.
  • the RC time constant of a device or circuit employing low k dielectrics can be substantially reduced over traditional inter-level dielectrics, thus allowing for faster switching speeds and improved device performance.
  • Low k dielectric materials involve a trade-off, however, because such materials typically have relatively poor mechanical properties compared to traditional dielectrics. Generally, the lower the dielectric constant, the poorer the mechanical strength of the material. This is because the low k dielectric constant is typically achieved as a result of materials having a relatively high degree of porosity. The more porous the material, the lower its dielectric constant, but also the lesser its mechanical strength. Typically, the cracking threshold is lower for a low k dielectric material and the coefficient of thermal expansion is greater. Additionally, the increased porosity of the materials results in poor adhesion with subsequently applied thin films. These properties of low k dielectric materials (aka low k dielectrics) are an undesirable trade-off for the improved electrical characteristics.
  • inter-level also known as inter-metal, dielectrics to insulate one metal level from another.
  • metal levels are stacked atop one another to form the complete integrated circuit, with the inter-level dielectric layers acting as an insulating material there between.
  • the inter-level dielectric material also acts as a supporting layer in which the metal traces are formed.
  • Integrated circuits having six, eight, and an even greater number of stacked metal layers are known in the art. Trends suggest that the number of stacked metal layers will increase over time.
  • a single dielectric material such as fluorine-doped silica glass (FSG) or undoped silicon glass (USG) will be employed throughout the stacked metal layers of a conventional multi-metal-layer integrated circuit.
  • FSG fluorine-doped silica glass
  • USG undoped silicon glass
  • the inter-level dielectric material used between metal layers will be a composite of more than one dielectric material. In such devices, however, the same composite material will be used throughout the metal layers, from bottom to top.
  • the present invention provides for an integrated circuit comprising a substrate having a top surface and a first dielectric layer formed above the substrate having a trench formed therein.
  • the first dielectric layer has a first dielectric constant.
  • a first metal layer is formed within the trench of the first dielectric layer.
  • the integrated circuit further includes a second dielectric layer formed above the first metal layer and having a trench formed therein, the second dielectric layer having a second dielectric constant.
  • a second metal layer is formed within the trench of the second dielectric layer.
  • the integrated circuit also includes a third dielectric layer formed above the second metal layer and having a trench formed therein, the third dielectric layer having a third dielectric constant, and a third metal layer formed within the trench of the first dielectric layer.
  • the present invention provides for a method of forming an integrated circuit comprising forming a transistor within a substrate, depositing a first dielectric material over the transistor, forming an opening to the transistor in the first dielectric material, and depositing a first metal pattern within the first dielectric material.
  • the method further includes depositing a second dielectric material having a higher dielectric constant than the first dielectric material over the first metal pattern, forming an opening to the first metal pattern in the second dielectric material, and depositing a second metal pattern within the second dielectric material.
  • the method also includes depositing a third dielectric material having a higher dielectric constant than the first and second dielectric material over the second metal pattern, forming an opening to the second metal pattern in the third dielectric material, and depositing a third metal pattern in the third dielectric material.
  • the present invention provides for an integrated circuit.
  • the integrated circuit includes a substrate and a plurality of transistors formed on the substrate, and a plurality of isolation regions electrically isolating at least one of the plurality of transistors from at least one other of the transistors.
  • the integrated circuit includes a first dielectric layer having a first dielectric constant formed above the substrate and having formed therein a via to a transistor, and an interconnect structure.
  • the integrated circuit further includes a second dielectric layer having a second dielectric constant formed above the first dielectric layer and having formed therein a second interconnect structure, and a third dielectric layer having a second dielectric constant formed above the second dielectric layer and having formed therein a third interconnect structure.
  • An advantageous feature of the present invention is that in those regions where the dielectric constant is of most importance, materials having very good electrical characteristics, albeit with less than ideal mechanical characteristics can be employed, whereas in those regions where electrical performance of the dielectric is not as critical, other dielectric materials—having acceptable dielectric properties and improved mechanical properties—can be employed.
  • the selected dielectric material employed provides for the best combination of electrical and mechanical properties, depending upon the needs of the specific metal layer(s) in which the dielectric is to be employed.
  • FIG. 1 illustrates in cross-section an integrated circuit in which a preferred embodiment of the present invention is provided
  • FIGS. 2 a through 2 h illustrate in cross-section stages of the manufacture of preferred embodiment devices.
  • FIG. 1 illustrates a portion of an integrated circuit embodying aspects of the present invention.
  • device 100 includes a first transistor 2 and a second transistor 4 , separated by an isolation region 6 , all formed within substrate 8 .
  • Substrate 8 is illustrated as a single semiconductor wafer, such as a single crystal silicon wafer.
  • substrate 8 could comprise a thin silicon layer formed over a buried oxide, such as a silicon-on-insulator (SOI) substrate.
  • SOI silicon-on-insulator
  • transistors 2 and 4 could be formed using conventional CMOS processing technology and could form the basic components of, e.g., a CMOS inverter.
  • Doped regions 10 , 12 of transistor 2 and doped regions 14 , 16 of transistor 4 could be formed of N-type and P-type impurities, respectively, for instance.
  • Gate 18 of transistor 2 and gate 20 of transistor 4 is preferably a polysilicon gate electrode separated from the substrate by a thin gate oxide ( 22 and 24 , respectively) and preferably having sidewall spacers ( 26 and 28 , respectively) providing further insulation as are well known in the art.
  • device 100 includes a stack of ten metallization layers.
  • These metallization layers will interconnect transistors 2 and 4 to other transistors and devices (not shown) on the integrated circuit, including ground nodes and voltage nodes, but will also connect the various components of the integrated circuit to circuitry, signals, and voltages external to the integrated circuit device.
  • Dielectric layer 27 overlies and electrically insulates the transistors 2 and 4 (and other components and devices formed within or on substrate 8 ) from subsequently formed layers, such as metal layer 32 .
  • First metal pattern 32 is formed above the transistors and is electrically coupled to the transistors via contacts 29 . This first metal pattern is electrically insulated from subsequently formed metal patterns, such as second metal pattern 38 , by dielectric layer 34 and etch stop layer 36 , and by dielectric layer 40 .
  • dielectric layer 40 is preferably an extremely low k dielectric material, preferably having a dielectric constant of below 2.8 and even more preferably having a dielectric constant in the range of 2.2 to 2.5.
  • Extremely low k dielectric layer 40 is preferably formed of an oxide and methylsilsesquioxane (“MSQ”) hybrid, an MSQ derivative, porogen/MSQa hybrid, an Oxide/Hydrogen silsesquioxane (“HSQ,” also known as Hydridosilsesquioxane) hybrid, an HSQ derivative, a porogen/HSQ hybrid, and the like.
  • MSQ oxide and methylsilsesquioxane
  • HSQ Oxide/Hydrogen silsesquioxane
  • PTFE poly tetra fluoro ethylene
  • low k dielectrics such as SiLK available from Dow Chemicals of Midland, Mich., Flare, available from AlliedSignal of Morristown, N.J., and Black Diamond, available from Applied Materials of Santa Clara, Calif.
  • the layers are preferably deposited using a chemical vapor deposition (“CVD”) or spin-on coating technique, although other deposition techniques could be employed as well.
  • CVD chemical vapor deposition
  • the middle-level dielectric layers are preferably deposited to a thickness of approximately 2,000 to 9,000 Angstroms.
  • the preferred thickness range will be a matter of design choice and will likely decrease as device critical dimensions shrink and processing controls improve over time. Materials such as these provide for exceptionally good electrical characteristics, such as low RC constants and hence fast switching speeds. These materials suffer from less than ideal mechanical properties, however.
  • metal pattern 42 is formed within dielectric layer 44 and electrically insulated from metal layer 38 (except for those regions in which electrical contact is desired) by dielectric layer 44 .
  • This dielectric layer and dielectric layer 52 in which subsequently formed metal pattern 50 is formed are preferably formed from the same extremely low k dielectric material as layer 40 .
  • etch stop layers 46 , 48 and 54 are also shown in FIG. 1 , which are used to protect the dielectric layers 40 , 44 and 52 , respectively, during etching of trenches in the subsequently formed dielectric layers 44 , 52 and 58 , respectively, as will be explained in greater detail below.
  • dielectric layer 58 in which metal pattern 56 is formed.
  • This layer being formed in the middle region of the ten layer stack, requires both good electrical characteristics (i.e., low k) and also good mechanical performance.
  • the device performance is not as subject to the dielectric constant as at the lower metal layers.
  • the inter-level dielectric at these layers can be formed of a material having electrical characteristics (i.e., dielectric constants) that are not as low as the lower level dielectrics ( 40 , 44 , 52 ), but which have improved mechanical properties.
  • mid-stack dielectric layer 58 as well as layers 64 , 70 and 76 in which are formed mid-stack metal patterns 56 , 62 , 68 and 74 , respectively, are formed of a different extremely low k dielectric material preferably having a dielectric constant in the range of between 2.5 and 4.2 and even more preferably in the range of between 2.5 and 3.3 (using etch stop layers 60 , 66 and 72 , respectively).
  • Extremely low k dielectric layers 58 , 64 , and 70 are preferably formed of an oxide and methylsilsesquioxane (“MSQ”) hybrid, an MSQ derivative, porogen/MSQa hybrid, an Oxide/Hydrogen silsesquioxane (“HSQ,” also known as Hydridosilsesquioxane) hybrid, an HSQ derivative, a porogen/HSQ hybrid, and the like.
  • MSQ oxide and methylsilsesquioxane
  • HSQ Oxide/Hydrogen silsesquioxane
  • PTFE poly tetra fluoro ethylene
  • low k dielectrics such as SiLK available from Dow Chemicals of Midland, Mich., Flare, available from AlliedSignal of Morristown, N.J., and Black Diamond, available from Applied Materials of Santa Clara, Calif.
  • the layers are preferably deposited using a chemical vapor deposition (“CVD”) or spin-on coating technique, although other deposition techniques could be employed as well.
  • CVD chemical vapor deposition
  • the middle-level dielectric layers are preferably deposited to a thickness of approximately 2,000 to 7,000 Angstroms.
  • the preferred thickness range will be a matter of design choice and will likely decrease as device critical dimensions shrink and processing controls improve over time.
  • dielectric constant of the inter-level dielectrics while still important—becomes less critical.
  • inter-level dielectrics with a higher dielectric constant can be tolerated in order to employ materials having even further improved mechanical characteristics.
  • dielectric layers formed at or near the top of the multi-layer metal stack are preferably formed using a dielectric material that has acceptable electrical characteristics, albeit relatively higher than the extremely low k dielectrics used lower in the stack.
  • dielectric layers 94 , 92 , 84 , and 82 are formed of a material having a dielectric constant in the range of about 3.0 to 4.2.
  • a material having a dielectric constant in the range of about 3.0 to 4.2 is undoped silicon glass (USG) that can be spun onto the substrate surface and subsequently patterned.
  • USG undoped silicon glass
  • FSG or other well known alternatives, having acceptably low k characteristics, may be employed. While the layer thickness is a matter of design choice and process control, the upper layers would be typically deposited to a thickness in the range of from 6,000 to 15,000 Angstroms. Note that etch stop layers 78 , 81 , 88 , and 98 are employed in the damascene process, as described above.
  • metal layers one through eight are illustrated as having been formed using dual damascene processing (i.e., both the via and the interconnect trench are formed together), whereas top metal layers 80 and 90 are shown as having been formed using a single damascene process.
  • dual damascene processing i.e., both the via and the interconnect trench are formed together
  • top metal layers 80 and 90 are shown as having been formed using a single damascene process.
  • an etch stop layer 98 is formed over top level metal pattern 90 .
  • Passivation layers 102 and 104 are then formed over the top level metal as is known in the art. These passivation layers 102 and 104 are preferably formed of plasma enhanced SiN and plasma enhanced undoped silicon glass (USG) respectively.
  • the metal patterns at the bottom of the stack are small relative to the metal patterns at the top of the stack (e.g., 90 ). This is because there is a significantly greater number and density of interconnections at the lower levels of the stack. This causes a greater packing density for the lower level metal patterns (i.e., smaller feature sizes and closer spacings). This in turn increases the need for the improved electrical and dielectric characteristics as described herein.
  • FIGS. 2 a through 2 h further processing details will be provided for an exemplary device 200 .
  • device 200 will be illustrated as having only three metal patterns. This is simply to illustrate the basic processing steps. In actual application, there would be two or more of each type of metal pattern and dielectric layer described herein. In fact, as the number of metal pattern layers increases, the advantageous features of the present invention become more pronounced.
  • transistor 202 has been formed within and on substrate 204 .
  • substrate 204 is a silicon-on-insulator substrate including a semiconductor layer 206 formed over a buried oxide layer 208 that is formed on a supporting substrate 210 .
  • substrate 204 could be a single-crystal silicon wafer or other appropriate material providing sufficient mechanical and electrical characteristics.
  • transistor 202 preferably has a gate size of 0.13 microns, 90 nm, or less. This is because the dense packing and high switching speeds of small geometry devices particularly require the advantageous features offered by the present invention.
  • transistor 2 is illustrated as a conventional MOSFET transistor, the teachings of the present invention are not limited to MOSFET transistors or to planar transistors. Rather the present invention applies equally to any electrical component or structure in which it is desired to make electrical connection.
  • Dielectric layer 212 has been formed above the substrate to insulate MOSFET 2 from subsequently formed metal layers.
  • dielectric layer 212 is preferably formed by CVD deposition of a phosphorous doped silicon glass (“PSG”) layer to a thickness of about 4,000 to 12,000 Angstroms.
  • PSG phosphorous doped silicon glass
  • dielectric layer 212 could be a CVD or PECVD deposited SiO 2 .
  • dielectric layer 212 could be formed from a low k dielectric material.
  • Contact openings are formed in dielectric layer 212 and are filled with a conductive material as shown in FIG. 2 b.
  • the contact opening are filled with a conductive plug 214 .
  • Plug 214 may comprises tungsten, aluminum, doped polysilicon, or some other appropriate conductive material.
  • plug 214 will also include adhesion and barrier layers (not shown), such as titanium and titanium nitride, respectively, for improved device characteristics.
  • a damascene process could be employed in lieu of plugs 214 . In such a process, trenches and holes are formed in dielectric layer 214 and are subsequently filled by growing or depositing a conductive material such as copper within the trenches and holes. In the embodiment illustrated in FIG. 1, the contact holes are filled using plug technology.
  • an etch stop layer 216 is formed above dielectric layer 212 .
  • Etch stop layer 216 which may also serve to provide improved adhesion for subsequently formed layers is preferably formed of e.g., SiC, SiCO, SiCN, or combinations thereof.
  • layer 216 is deposited using CVD or PECVD to a thickness of 200 to 1,000 Angstroms.
  • first metal pattern is formed using a single damascene process.
  • dielectric layer 220 is formed first and trenches are formed within the dielectric layer, preferably using conventional photolithographic and etching techniques.
  • Etch stop layer 216 preferably prevents the step of etching dielectric layer 220 from etching or otherwise negatively impacting underlying dielectric layer 212 . Note that prior to forming metal pattern 218 , etch stop layer 216 will need to be selectively removed in those regions where it is desired to make electrical contact between, e.g., plugs 214 and first metal pattern 218 .
  • metal pattern 218 is formed by depositing metal into the trenches.
  • metal pattern 218 is formed of copper or a copper aluminum alloy that is blanket deposited over the top surface of the device, and subsequently planarized so that it remains only in the trenches.
  • the planarization is preferably performed using a chemical mechanical polishing (CMP) process.
  • CMP chemical mechanical polishing
  • etch stop layer 222 is deposited over the top surface. Etch stop layer 222 is preferably although not necessarily the same material as used for etch stop layer 216 .
  • a second metal pattern 228 will now be described with reference to FIG. 2 d.
  • This second metal pattern is preferably formed using a dual damascene process in which vias (or electrical connections to first metal pattern) are formed and the metal interconnects are formed in an integrated fashion within a single dielectric layer.
  • vias or electrical connections to first metal pattern
  • the metal interconnects are formed in an integrated fashion within a single dielectric layer.
  • circuit performance characteristics dictate that parasitic capacitance between metal layers be minimized.
  • the electrical characteristics of the inter-level dielectrics play a crucial role and extremely low k dielectrics are particularly desirable.
  • extremely low k dielectric layer 224 has been deposited to a thickness of approximately 2,000 to 7,000 Angstroms above etch stop 222 . Note that, prior to the deposition of dielectric layer 224 , etch stop 222 has been removed in those regions where it is desirable to make electrical contact to the underlying metal pattern 218 .
  • dielectric layer 224 is preferably spun on or CVD deposited using one or more of the various well-known extremely low k dielectrics such as an oxide and methylsilsesquioxane (“MSQ”) hybrid, an MSQ derivative, porogen/MSQa hybrid, an Oxide/Hydrogen silsesquioxane (“HSQ,” also known as Hydridosilsesquioxane) hybrid, an HSQ derivative, a porogen/HSQ hybrid, and the like.
  • MSQ oxide and methylsilsesquioxane
  • HSQ Oxide/Hydrogen silsesquioxane
  • dielectric layer 224 preferably has a dielectric constant of below 2.8 and more preferably in the range of 2.2 to 2.5.
  • Photoresist 226 is formed over dielectric layer 224 and patterned using conventional photolithographic techniques, also as illustrated in FIG. 2 d. This photoresist layer 226 will be used to trench vias in dielectric layer 224 in order to make electrical contact with metal interconnects 218 . For clarity, only one opening in photoresist 226 is shown in FIG. 2 d. One skilled in the art will recognize that multiple openings will in reality be formed in order to make multiple contacts to the underlying metal layer 218 .
  • Extremely low k dielectric layer 224 is then etched away in the region beneath the opening in photoresist 226 , as shown in FIG. 2 e.
  • Dielectric layer 224 is anisotropically etched, preferably by plasma enhanced dry etch.
  • Dielectric layer 224 is etched back to form a trench in which will be subsequently formed a metal interconnect, as described below.
  • photoresist 226 is removed and a second photoresist layer (not shown) in formed over the device, this second photoresist layer having an opening in it corresponding to the via to be etched into dielectric layer 224 .
  • a second etch step is carried out, resulting in the trench and via profile shown in FIG. 2 f.
  • the trench and via are then filled with copper or a copper alloy in a blanket deposition process which also covers the surrounding portions of dielectric layer 224 .
  • a chemical mechanical polish (CMP) step is next carried out in which the excess copper material is removed from all regions except for within the via and trench, resulting in the metal interconnect 228 illustrated in FIG. 2 f.
  • a third etch stop layer 230 is then applied across the surface of the device, much as described above.
  • FIG. 2 g illustrates the integrated circuit at a subsequent intermediate step in processing. Note the ellipses, which indicate (as discussed above) that numerous metal layers can be formed above metal interconnect 228 using the extremely low k dielectric materials discussed above as the inter-level dielectric. FIG. 2 g picks up in the process flow where an etch stop layer 240 has been formed above an underlying dielectric layer. In the middle or intermediate layers, the electrical characteristics of the dielectric layers are still important, but perhaps not as critical as for the lower level metals. As such, a higher dielectric constant material (than layer 224 ) could be employed as the inter-level dielectric layer 242 .
  • dielectric layer 242 could be formed of a material having a dielectric constant of between 2.5 and 4.2 and even more preferably in the range of between 2.5 and 3.3.
  • Dielectric layer 242 is preferably formed of an oxide and methylsilsesquioxane (“MSQ”) hybrid, an MSQ derivative, porogen/MSQa hybrid, an Oxide/Hydrogen silsesquioxane (“HSQ,” also known as Hydridosilsesquioxane) hybrid, an HSQ derivative, a porogen/HSQ hybrid, and the like.
  • MSQ oxide and methylsilsesquioxane
  • HSQ Oxide/Hydrogen silsesquioxane
  • dielectric layer 242 may have a dielectric constant similar to the extremely low dielectric constant for layer 224 .
  • etch stop layer 240 has openings etched therein in those regions where it is desired to make electrical contact to underlying interconnects (not shown). Using a dual damascene process, as described above, vias and trenches can be formed in dielectric layer 242 and filled with metal to form metal interconnect 244 . Finally, etch stop layer 246 is shown deposited above dielectric layer 242 and metal pattern 244 .
  • etch stop layer 248 has been deposited over the top of the underlying metal interconnects and dielectric material.
  • Dielectric layer 250 is representative of upper level inter-level dielectrics. In the upper metal layers, electrical characteristics of the inter-level dielectrics, while still important, are not as critical as for the lower level and middle level dielectric layers.
  • dielectric layer 250 is formed of a material having a dielectric constant in the range of about 3.0 to 4.2.
  • a material having a dielectric constant in the range of about 3.0 to 4.2.
  • One exemplary such material is undoped silicon glass (USG) that can be CVD deposited onto the substrate surface and subsequently patterned.
  • USG undoped silicon glass
  • FSG FSG or other well-known alternatives, having acceptably low k characteristics, may be employed. While the layer thickness is a matter of design choice and process control, the upper layers would be typically deposited to a thickness in the range of from 6,000 to 15,000 Angstroms.
  • dielectric layer 250 is also etched to form vias and trenches for metal layer 252 .
  • dielectric layer 250 is etched using conventional anisotropic etch processes, such as plasma enhanced dry etching.
  • the trench patterns formed in metal layer 252 are shown as larger than the patterns in metal layer 244 and 228 . While not necessary for the present invention, this is preferable as the upper level metal layers are more likely to be required to carry large currents and voltages.
  • Passivation layer 254 is formed above the top level metal. As discussed above with respect to FIG. 1, passivation could be accomplished by a layer comprising silicon nitride (preferably plasma enhanced silicon nitride), undoped silicon glass (USG), or a combination of the two.
  • bond pads can be formed on or integral to metal layer 252 . Openings in passivation layer 254 are formed in order to electrically connect the device to other circuit components.
  • a bond wire 256 is used to electrically connect the integrated circuit to external devices (including e.g., signal sources and voltage sources).
  • the integrated circuit could be electrically connected to external devices using flip-chip technology, solder bump technology, and other well-known alternatives.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

A multiple layer metal interconnect process provides for both good electrical properties and good mechanical properties by using a first extremely low k dielectric material at the lower level metal layers, a second extremely low k dielectric material at the middle level metal layers, and a low k dielectric material at the upper level metal layers.

Description

    TECHNICAL FIELD
  • The present invention relates generally to the field of semiconductor devices and more particularly to semiconductor devices having a multi-level metallization stack in which different inter-level dielectric layers having differing mechanical and electrical properties are employed. [0001]
  • BACKGROUND
  • The dielectric constant, k, is a value of a material's insulating properties. Low k dielectric materials are becoming increasingly popular in integrated circuits because of the improved electrical performance that can be obtained through the use of low k dielectrics as inter-metal or inter-level insulating material. For instance, the RC time constant of a device or circuit employing low k dielectrics can be substantially reduced over traditional inter-level dielectrics, thus allowing for faster switching speeds and improved device performance. [0002]
  • Low k dielectric materials involve a trade-off, however, because such materials typically have relatively poor mechanical properties compared to traditional dielectrics. Generally, the lower the dielectric constant, the poorer the mechanical strength of the material. This is because the low k dielectric constant is typically achieved as a result of materials having a relatively high degree of porosity. The more porous the material, the lower its dielectric constant, but also the lesser its mechanical strength. Typically, the cracking threshold is lower for a low k dielectric material and the coefficient of thermal expansion is greater. Additionally, the increased porosity of the materials results in poor adhesion with subsequently applied thin films. These properties of low k dielectric materials (aka low k dielectrics) are an undesirable trade-off for the improved electrical characteristics. [0003]
  • In modern semiconductor devices, low k dielectric materials are used as inter-level, also known as inter-metal, dielectrics to insulate one metal level from another. As is well known in the art, metal levels are stacked atop one another to form the complete integrated circuit, with the inter-level dielectric layers acting as an insulating material there between. In damascene metallization processes, the inter-level dielectric material also acts as a supporting layer in which the metal traces are formed. Integrated circuits having six, eight, and an even greater number of stacked metal layers are known in the art. Trends suggest that the number of stacked metal layers will increase over time. [0004]
  • Typically, a single dielectric material, such as fluorine-doped silica glass (FSG) or undoped silicon glass (USG) will be employed throughout the stacked metal layers of a conventional multi-metal-layer integrated circuit. In other words, if FSG is used between the first and second metal layers, the same FSG material will be used between the second and third metal layers and between all subsequently deposited metal layers. In some devices, the inter-level dielectric material used between metal layers will be a composite of more than one dielectric material. In such devices, however, the same composite material will be used throughout the metal layers, from bottom to top. [0005]
  • The trend toward a higher number of stacked metal layers and the increasingly stringent performance and reliability requirements for integrated circuits exacerbates the problem of the poor mechanical properties of low k dielectric materials. What is needed, therefore, is an integration scheme that is compatible with present manufacturing processes, but that allows for stacking of multiple metal layers with preferred low k dielectric constant inter-level materials having acceptable mechanical strength and stability. [0006]
  • SUMMARY OF THE INVENTION
  • In one aspect, the present invention provides for an integrated circuit comprising a substrate having a top surface and a first dielectric layer formed above the substrate having a trench formed therein. The first dielectric layer has a first dielectric constant. A first metal layer is formed within the trench of the first dielectric layer. The integrated circuit further includes a second dielectric layer formed above the first metal layer and having a trench formed therein, the second dielectric layer having a second dielectric constant. A second metal layer is formed within the trench of the second dielectric layer. The integrated circuit also includes a third dielectric layer formed above the second metal layer and having a trench formed therein, the third dielectric layer having a third dielectric constant, and a third metal layer formed within the trench of the first dielectric layer. [0007]
  • In another aspect, the present invention provides for a method of forming an integrated circuit comprising forming a transistor within a substrate, depositing a first dielectric material over the transistor, forming an opening to the transistor in the first dielectric material, and depositing a first metal pattern within the first dielectric material. The method further includes depositing a second dielectric material having a higher dielectric constant than the first dielectric material over the first metal pattern, forming an opening to the first metal pattern in the second dielectric material, and depositing a second metal pattern within the second dielectric material. The method also includes depositing a third dielectric material having a higher dielectric constant than the first and second dielectric material over the second metal pattern, forming an opening to the second metal pattern in the third dielectric material, and depositing a third metal pattern in the third dielectric material. [0008]
  • In yet another aspect, the present invention provides for an integrated circuit. The integrated circuit includes a substrate and a plurality of transistors formed on the substrate, and a plurality of isolation regions electrically isolating at least one of the plurality of transistors from at least one other of the transistors. The integrated circuit includes a first dielectric layer having a first dielectric constant formed above the substrate and having formed therein a via to a transistor, and an interconnect structure. The integrated circuit further includes a second dielectric layer having a second dielectric constant formed above the first dielectric layer and having formed therein a second interconnect structure, and a third dielectric layer having a second dielectric constant formed above the second dielectric layer and having formed therein a third interconnect structure. [0009]
  • An advantageous feature of the present invention is that in those regions where the dielectric constant is of most importance, materials having very good electrical characteristics, albeit with less than ideal mechanical characteristics can be employed, whereas in those regions where electrical performance of the dielectric is not as critical, other dielectric materials—having acceptable dielectric properties and improved mechanical properties—can be employed. In this way, the selected dielectric material employed provides for the best combination of electrical and mechanical properties, depending upon the needs of the specific metal layer(s) in which the dielectric is to be employed. [0010]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • For a more complete understanding of the present invention, and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawings, in which: [0011]
  • FIG. 1 illustrates in cross-section an integrated circuit in which a preferred embodiment of the present invention is provided; and [0012]
  • FIGS. 2[0013] a through 2 h illustrate in cross-section stages of the manufacture of preferred embodiment devices.
  • DETAILED DESCRIPTION OF ILLUSTRATIVE EMBODIMENTS
  • The making and using of the presently preferred embodiments are discussed in detail below. It should be appreciated, however, that the present invention provides many applicable inventive concepts that can be embodied in a wide variety of specific contexts. The specific embodiments discussed are merely illustrative of specific ways to make and use the invention, and do not limit the scope of the invention. For ease of reference, common reference numerals will be used throughout the figures when referring to the same or similar features common to the figures. [0014]
  • FIG. 1 illustrates a portion of an integrated circuit embodying aspects of the present invention. In particular, [0015] device 100 includes a first transistor 2 and a second transistor 4, separated by an isolation region 6, all formed within substrate 8. Substrate 8 is illustrated as a single semiconductor wafer, such as a single crystal silicon wafer. Alternatively, substrate 8 could comprise a thin silicon layer formed over a buried oxide, such as a silicon-on-insulator (SOI) substrate. Many details regarding first and second transistors 2 and 4, respectively, are omitted because they are not necessary for an understanding of the invention. One skilled in the art will recognize that transistors 2 and 4 could be formed using conventional CMOS processing technology and could form the basic components of, e.g., a CMOS inverter. Doped regions 10, 12 of transistor 2 and doped regions 14, 16 of transistor 4 could be formed of N-type and P-type impurities, respectively, for instance. Gate 18 of transistor 2 and gate 20 of transistor 4 is preferably a polysilicon gate electrode separated from the substrate by a thin gate oxide (22 and 24, respectively) and preferably having sidewall spacers (26 and 28, respectively) providing further insulation as are well known in the art. Of particular significance, device 100 includes a stack of ten metallization layers. These metallization layers will interconnect transistors 2 and 4 to other transistors and devices (not shown) on the integrated circuit, including ground nodes and voltage nodes, but will also connect the various components of the integrated circuit to circuitry, signals, and voltages external to the integrated circuit device.
  • [0016] Dielectric layer 27 overlies and electrically insulates the transistors 2 and 4 (and other components and devices formed within or on substrate 8) from subsequently formed layers, such as metal layer 32.
  • Electrical contact to the transistors and other devices formed within or on [0017] substrate 8 is accomplished by way of contacts 29 through etch stop layer 30 and dielectric layer 27. In the illustrated embodiment only one contact is shown connecting to doped region 10 of transistor 2, for clarity. One skilled in the art will recognize that multiple contacts will be made to the devices, including connection to other doped regions and to the gates, although these have been omitted from the drawings for clarity. First metal pattern 32 is formed above the transistors and is electrically coupled to the transistors via contacts 29. This first metal pattern is electrically insulated from subsequently formed metal patterns, such as second metal pattern 38, by dielectric layer 34 and etch stop layer 36, and by dielectric layer 40.
  • In the preferred embodiments, [0018] dielectric layer 40 is preferably an extremely low k dielectric material, preferably having a dielectric constant of below 2.8 and even more preferably having a dielectric constant in the range of 2.2 to 2.5. Extremely low k dielectric layer 40 is preferably formed of an oxide and methylsilsesquioxane (“MSQ”) hybrid, an MSQ derivative, porogen/MSQa hybrid, an Oxide/Hydrogen silsesquioxane (“HSQ,” also known as Hydridosilsesquioxane) hybrid, an HSQ derivative, a porogen/HSQ hybrid, and the like. Other materials, such as nanoporous silica, xerogel, poly tetra fluoro ethylene (“PTFE”), and low k dielectrics such as SiLK available from Dow Chemicals of Midland, Mich., Flare, available from AlliedSignal of Morristown, N.J., and Black Diamond, available from Applied Materials of Santa Clara, Calif., may also be employed. The layers are preferably deposited using a chemical vapor deposition (“CVD”) or spin-on coating technique, although other deposition techniques could be employed as well. In the presently contemplated preferred embodiments, the middle-level dielectric layers are preferably deposited to a thickness of approximately 2,000 to 9,000 Angstroms. One skilled in the art will recognize that the preferred thickness range will be a matter of design choice and will likely decrease as device critical dimensions shrink and processing controls improve over time. Materials such as these provide for exceptionally good electrical characteristics, such as low RC constants and hence fast switching speeds. These materials suffer from less than ideal mechanical properties, however.
  • Subsequently formed [0019] metal pattern 42 is formed within dielectric layer 44 and electrically insulated from metal layer 38 (except for those regions in which electrical contact is desired) by dielectric layer 44. This dielectric layer and dielectric layer 52 in which subsequently formed metal pattern 50 is formed are preferably formed from the same extremely low k dielectric material as layer 40. Also shown in FIG. 1 are etch stop layers 46, 48 and 54, which are used to protect the dielectric layers 40, 44 and 52, respectively, during etching of trenches in the subsequently formed dielectric layers 44, 52 and 58, respectively, as will be explained in greater detail below.
  • Turning now to [0020] dielectric layer 58, in which metal pattern 56 is formed. This layer, being formed in the middle region of the ten layer stack, requires both good electrical characteristics (i.e., low k) and also good mechanical performance. At the mid-level layers, the device performance is not as subject to the dielectric constant as at the lower metal layers. As such, the inter-level dielectric at these layers can be formed of a material having electrical characteristics (i.e., dielectric constants) that are not as low as the lower level dielectrics (40, 44, 52), but which have improved mechanical properties. In the preferred embodiments, mid-stack dielectric layer 58, as well as layers 64, 70 and 76 in which are formed mid-stack metal patterns 56, 62, 68 and 74, respectively, are formed of a different extremely low k dielectric material preferably having a dielectric constant in the range of between 2.5 and 4.2 and even more preferably in the range of between 2.5 and 3.3 (using etch stop layers 60, 66 and 72, respectively). Extremely low k dielectric layers 58, 64, and 70 are preferably formed of an oxide and methylsilsesquioxane (“MSQ”) hybrid, an MSQ derivative, porogen/MSQa hybrid, an Oxide/Hydrogen silsesquioxane (“HSQ,” also known as Hydridosilsesquioxane) hybrid, an HSQ derivative, a porogen/HSQ hybrid, and the like. Other materials, such as nanoporous silica, xerogel, poly tetra fluoro ethylene (“PTFE”), and low k dielectrics such as SiLK available from Dow Chemicals of Midland, Mich., Flare, available from AlliedSignal of Morristown, N.J., and Black Diamond, available from Applied Materials of Santa Clara, Calif., may also be employed. The layers are preferably deposited using a chemical vapor deposition (“CVD”) or spin-on coating technique, although other deposition techniques could be employed as well. In the presently contemplated preferred embodiments, the middle-level dielectric layers are preferably deposited to a thickness of approximately 2,000 to 7,000 Angstroms. One skilled in the art will recognize that the preferred thickness range will be a matter of design choice and will likely decrease as device critical dimensions shrink and processing controls improve over time.
  • At the upper end of the metal layer stack the dielectric constant of the inter-level dielectrics—while still important—becomes less critical. As such, inter-level dielectrics with a higher dielectric constant can be tolerated in order to employ materials having even further improved mechanical characteristics. In the preferred embodiments, dielectric layers formed at or near the top of the multi-layer metal stack are preferably formed using a dielectric material that has acceptable electrical characteristics, albeit relatively higher than the extremely low k dielectrics used lower in the stack. Preferably, [0021] dielectric layers 94, 92, 84, and 82 (being the top several dielectric layers in which are formed metal patterns 90 and 80, respectively) are formed of a material having a dielectric constant in the range of about 3.0 to 4.2. One exemplary such material is undoped silicon glass (USG) that can be spun onto the substrate surface and subsequently patterned. In other instances, FSG or other well known alternatives, having acceptably low k characteristics, may be employed. While the layer thickness is a matter of design choice and process control, the upper layers would be typically deposited to a thickness in the range of from 6,000 to 15,000 Angstroms. Note that etch stop layers 78, 81, 88, and 98 are employed in the damascene process, as described above.
  • Note that, as illustrated in FIG. 1, metal layers one through eight (i.e., layers [0022] 32, 38, 42, 50, 56, 62, 68, and 74) are illustrated as having been formed using dual damascene processing (i.e., both the via and the interconnect trench are formed together), whereas top metal layers 80 and 90 are shown as having been formed using a single damascene process. One skilled in the art will recognize that other variations between dual damascene and single damascene, trench first or via first, and other process variations are matters of design choice.
  • Finally, as illustrated in FIG. 1, an [0023] etch stop layer 98 is formed over top level metal pattern 90. Passivation layers 102 and 104 are then formed over the top level metal as is known in the art. These passivation layers 102 and 104 are preferably formed of plasma enhanced SiN and plasma enhanced undoped silicon glass (USG) respectively.
  • Note that in FIG. 1, the metal patterns at the bottom of the stack (e.g., [0024] 32) are small relative to the metal patterns at the top of the stack (e.g., 90). This is because there is a significantly greater number and density of interconnections at the lower levels of the stack. This causes a greater packing density for the lower level metal patterns (i.e., smaller feature sizes and closer spacings). This in turn increases the need for the improved electrical and dielectric characteristics as described herein.
  • Turning now to FIGS. 2[0025] a through 2 h, further processing details will be provided for an exemplary device 200. For clarity, device 200 will be illustrated as having only three metal patterns. This is simply to illustrate the basic processing steps. In actual application, there would be two or more of each type of metal pattern and dielectric layer described herein. In fact, as the number of metal pattern layers increases, the advantageous features of the present invention become more pronounced.
  • In FIG. 2[0026] a, which illustrates an intermediate step in the formation of integrated device 200, transistor 202 has been formed within and on substrate 204. In the illustrated embodiment, substrate 204 is a silicon-on-insulator substrate including a semiconductor layer 206 formed over a buried oxide layer 208 that is formed on a supporting substrate 210. In other embodiments, substrate 204 could be a single-crystal silicon wafer or other appropriate material providing sufficient mechanical and electrical characteristics. In presently contemplated embodiments, transistor 202 preferably has a gate size of 0.13 microns, 90 nm, or less. This is because the dense packing and high switching speeds of small geometry devices particularly require the advantageous features offered by the present invention. That being said, it is contemplated that the present invention would apply to larger geometry devices as well, particularly when a combination of good mechanical and electrical characteristics is required of the metallic interconnect stack. Note that although transistor 2 is illustrated as a conventional MOSFET transistor, the teachings of the present invention are not limited to MOSFET transistors or to planar transistors. Rather the present invention applies equally to any electrical component or structure in which it is desired to make electrical connection.
  • [0027] Dielectric layer 212 has been formed above the substrate to insulate MOSFET 2 from subsequently formed metal layers. In the illustrated embodiment, dielectric layer 212 is preferably formed by CVD deposition of a phosphorous doped silicon glass (“PSG”) layer to a thickness of about 4,000 to 12,000 Angstroms. Alternatively, dielectric layer 212 could be a CVD or PECVD deposited SiO2. In other embodiments, dielectric layer 212 could be formed from a low k dielectric material.
  • Contact openings are formed in [0028] dielectric layer 212 and are filled with a conductive material as shown in FIG. 2b. In the preferred embodiments, the contact opening are filled with a conductive plug 214. Plug 214 may comprises tungsten, aluminum, doped polysilicon, or some other appropriate conductive material. Preferably, plug 214 will also include adhesion and barrier layers (not shown), such as titanium and titanium nitride, respectively, for improved device characteristics. In other embodiments, a damascene process could be employed in lieu of plugs 214. In such a process, trenches and holes are formed in dielectric layer 214 and are subsequently filled by growing or depositing a conductive material such as copper within the trenches and holes. In the embodiment illustrated in FIG. 1, the contact holes are filled using plug technology.
  • Either before or after filling the contact holes in [0029] dielectric layer 212 with plugs 214, an etch stop layer 216 is formed above dielectric layer 212. Etch stop layer 216, which may also serve to provide improved adhesion for subsequently formed layers is preferably formed of e.g., SiC, SiCO, SiCN, or combinations thereof. In the illustrated embodiment, layer 216 is deposited using CVD or PECVD to a thickness of 200 to 1,000 Angstroms.
  • In a first preferred embodiment, first metal pattern is formed using a single damascene process. In this process, [0030] dielectric layer 220 is formed first and trenches are formed within the dielectric layer, preferably using conventional photolithographic and etching techniques. Etch stop layer 216 preferably prevents the step of etching dielectric layer 220 from etching or otherwise negatively impacting underlying dielectric layer 212. Note that prior to forming metal pattern 218, etch stop layer 216 will need to be selectively removed in those regions where it is desired to make electrical contact between, e.g., plugs 214 and first metal pattern 218. After trenches are formed in dielectric layer 220, metal pattern 218 is formed by depositing metal into the trenches. Preferably, metal pattern 218 is formed of copper or a copper aluminum alloy that is blanket deposited over the top surface of the device, and subsequently planarized so that it remains only in the trenches. The planarization is preferably performed using a chemical mechanical polishing (CMP) process. After metal patterns 218 have been formed within dielectric layer 220, etch stop layer 222 is deposited over the top surface. Etch stop layer 222 is preferably although not necessarily the same material as used for etch stop layer 216.
  • The formation of a [0031] second metal pattern 228 will now be described with reference to FIG. 2d. This second metal pattern is preferably formed using a dual damascene process in which vias (or electrical connections to first metal pattern) are formed and the metal interconnects are formed in an integrated fashion within a single dielectric layer. As discussed above, circuit performance characteristics dictate that parasitic capacitance between metal layers be minimized. As such, the electrical characteristics of the inter-level dielectrics play a crucial role and extremely low k dielectrics are particularly desirable.
  • In FIG. 2[0032] d, extremely low k dielectric layer 224 has been deposited to a thickness of approximately 2,000 to 7,000 Angstroms above etch stop 222. Note that, prior to the deposition of dielectric layer 224, etch stop 222 has been removed in those regions where it is desirable to make electrical contact to the underlying metal pattern 218. As discussed above, dielectric layer 224 is preferably spun on or CVD deposited using one or more of the various well-known extremely low k dielectrics such as an oxide and methylsilsesquioxane (“MSQ”) hybrid, an MSQ derivative, porogen/MSQa hybrid, an Oxide/Hydrogen silsesquioxane (“HSQ,” also known as Hydridosilsesquioxane) hybrid, an HSQ derivative, a porogen/HSQ hybrid, and the like. Other materials, such as nanoporous silica, xerogel, poly tetra fluoro ethylene (“PTFE”), and low k dielectrics such as SiLK available from Dow Chemicals of Midland, Mich., Flare, available from AlliedSignal of Morristown, N.J., and Black Diamond, available from Applied Materials of Santa Clara, Calif., may also be employed. Other alternative materials may be identified through routine experimentation or may be discovered at some future date; those alternatives are considered within the scope of the present invention as well. In the preferred embodiments, dielectric layer 224 preferably has a dielectric constant of below 2.8 and more preferably in the range of 2.2 to 2.5.
  • [0033] Photoresist 226 is formed over dielectric layer 224 and patterned using conventional photolithographic techniques, also as illustrated in FIG. 2d. This photoresist layer 226 will be used to trench vias in dielectric layer 224 in order to make electrical contact with metal interconnects 218. For clarity, only one opening in photoresist 226 is shown in FIG. 2d. One skilled in the art will recognize that multiple openings will in reality be formed in order to make multiple contacts to the underlying metal layer 218.
  • Extremely low [0034] k dielectric layer 224 is then etched away in the region beneath the opening in photoresist 226, as shown in FIG. 2e. Dielectric layer 224 is anisotropically etched, preferably by plasma enhanced dry etch. Dielectric layer 224 is etched back to form a trench in which will be subsequently formed a metal interconnect, as described below.
  • After etching the trench into [0035] dielectric layer 224, photoresist 226 is removed and a second photoresist layer (not shown) in formed over the device, this second photoresist layer having an opening in it corresponding to the via to be etched into dielectric layer 224. A second etch step is carried out, resulting in the trench and via profile shown in FIG. 2f. The trench and via are then filled with copper or a copper alloy in a blanket deposition process which also covers the surrounding portions of dielectric layer 224. A chemical mechanical polish (CMP) step is next carried out in which the excess copper material is removed from all regions except for within the via and trench, resulting in the metal interconnect 228 illustrated in FIG. 2f. A third etch stop layer 230 is then applied across the surface of the device, much as described above.
  • Several metal layers can be formed on the device using the extremely low k dielectric material and dual damascene process described above. For clarity, however, only one such layer is shown in the Figures. [0036]
  • FIG. 2[0037] g illustrates the integrated circuit at a subsequent intermediate step in processing. Note the ellipses, which indicate (as discussed above) that numerous metal layers can be formed above metal interconnect 228 using the extremely low k dielectric materials discussed above as the inter-level dielectric. FIG. 2g picks up in the process flow where an etch stop layer 240 has been formed above an underlying dielectric layer. In the middle or intermediate layers, the electrical characteristics of the dielectric layers are still important, but perhaps not as critical as for the lower level metals. As such, a higher dielectric constant material (than layer 224) could be employed as the inter-level dielectric layer 242. In preferred embodiments, dielectric layer 242 could be formed of a material having a dielectric constant of between 2.5 and 4.2 and even more preferably in the range of between 2.5 and 3.3. Dielectric layer 242 is preferably formed of an oxide and methylsilsesquioxane (“MSQ”) hybrid, an MSQ derivative, porogen/MSQa hybrid, an Oxide/Hydrogen silsesquioxane (“HSQ,” also known as Hydridosilsesquioxane) hybrid, an HSQ derivative, a porogen/HSQ hybrid, and the like. Other materials, such as nanoporous silica, xerogel, poly tetra fluoro ethylene (“PTFE”), and low k dielectrics such as SiLK available from Dow Chemicals of Midland, Mich., Flare, available from AlliedSignal of Morristown, N.J., and Black Diamond, available from Applied Materials of Santa Clara, Calif., may also be employed. The layers are preferably deposited using a chemical vapor deposition (“CVD”) or spin-on coating technique, although other deposition techniques could be employed as well. In the presently contemplated preferred embodiments, the middle-level dielectric layers are preferably deposited to a thickness of approximately 2,000 to 7,000 Angstroms. In other embodiments, dielectric layer 242 may have a dielectric constant similar to the extremely low dielectric constant for layer 224.
  • As also shown in FIG. 2[0038] g, etch stop layer 240 has openings etched therein in those regions where it is desired to make electrical contact to underlying interconnects (not shown). Using a dual damascene process, as described above, vias and trenches can be formed in dielectric layer 242 and filled with metal to form metal interconnect 244. Finally, etch stop layer 246 is shown deposited above dielectric layer 242 and metal pattern 244.
  • As above, several metal layers can be formed on the device using the middle range extremely low k dielectric material and dual damascene process described above in FIG. 2[0039] g. For clarity, only one such layer is shown, however. In FIG. 2h, this is shown by the ellipses that indicate several metal layers and inter-level dielectric layers are contemplated, but not shown. As illustrated, in a subsequent intermediate processing step, etch stop layer 248 has been deposited over the top of the underlying metal interconnects and dielectric material. Dielectric layer 250 is representative of upper level inter-level dielectrics. In the upper metal layers, electrical characteristics of the inter-level dielectrics, while still important, are not as critical as for the lower level and middle level dielectric layers. As such, materials can be employed that provide acceptable dielectric characteristics with substantially better mechanical characteristics. Preferably, dielectric layer 250 is formed of a material having a dielectric constant in the range of about 3.0 to 4.2. One exemplary such material is undoped silicon glass (USG) that can be CVD deposited onto the substrate surface and subsequently patterned. In other instances, FSG or other well-known alternatives, having acceptably low k characteristics, may be employed. While the layer thickness is a matter of design choice and process control, the upper layers would be typically deposited to a thickness in the range of from 6,000 to 15,000 Angstroms.
  • As shown, [0040] dielectric layer 250 is also etched to form vias and trenches for metal layer 252. Preferably, dielectric layer 250 is etched using conventional anisotropic etch processes, such as plasma enhanced dry etching. Note that the trench patterns formed in metal layer 252 are shown as larger than the patterns in metal layer 244 and 228. While not necessary for the present invention, this is preferable as the upper level metal layers are more likely to be required to carry large currents and voltages. Passivation layer 254 is formed above the top level metal. As discussed above with respect to FIG. 1, passivation could be accomplished by a layer comprising silicon nitride (preferably plasma enhanced silicon nitride), undoped silicon glass (USG), or a combination of the two.
  • Also as shown in FIG. 2[0041] h, and assuming that metal layer 252 is the top metal layer, bond pads can be formed on or integral to metal layer 252. Openings in passivation layer 254 are formed in order to electrically connect the device to other circuit components. In the illustrated embodiment, a bond wire 256 is used to electrically connect the integrated circuit to external devices (including e.g., signal sources and voltage sources). Alternatively, the integrated circuit could be electrically connected to external devices using flip-chip technology, solder bump technology, and other well-known alternatives.
  • In the foregoing specification, the invention has been described with reference to specific embodiments. However, various modifications and changes can be made by one skilled in the art without departing from the scope of the present invention. Accordingly, the specification and figures are to be regarded in an illustrative rather than a restrictive sense, and all such modifications are intended to be included within the scope of the present invention. [0042]

Claims (25)

What is claimed is:
1. An integrated circuit comprising:
a substrate having a top surface;
a first dielectric layer formed above the substrate having a trench formed therein, the first dielectric layer having a first dielectric constant;
a first metal layer formed within the trench of the first dielectric layer;
a second dielectric layer formed above the first metal layer and having a trench formed therein, the second dielectric layer having a second dielectric constant;
a second metal layer formed within the trench of the second dielectric layer;
a third dielectric layer formed above the second metal layer and having a trench formed therein, the third dielectric layer having a third dielectric constant; and
a third metal layer formed within the trench of the third dielectric layer.
2. The integrated circuit of claim 1 wherein said first dielectric layer has a dielectric constant of less than 2.8, said second dielectric layer has a dielectric constant of between 2.8 and 3.3, and said third dielectric layer has a dielectric constant of above 3.0.
3. The integrated circuit of claim 1 wherein said first dielectric layer comprises a material selected from the group consisting of an oxide and methylsilsesquioxane (“MSQ”) hybrid, an MSQ derivative, porogen/MSQa hybrid, an Oxide/Hydrogen silsesquioxane (“HSQ”) hybrid, an HSQ derivative, and a porogen/HSQ hybrid.
4. The integrated circuit of claim 1 wherein said second dielectric layer comprises a material selected from the group consisting of an oxide and methylsilsesquioxane (“MSQ”) hybrid, an MSQ derivative, porogen/MSQa hybrid, an Oxide/Hydrogen silsesquioxane (“HSQ”) hybrid, an HSQ derivative, and a porogen/HSQ hybrid.
5. The integrated circuit of claim 1 wherein said third dielectric layer comprises a material selected from the group consisting of silicon glass, undoped silicon glass, fluorine doped silicon glass, and high-density chemical vapor deposition (HPVCD) silicon oxide.
6. The integrated circuit of claim 1 further comprising a first transistor and a second transistor formed within the substrate and wherein the first and second transistors are electrically coupled through the metal layers.
7. A method of forming an integrated circuit comprising:
forming a transistor within a substrate;
depositing a first dielectric material over the transistor;
forming an opening to the transistor in the first dielectric material;
depositing a first metal pattern within the first dielectric material;
depositing a second dielectric material, having a higher dielectric constant than the first dielectric material, over the first metal pattern;
forming an opening to the first metal pattern in the second dielectric material;
depositing a second metal pattern within the second dielectric material;
depositing a third dielectric material, having a higher dielectric constant than the first and second dielectric materials, over the second metal pattern;
forming an opening to the second metal pattern in the third dielectric material; and
depositing a third metal pattern in the third dielectric material.
8. The method of claim 7 wherein depositing a first dielectric material comprises spin-on depositing a material having a dielectric constant of below 2.8, depositing a second dielectric material comprises spin-on depositing a material having a dielectric constant of between 2.5 and 3.3, and depositing a third dielectric material comprises spin-on depositing a material having a dielectric constant of above 3.0.
9. The method of claim 7 further comprising depositing a fourth dielectric material, having a higher dielectric constant that is different than the first, second, and third dielectric materials, over the third metal pattern.
10. The method of claim 7 wherein depositing a first dielectric material comprises depositing a material selected from the group consisting of an oxide and methylsilsesquioxane (“MSQ”) hybrid, an MSQ derivative, porogen/MSQa hybrid, an Oxide/Hydrogen silsesquioxane (“HSQ”) hybrid, an HSQ derivative, and a porogen/HSQ hybrid.
11. The method of claim 10 wherein depositing a second dielectric material comprises depositing a material selected from the group consisting of an oxide and methylsilsesquioxane (“MSQ”) hybrid, an MSQ derivative, porogen/MSQa hybrid, an Oxide/Hydrogen silsesquioxane (“HSQ”) hybrid, an HSQ derivative, and a porogen/HSQ hybrid.
12. An electrical device comprising:
a plurality of metal layers formed one atop the other;
a plurality of inter-level dielectric layers, each such inter-level dielectric layer serving to electrically insulate at least one metal layer from at least one other metal layer;
wherein the plurality of inter-level dielectric layers includes:
at a lower region, inter-level dielectric layers having a first dielectric constant,
at a middle region, inter-level dielectric layers having a second dielectric constant; and
at an upper region, inter-level dielectric layers having a third dielectric constant.
13. The electrical device of claim 12 wherein:
the first dielectric constant is below 2.8;
the second dielectric constant is between 2.5 and 3.3; and
the third dielectric constant is above 3.0.
14. The electrical device of claim 12 wherein the lower region inter-level dielectric layer comprises a material selected from the group consisting of an oxide and methylsilsesquioxane (“MSQ”) hybrid, an MSQ derivative, porogen/MSQa hybrid, an Oxide/Hydrogen silsesquioxane (“HSQ”) hybrid, an HSQ derivative, and a porogen/HSQ hybrid.
15. The electrical device of claim 12 wherein the middle region inter-level dielectric layer comprises a material selected from the group consisting of an oxide and methylsilsesquioxane (“MSQ”) hybrid, an MSQ derivative, porogen/MSQa hybrid, an Oxide/Hydrogen silsesquioxane (“HSQ”) hybrid, an HSQ derivative, and a porogen/HSQ hybrid.
16. The electrical device of claim 12 wherein the upper region inter-level dielectric layer comprises a material selected from the group consisting of undoped silicon glass, doped silicon glass, and silicon oxide.
17. The electrical device of claim 12 wherein the first dielectric constant is lower than the second and third dielectric constants.
18. The electrical device of claim 12 wherein the second dielectric constant is lower than the first and third dielectric constants.
19. An integrated circuit comprising:
a substrate;
a plurality of transistors formed on the substrate;
a plurality of isolation regions electrically isolating at least one of the plurality of transistors from at least one other of the transistors;
a first dielectric layer, having a first dielectric constant, formed above the substrate having formed therein a via to a transistor, and an interconnect structure;
a second dielectric layer, having a second dielectric constant, formed above the first dielectric layer and having formed therein a second interconnect structure; and
a third dielectric layer, having a third dielectric constant, formed above the second dielectric layer and having formed therein a third interconnect structure.
20. The integrated circuit of claim 19 wherein the transistors have gate lengths of 130 microns or less.
21. The integrated circuit of claim 19 wherein the substrate is a silicon-on-insulator substrate.
22. The integrated circuit of claim 19 wherein the first and second dielectric layers comprise a material selected from the group consisting of an oxide and methylsilsesquioxane (“MSQ”) hybrid, an MSQ derivative, porogen/MSQa hybrid, an Oxide/Hydrogen silsesquioxane (“HSQ”) hybrid, an HSQ derivative, a porogen/HSQ hybrid, nanoporous silica, xerogel, and Poly tetra fluoro ethylene (“PTFE”).
23. The integrated circuit of claim 19 further comprising a first insulator layer between the substrate and the first dielectric layer.
24. The integrated circuit of claim 19 wherein the via connects to a doped region of a transistor.
25. The integrated circuit of claim 19 wherein the second dielectric constant is lower than the third dielectric constant and the first dielectric constant is lower than both the second and third dielectric constants.
US10/602,751 2003-06-11 2003-06-24 Hybrid copper/low k dielectric interconnect integration method and device Abandoned US20040251549A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/602,751 US20040251549A1 (en) 2003-06-11 2003-06-24 Hybrid copper/low k dielectric interconnect integration method and device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US47771303P 2003-06-11 2003-06-11
US10/602,751 US20040251549A1 (en) 2003-06-11 2003-06-24 Hybrid copper/low k dielectric interconnect integration method and device

Publications (1)

Publication Number Publication Date
US20040251549A1 true US20040251549A1 (en) 2004-12-16

Family

ID=34519956

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/602,751 Abandoned US20040251549A1 (en) 2003-06-11 2003-06-24 Hybrid copper/low k dielectric interconnect integration method and device

Country Status (3)

Country Link
US (1) US20040251549A1 (en)
CN (2) CN100353542C (en)
TW (1) TWI228790B (en)

Cited By (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050064629A1 (en) * 2003-09-22 2005-03-24 Chen-Hua Yu Tungsten-copper interconnect and method for fabricating the same
US20050098896A1 (en) * 2003-11-12 2005-05-12 Tai-Chun Huang Integration film scheme for copper / low-k interconnect
US20050127479A1 (en) * 2003-12-09 2005-06-16 Uri Cohen Interconnect structures and methods for their fabrication
US20060001167A1 (en) * 2002-04-12 2006-01-05 Renesas Technology Corp. Semiconductor device
US20070148955A1 (en) * 2005-12-28 2007-06-28 Jae-Won Han Method for forming metal lines in a semiconductor device
US20070187828A1 (en) * 2006-02-14 2007-08-16 International Business Machines Corporation Ild layer with intermediate dielectric constant material immediately below silicon dioxide based ild layer
US20070200241A1 (en) * 2005-12-05 2007-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Dual damascene process without an etch stop layer
US20080150060A1 (en) * 2006-12-20 2008-06-26 Dongbu Hitek Co., Ltd. Image sensor and method for manufacturing the same
US20080182379A1 (en) * 2005-03-31 2008-07-31 Freescale Semiconductor, Inc. Semiconductor Wafer With Low-K Dielectric Layer and Process For Fabrication Thereof
US20090127582A1 (en) * 2003-06-23 2009-05-21 Kabushiki Kaisha Toshiba Semiconductor apparatus including a radiator for diffusing the heat generated therein
US20090283911A1 (en) * 2008-05-15 2009-11-19 Hao-Yi Tsai Backend Interconnect Scheme with Middle Dielectric Layer Having Improved Strength
US20100072446A1 (en) * 2005-07-12 2010-03-25 Tae-Won Kim Phase-change semiconductor device and methods of manufacturing the same
US7709958B2 (en) 2004-06-18 2010-05-04 Uri Cohen Methods and structures for interconnect passivation
US20120009719A1 (en) * 2010-07-09 2012-01-12 Samsung Electronics Co., Ltd. Image sensors including hydrophobic interfaces and methods of fabricating the same
US20120223413A1 (en) * 2011-03-04 2012-09-06 Nick Lindert Semiconductor structure having a capacitor and metal wiring integrated in a same dielectric layer
US20150179632A1 (en) * 2013-12-20 2015-06-25 Globalfoundries Inc. Semiconductor device comprising an e-fuse and a fet
US20150179562A1 (en) * 2013-12-20 2015-06-25 Kevin J. Fischer Thickened stress relief and power distribution layer
US20150179753A1 (en) * 2013-12-20 2015-06-25 Globalfoundries Inc. Novel e-fuse design for high-k metal-gate technology
US9466685B2 (en) 2015-02-23 2016-10-11 Globalfoundries Inc. Semiconductor structure including at least one electrically conductive pillar, semiconductor structure including a contact contacting an outer layer of an electrically conductive structure and method for the formation thereof
CN106252303A (en) * 2016-06-30 2016-12-21 苏州能讯高能半导体有限公司 A kind of semiconductor device and preparation method thereof
US9640483B2 (en) * 2015-05-29 2017-05-02 Stmicroelectronics, Inc. Via, trench or contact structure in the metallization, premetallization dielectric or interlevel dielectric layers of an integrated circuit
US20170236792A1 (en) * 2016-02-12 2017-08-17 Globalfoundries Singapore Pte. Ltd. Reliable passivation for integrated circuits
US20180166568A1 (en) * 2016-12-14 2018-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US20180182702A1 (en) * 2013-12-23 2018-06-28 Intel Corporation Method of forming high density, high shorting margin, and low capacitance interconnects by alternating recessed trenches
US20180261621A1 (en) * 2017-03-10 2018-09-13 Macronix International Co., Ltd. Semiconductor structure and method for manufacturing the same
US20190067089A1 (en) * 2017-08-30 2019-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of interconnection structure of semiconductor device
US20200075709A1 (en) * 2018-08-28 2020-03-05 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method for the same
US11410944B2 (en) * 2019-08-30 2022-08-09 Advanced Semiconductor Engineering, Inc. Stacked structure, package structure and method for manufacturing the same

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040251549A1 (en) * 2003-06-11 2004-12-16 Tai-Chun Huang Hybrid copper/low k dielectric interconnect integration method and device
US8242576B2 (en) * 2005-07-21 2012-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Protection layer for preventing laser damage on semiconductor devices
CN106898589B (en) * 2015-12-18 2020-03-17 联华电子股份有限公司 Integrated circuit with a plurality of transistors

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5148263A (en) * 1988-12-16 1992-09-15 Kabushiki Kaisha Toshiba Semiconductor device having a multi-layer interconnect structure
US5332694A (en) * 1992-02-26 1994-07-26 Nec Corporation Process for manufacturing a semiconductor device
US5372969A (en) * 1991-12-31 1994-12-13 Texas Instruments Incorporated Low-RC multi-level interconnect technology for high-performance integrated circuits
US5486493A (en) * 1994-02-25 1996-01-23 Jeng; Shin-Puu Planarized multi-level interconnect scheme with embedded low-dielectric constant insulators
US5798568A (en) * 1996-08-26 1998-08-25 Motorola, Inc. Semiconductor component with multi-level interconnect system and method of manufacture
US5981374A (en) * 1997-04-29 1999-11-09 International Business Machines Corporation Sub-half-micron multi-level interconnection structure and process thereof
US6069400A (en) * 1996-11-28 2000-05-30 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and method of fabricating the same
US6075293A (en) * 1999-03-05 2000-06-13 Advanced Micro Devices, Inc. Semiconductor device having a multi-layer metal interconnect structure
US6124198A (en) * 1998-04-22 2000-09-26 Cvc, Inc. Ultra high-speed chip interconnect using free-space dielectrics
US6261944B1 (en) * 1998-11-24 2001-07-17 Vantis Corporation Method for forming a semiconductor device having high reliability passivation overlying a multi-level interconnect
US6479380B2 (en) * 2000-05-25 2002-11-12 Hitachi, Ltd. Semiconductor device and manufacturing method thereof
US6603204B2 (en) * 2001-02-28 2003-08-05 International Business Machines Corporation Low-k interconnect structure comprised of a multilayer of spin-on porous dielectrics
US6707156B2 (en) * 2002-05-20 2004-03-16 Fujitsu Limited Semiconductor device with multilevel wiring layers

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6333255B1 (en) * 1997-08-21 2001-12-25 Matsushita Electronics Corporation Method for making semiconductor device containing low carbon film for interconnect structures
US20020173079A1 (en) * 2000-12-28 2002-11-21 Erdem Kaltalioglu Dual damascene integration scheme using a bilayer interlevel dielectric
CN1492496A (en) * 2002-10-24 2004-04-28 旺宏电子股份有限公司 Process for forming multilayer low dielectric constant double mosaic connection line
US20040251549A1 (en) * 2003-06-11 2004-12-16 Tai-Chun Huang Hybrid copper/low k dielectric interconnect integration method and device

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5148263A (en) * 1988-12-16 1992-09-15 Kabushiki Kaisha Toshiba Semiconductor device having a multi-layer interconnect structure
US5372969A (en) * 1991-12-31 1994-12-13 Texas Instruments Incorporated Low-RC multi-level interconnect technology for high-performance integrated circuits
US5332694A (en) * 1992-02-26 1994-07-26 Nec Corporation Process for manufacturing a semiconductor device
US5486493A (en) * 1994-02-25 1996-01-23 Jeng; Shin-Puu Planarized multi-level interconnect scheme with embedded low-dielectric constant insulators
US5591677A (en) * 1994-02-25 1997-01-07 Texas Instruments Incorporated Planarizeed multi-level interconnect scheme with embedded low-dielectric constant insulators
US5616959A (en) * 1994-02-25 1997-04-01 Texas Instruments Incorporated Planarized multi-level interconnect scheme with embedded low-dielectric constant insulators
US5798568A (en) * 1996-08-26 1998-08-25 Motorola, Inc. Semiconductor component with multi-level interconnect system and method of manufacture
US5937324A (en) * 1996-08-26 1999-08-10 Motorola, Inc. Method for forming a line-on-line multi-level metal interconnect structure for use in integrated circuits
US6069400A (en) * 1996-11-28 2000-05-30 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and method of fabricating the same
US5981374A (en) * 1997-04-29 1999-11-09 International Business Machines Corporation Sub-half-micron multi-level interconnection structure and process thereof
US6124198A (en) * 1998-04-22 2000-09-26 Cvc, Inc. Ultra high-speed chip interconnect using free-space dielectrics
US6261944B1 (en) * 1998-11-24 2001-07-17 Vantis Corporation Method for forming a semiconductor device having high reliability passivation overlying a multi-level interconnect
US6075293A (en) * 1999-03-05 2000-06-13 Advanced Micro Devices, Inc. Semiconductor device having a multi-layer metal interconnect structure
US6479380B2 (en) * 2000-05-25 2002-11-12 Hitachi, Ltd. Semiconductor device and manufacturing method thereof
US6603204B2 (en) * 2001-02-28 2003-08-05 International Business Machines Corporation Low-k interconnect structure comprised of a multilayer of spin-on porous dielectrics
US6707156B2 (en) * 2002-05-20 2004-03-16 Fujitsu Limited Semiconductor device with multilevel wiring layers

Cited By (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7986041B2 (en) * 2002-04-12 2011-07-26 Renesas Electronics Corporation Semiconductor device
US7772700B2 (en) * 2002-04-12 2010-08-10 Renesas Technology Corp. Semiconductor device
US20060001167A1 (en) * 2002-04-12 2006-01-05 Renesas Technology Corp. Semiconductor device
US20100252933A1 (en) * 2002-04-12 2010-10-07 Renesas Technology Corporation Semiconductor device
US20090127582A1 (en) * 2003-06-23 2009-05-21 Kabushiki Kaisha Toshiba Semiconductor apparatus including a radiator for diffusing the heat generated therein
US20050064629A1 (en) * 2003-09-22 2005-03-24 Chen-Hua Yu Tungsten-copper interconnect and method for fabricating the same
US7244673B2 (en) * 2003-11-12 2007-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integration film scheme for copper / low-k interconnect
US20050098896A1 (en) * 2003-11-12 2005-05-12 Tai-Chun Huang Integration film scheme for copper / low-k interconnect
US20050127479A1 (en) * 2003-12-09 2005-06-16 Uri Cohen Interconnect structures and methods for their fabrication
US7573133B2 (en) * 2003-12-09 2009-08-11 Uri Cohen Interconnect structures and methods for their fabrication
US7709958B2 (en) 2004-06-18 2010-05-04 Uri Cohen Methods and structures for interconnect passivation
US20100213614A1 (en) * 2004-06-18 2010-08-26 Uri Cohen Methods for Passivating Metallic Interconnects
US7994069B2 (en) * 2005-03-31 2011-08-09 Freescale Semiconductor, Inc. Semiconductor wafer with low-K dielectric layer and process for fabrication thereof
US20080182379A1 (en) * 2005-03-31 2008-07-31 Freescale Semiconductor, Inc. Semiconductor Wafer With Low-K Dielectric Layer and Process For Fabrication Thereof
US8053751B2 (en) * 2005-07-12 2011-11-08 Samsung Electronics Co., Ltd. Phase-change semiconductor device and methods of manufacturing the same
US20100072446A1 (en) * 2005-07-12 2010-03-25 Tae-Won Kim Phase-change semiconductor device and methods of manufacturing the same
US7629690B2 (en) * 2005-12-05 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Dual damascene process without an etch stop layer
US20070200241A1 (en) * 2005-12-05 2007-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Dual damascene process without an etch stop layer
CN100452389C (en) * 2005-12-05 2009-01-14 台湾积体电路制造股份有限公司 Semiconductor structure and manufacture method thereof
US20070148955A1 (en) * 2005-12-28 2007-06-28 Jae-Won Han Method for forming metal lines in a semiconductor device
US20070187828A1 (en) * 2006-02-14 2007-08-16 International Business Machines Corporation Ild layer with intermediate dielectric constant material immediately below silicon dioxide based ild layer
US7935551B2 (en) * 2006-12-20 2011-05-03 Dongbu Hitek Co., Ltd. Image sensor and method for manufacturing the same
US20080150060A1 (en) * 2006-12-20 2008-06-26 Dongbu Hitek Co., Ltd. Image sensor and method for manufacturing the same
US7936067B2 (en) * 2008-05-15 2011-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Backend interconnect scheme with middle dielectric layer having improved strength
US20090283911A1 (en) * 2008-05-15 2009-11-19 Hao-Yi Tsai Backend Interconnect Scheme with Middle Dielectric Layer Having Improved Strength
US9117716B2 (en) 2010-07-09 2015-08-25 Samsung Electronics Co., Ltd. Image sensors including hydrophobic interfaces and methods of fabricating the same
US20120009719A1 (en) * 2010-07-09 2012-01-12 Samsung Electronics Co., Ltd. Image sensors including hydrophobic interfaces and methods of fabricating the same
US8765517B2 (en) * 2010-07-09 2014-07-01 Samsung Electronics Co., Ltd. Image sensors including hydrophobic interfaces and methods of fabricating the same
US20120223413A1 (en) * 2011-03-04 2012-09-06 Nick Lindert Semiconductor structure having a capacitor and metal wiring integrated in a same dielectric layer
CN106887428A (en) * 2011-03-04 2017-06-23 英特尔公司 With the capacitor being integrated in same dielectric layer and the semiconductor structure of metal line
US9577030B2 (en) 2011-03-04 2017-02-21 Intel Corporation Semiconductor structure having a capacitor and metal wiring integrated in a same dielectric layer
US20150179562A1 (en) * 2013-12-20 2015-06-25 Kevin J. Fischer Thickened stress relief and power distribution layer
TWI607527B (en) * 2013-12-20 2017-12-01 英特爾股份有限公司 Thickened stress relief and power distribution layer
US9496173B2 (en) * 2013-12-20 2016-11-15 Intel Corporation Thickened stress relief and power distribution layer
US9515155B2 (en) * 2013-12-20 2016-12-06 Globalfoundries Inc. E-fuse design for high-K metal-gate technology
US9524962B2 (en) * 2013-12-20 2016-12-20 Globalfoundries Inc. Semiconductor device comprising an e-fuse and a FET
US10229879B2 (en) 2013-12-20 2019-03-12 Intel Corporation Thickened stress relief and power distribution layer
US20150179753A1 (en) * 2013-12-20 2015-06-25 Globalfoundries Inc. Novel e-fuse design for high-k metal-gate technology
TWI574349B (en) * 2013-12-20 2017-03-11 英特爾股份有限公司 Thickened stress relief and power distribution layer
US20150179632A1 (en) * 2013-12-20 2015-06-25 Globalfoundries Inc. Semiconductor device comprising an e-fuse and a fet
US20180182702A1 (en) * 2013-12-23 2018-06-28 Intel Corporation Method of forming high density, high shorting margin, and low capacitance interconnects by alternating recessed trenches
US11380617B2 (en) * 2013-12-23 2022-07-05 Intel Corporation Method of forming high density, high shorting margin, and low capacitance interconnects by alternating recessed trenches
US9466685B2 (en) 2015-02-23 2016-10-11 Globalfoundries Inc. Semiconductor structure including at least one electrically conductive pillar, semiconductor structure including a contact contacting an outer layer of an electrically conductive structure and method for the formation thereof
US9640483B2 (en) * 2015-05-29 2017-05-02 Stmicroelectronics, Inc. Via, trench or contact structure in the metallization, premetallization dielectric or interlevel dielectric layers of an integrated circuit
US10074606B2 (en) 2015-05-29 2018-09-11 Stmicroelectronics, Inc. Via, trench or contact structure in the metallization, prematallization dielectric or interlevel dielectric layers of an integrated circuit
US10438909B2 (en) * 2016-02-12 2019-10-08 Globalfoundries Singapore Pte. Ltd. Reliable passivation for integrated circuits
US20170236792A1 (en) * 2016-02-12 2017-08-17 Globalfoundries Singapore Pte. Ltd. Reliable passivation for integrated circuits
US10777519B2 (en) 2016-02-12 2020-09-15 Globalfoundries Singapore Pte. Ltd. Reliable passivation for integrated circuits
CN106252303A (en) * 2016-06-30 2016-12-21 苏州能讯高能半导体有限公司 A kind of semiconductor device and preparation method thereof
US20180166568A1 (en) * 2016-12-14 2018-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US10325807B2 (en) * 2016-12-14 2019-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US11651996B2 (en) * 2016-12-14 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US10930554B2 (en) 2016-12-14 2021-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US20210175120A1 (en) * 2016-12-14 2021-06-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US20180261621A1 (en) * 2017-03-10 2018-09-13 Macronix International Co., Ltd. Semiconductor structure and method for manufacturing the same
US11088020B2 (en) * 2017-08-30 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of interconnection structure of semiconductor device
US20190067089A1 (en) * 2017-08-30 2019-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of interconnection structure of semiconductor device
US10840324B2 (en) * 2018-08-28 2020-11-17 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method for the same
US20200075709A1 (en) * 2018-08-28 2020-03-05 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method for the same
US11410944B2 (en) * 2019-08-30 2022-08-09 Advanced Semiconductor Engineering, Inc. Stacked structure, package structure and method for manufacturing the same

Also Published As

Publication number Publication date
CN2720636Y (en) 2005-08-24
CN1574334A (en) 2005-02-02
CN100353542C (en) 2007-12-05
TW200428577A (en) 2004-12-16
TWI228790B (en) 2005-03-01

Similar Documents

Publication Publication Date Title
US20040251549A1 (en) Hybrid copper/low k dielectric interconnect integration method and device
US11721624B2 (en) Patterning approach for improved via landing profile
US11398405B2 (en) Method and apparatus for back end of line semiconductor device processing
US6016000A (en) Ultra high-speed chip semiconductor integrated circuit interconnect structure and fabrication method using free-space dielectrics
US7332428B2 (en) Metal interconnect structure and method
US6124198A (en) Ultra high-speed chip interconnect using free-space dielectrics
US7662722B2 (en) Air gap under on-chip passive device
US6331481B1 (en) Damascene etchback for low ε dielectric
US6774489B2 (en) Dielectric layer liner for an integrated circuit structure
US6114186A (en) Hydrogen silsesquioxane thin films for low capacitance structures in integrated circuits
US7244673B2 (en) Integration film scheme for copper / low-k interconnect
US20050082678A1 (en) Method to form selective cap layers on metal features with narrow spaces
US20080232025A1 (en) Mim capacitor and method of making same
US8455985B2 (en) Integrated circuit devices having selectively strengthened composite interlayer insulation layers and methods of fabricating the same
US6445072B1 (en) Deliberate void in innerlayer dielectric gapfill to reduce dielectric constant
US20060043588A1 (en) Semiconductor device including a low-k metallization layer stack for enhanced resistance against electromigration
US20140131872A1 (en) Copper etching integration scheme
US20040097099A1 (en) Method of forming a semiconductor device with a substantially uniform density low-k dielectric layer
US20050140029A1 (en) Heterogeneous low k dielectric
WO2000035000A1 (en) Ultra high-speed semiconductor integrated circuit interconnect structure and fabrication method using free-space dielectric
US7704885B2 (en) Semiconductor device and method for fabricating the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:HUANG, TAI-CHUN;YAO, CHIH-HSIANG;LIN, KANG-CHENG;AND OTHERS;REEL/FRAME:014232/0993

Effective date: 20030620

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION